TWI838513B - 金屬氧化物在金屬表面上之選擇性沉積 - Google Patents

金屬氧化物在金屬表面上之選擇性沉積 Download PDF

Info

Publication number
TWI838513B
TWI838513B TW109111985A TW109111985A TWI838513B TW I838513 B TWI838513 B TW I838513B TW 109111985 A TW109111985 A TW 109111985A TW 109111985 A TW109111985 A TW 109111985A TW I838513 B TWI838513 B TW I838513B
Authority
TW
Taiwan
Prior art keywords
oxide
metal
substrate
aluminum
selectively depositing
Prior art date
Application number
TW109111985A
Other languages
English (en)
Other versions
TW202041701A (zh
Inventor
安德莉亞 伊利貝里
麥克 吉文斯
紹仁 鄧
韋爾尼 朱塞佩 雅萊西奧
Original Assignee
荷蘭商Asmip私人控股有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asmip私人控股有限公司 filed Critical 荷蘭商Asmip私人控股有限公司
Publication of TW202041701A publication Critical patent/TW202041701A/zh
Application granted granted Critical
Publication of TWI838513B publication Critical patent/TWI838513B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Oxygen, Ozone, And Oxides In General (AREA)

Abstract

提供用於相對於氧化物表面在金屬或含金屬表面上選擇性沉積金屬氧化物膜之方法。基材之氧化物表面可諸如藉由使基材暴露至矽烷化劑而相對於金屬或含金屬表面選擇性鈍化。相對於經鈍化之氧化物表面,在金屬或含金屬表面上從蒸氣相反應物選擇性沉積金屬氧化物。

Description

金屬氧化物在金屬表面上之選擇性沉積
本申請案主張對2019年4月12日申請之美國臨時專利申請案第62/833,256號之優先權,其以引用方式併入本文中。
本揭露大致上為關於金屬氧化物在相對於基材之第二介電質表面之基材的第一金屬或含金屬表面上之選擇性沉積。
半導體製造中逐漸減小之裝置尺寸需要新的創新處理方法。習知地,半導體處理中之圖案化涉及減去性製程,其中毯覆層經沉積、藉由光微影技術遮罩、且透過遮罩中之開口進行蝕刻。亦已知添加性圖案化,其中在沉積受關注材料之前進行遮罩步驟,諸如使用剝離技術或鑲嵌處理之圖案化。在大多數情況下,針對圖案化應用昂貴的多步驟微影技術。
圖案化可藉由選擇性沉積來簡化,其已於半導體製造商中受到逐漸增加的關注。選擇性沉積將高度有利於各種方面。值得注意地,其可允許減少微影步驟,降低處理成本。選擇性沉積亦可實現狹窄結構中的增強縮放。
在一些態樣中,提供相對於一介電質表面(諸如,一氧化物表面)在一基材之一金屬表面上選擇性沉積金屬氧化物之方法。在一些實施例中,所述介電質表面為相對於所述金屬表面經選擇性鈍化,且所述金屬氧化物為相對於所述經鈍化免於氣相反應物之介電質表面選擇性沉積在所述金屬表面上。在一些實施例中,所述金屬表面包含Al、Cu、Co、Ni、W、Nb、Fe、或Mo。在一些實施例中,所述介電質表面包含一介電質過渡金屬氧化物。在一些實施例中,所述介電質表面包含氧化鋁、氧化鋯、氧化鉿、氧化鈦、氧化鉭、氧化釔、或氧化鑭。
在一些實施例中,選擇性鈍化所述介電質表面(諸如,一氧化物表面)包含使所述介電質表面暴露至一矽烷化劑。在一些實施例中,所述矽烷化劑為烷胺基矽烷。在一些實施例中,所述矽烷化劑為矽烷。在一些實施例中,所述矽烷化劑包含烯丙基三甲基矽烷(TMS-A)、氯三甲基矽烷(TMS-Cl)、N-(三甲基矽基)咪唑(TMS-Im)、十八烷基三氯矽烷(ODTCS)、六甲基二矽氮烷(HMDS)、或N-(三甲基矽基)二甲胺(TMSDMA)。
在一些實施例中,所述介電質表面包含氧化鋁。所述氧化鋁可使用包含三甲基鋁(TMA)、二甲基氯化鋁、三氯化鋁(AlCl3 )、二甲基異丙氧化鋁(DMAI)、三(第三丁基)鋁(TTBA)、三異丙氧化鋁(TIPA)、或三乙基鋁(TEA)之一鋁前驅物來沉積。在一些實施例中,所述氧化鋁為使用包含一烷基及一不同配位體(諸如,一鹵化物)之一混配鋁化合物來沉積。在一些實施例中,氧化鋁為藉由ALD使用一鋁前驅物及水來沉積。
在一些實施例中,一鈍化阻擋層為在選擇性鈍化所述介電質表面之前形成在所述金屬表面上。此一鈍化阻擋層可包含例如一自組裝單層(SAM)。
金屬氧化物可相對於第二介電質表面(諸如,氧化物表面)選擇性沉積在第一金屬(或含金屬)表面上。在一些實施例中,氧化物表面為相鄰於金屬表面。在本文所述之實施例中,氧化物表面可例如藉由矽烷化而相對於金屬表面選擇性鈍化。隨後,金屬氧化物層為相對於經鈍化之氧化物表面選擇性沉積在金屬表面上。金屬氧化物層可藉由氣相沉積製程(諸如,原子層沉積製程)來沉積。在一些實施例中,基材上之氧化物表面為以矽烷化劑來矽烷化,所述矽烷化劑諸如烯丙基三甲基矽烷(TMS-A)、氯三甲基矽烷(TMS-Cl)、N-(三甲基矽基)咪唑(TMS-Im)、十八烷基三氯矽烷(ODTCS)、六甲基二矽氮烷(HMDS)、或N-(三甲基矽基)二甲胺(TMSDMA),且金屬氧化物隨後為相對於經鈍化之氧化物表面選擇性沉積在基材之金屬表面上。在一些實施例中,金屬氧化物層可為氧化鋁層(諸如,Al2 O3 層)。例如,氧化鋁層可藉由ALD製程例如使用鋁前驅物(諸如三甲基鋁(TMA)、二甲基氯化鋁、三氯化鋁(AlCl3 )、二甲基異丙氧化鋁(DMAI)、三(第三丁基)鋁(TTBA)、三異丙氧化鋁(TIPA)、或三乙基鋁(TEA))及水作為反應物來選擇性沉積。
在一些實施例中,基材之金屬或含金屬表面包含元素金屬或金屬合金,而基材之第二不同表面包含介電質材料(諸如,氧化物)。實例包括氧化矽基材料,包括經生長或沉積之二氧化矽、經摻雜及/或多孔之氧化物、矽上天然氧化物等。介電層的表面為諸如藉由選擇性矽烷化而相對於金屬或含金屬表面選擇性鈍化。隨後,金屬氧化物層為相對於經鈍化之介電質表面選擇性沉積在金屬或含金屬表面上。可沉積之金屬氧化物之實例包括介電質,諸如氧化鋯(例如,ZrO2 )、氧化鉿(例如,HfO2 )、氧化鋁(例如,Al2 O3 )、氮化鈦(例如,TiN)、及氧化鈦(例如,TiO2 )。在一些實施例中,在其上選擇性沉積金屬氧化物之金屬或含金屬表面為至少部分地相鄰於經選擇性鈍化之介電質表面。例如,金屬或含金屬表面之至少一部分可相鄰於氧化物表面。
在一些實施例中,於介電質表面(諸如,氧化物表面)上形成鈍化層之前,金屬或含金屬表面可具備鈍化阻擋層(諸如,自組裝單層(SAM))。鈍化阻擋層可有助於介電質表面之矽烷化的選擇性,並可在其後移除以允許相對於經矽烷化之介電質表面在金屬或含金屬表面上選擇性沉積金屬氧化物。
可從介電質表面(諸如,從氧化物表面)移除鈍化層(矽烷化),之後在金屬或含金屬表面上方選擇性沉積金屬氧化物層。可選擇條件以避免損害基材上之周圍材料。
可用於本文所述之選擇性沉積製程之合適反應器的實例包括可商購的ALD設備。除了ALD反應器以外,可使用能夠生長有機鈍化層之許多其他種類的反應器,包括CVD反應器、VDP反應器、及MLD反應器。基材表面
根據本揭露之一些態樣,選擇性沉積可用以相對於氧化物表面或其他介電質表面優先地在金屬或含金屬表面上沉積受關注的膜(諸如,金屬氧化物膜)。在一些實施例中,兩表面在基材上為至少部分地彼此相鄰。相對於金屬或含金屬表面之氧化物表面之選擇性鈍化(諸如,氧化物表面之選擇性矽烷化)有助於後續相對於經矽烷化的氧化物表面在金屬或含金屬表面上選擇性沉積受關注的層(諸如,金屬氧化物層)。
例如,表面中之一者可為基材之導電金屬或含金屬表面,而另一表面可為基材之不導電氧化物表面。在一些實施例中,不導電表面包含-OH基團,諸如氧化矽基表面(例如,低k材料,包括經生長及沉積之氧化矽材料及矽上天然氧化物)。氧化物表面可藉由暴露至矽烷化劑而相對於金屬或含金屬表面選擇性鈍化,且金屬氧化物隨後可相對於經矽烷化之氧化物表面選擇性沉積在金屬或含金屬表面上。
兩基材表面之間的材料差異使得氣相沉積方法可相對於金屬或含金屬表面選擇性鈍化氧化物表面。在一些實施例中,使用循環氣相沉積,例如,循環CVD或原子層沉積(ALD)製程。在一些實施例中,可在金屬或含金屬表面上無鈍化/阻擋劑(以接收較少的鈍化層)的情況下及/或在氧化物層之表面上無催化劑以接收更多的鈍化層的情況下達成針對鈍化層之選擇性。例如,在第一表面為含金屬且第二表面為氧化物之實施例中,氧化物層可在未預處理氧化物表面或者金屬或含金屬表面的情況下相對於金屬或含金屬表面選擇性矽烷化。在其他實施例中,金屬或含金屬表面為首先處理以抑制表面的鈍化(諸如矽烷化)。例如,鈍化阻擋自組裝單層(SAM)可相對於氧化物表面首先形成在金屬或含金屬表面上方,有助於相對於經SAM覆蓋之含金屬表面在氧化物表面上選擇性沉積鈍化層。鈍化抑制劑可在選擇性鈍化之後且在沉積金屬氧化物之前移除。在鈍化層之選擇性沉積完成之後,可相對於經鈍化表面在未經鈍化之金屬或含金屬表面上實施受關注之材料(諸如,金屬氧化物)之選擇性沉積。
如本文中所使用,除非另有具體指明,若在本文中將表面稱為金屬表面,則其可為金屬表面或含金屬表面。在一些實施例中,金屬或含金屬表面可包含表面氧化。在一些實施例中,金屬表面之材料在有或無表面氧化的情況下為導電的。在一些實施例中,金屬表面包含一或多個過渡金屬。在一些實施例中,金屬表面包含Al、Cu、Co、Ni、W、Nb、Fe、或Mo中之一或多者。在一些實施例中,金屬表面包含Cu。在一些實施例中,金屬表面為銅表面。在一些實施例中,含金屬表面包含氮化鈦。在一些實施例中,金屬表面包含一或多個貴金屬(諸如Ru)。在一些實施例中,金屬表面包含金屬氧化物,(諸如,導電金屬氧化物、金屬氮化物、碳化物、硼化物、或其組合)。例如,金屬或含金屬表面可包含RuOx 、NbCx 、NbBx 、NiOx 、CoOx 、NbOx 、MoOx 、WOx 、WNCx 、TaN、或TiN中之一或多者。
在一些實施例中,金屬或含金屬表面為可接受或配合如本文所述之用於受關注層(諸如,金屬氧化物)之選擇性沉積製程中之前驅物的表面。
如上文所提及,在一些實施例中,金屬或含金屬表面可在其上方包含鈍化阻擋層。也就是說,在一些實施例中,金屬或含金屬表面可包含在金屬或含金屬表面上抑制鈍化層形成的材料(例如,自組裝單層(SAM))。在一些實施例中,沉積製程包括在金屬或含金屬表面上但未在欲鈍化之表面上形成鈍化阻擋層。基材表面之鈍化
在一些實施例中,氧化物(或其他介電質)表面可經鈍化。在一些實施例中,鈍化相對於另一表面(諸如,相同基材上之金屬或含金屬表面)對氧化物表面具選擇性。在一些實施例中,氧化物表面為藉由暴露至蒸氣相矽烷化劑一或多次而矽烷化。例如,在鈍化步驟中,矽烷化劑可導入至反應空間中並與氧化物表面接觸。矽烷化劑可為例如氯矽烷、烷氧基矽烷、矽烷基鹵化物、矽烷基氰酸鹽、矽烷基疊氮化物、矽烷基異氰酸鹽、矽烷基異硫氰酸鹽、矽烷基磺酸鹽、矽烷基乙醯胺、矽烷基碳二亞胺、烯丙基矽烷、或承氮矽烷(諸如,矽氮烷、咪唑、或胺)。在一些實施例中,矽烷化劑為烯丙基三甲基矽烷(TMS-A)、氯三甲基矽烷(TMS-Cl)、N-(三甲基矽基)咪唑(TMS-Im)、十八烷基三氯矽烷(ODTCS)、六甲基二矽氮烷(HMDS)、或N-(三甲基矽基)二甲胺(TMSDMA),且矽烷化包含使基材暴露至矽烷化劑之一或多個脈衝。在一些實施例中,金屬或含金屬表面及氧化物表面兩者均與矽烷化劑接觸,所述矽烷化劑諸如烯丙基三甲基矽烷(TMS-A)、氯三甲基矽烷(TMS-Cl)、N-(三甲基矽基)咪唑(TMS-Im)、十八烷基三氯矽烷(ODTCS)、六甲基二矽氮烷(HMDS)、或N-(三甲基矽基)二甲胺(TMSDMA)。在一些實施例中,基材之氧化物表面為相對於基材之金屬或含金屬表面選擇性矽烷化。
在一些實施例中,矽烷化劑為烷胺基矽烷。例如,基材之氧化物表面可與具有式(RI )3 Si(NRII RIII )之烷胺基矽烷接觸,其中RI 為直鏈或支鏈C1至C5烷基或直鏈或支鏈C1至C4烷基,RII 為直鏈或支鏈C1至C5烷基、直鏈或支鏈C1至C4烷基、或氫,且RIII 為直鏈或支鏈C1至C5烷基或直鏈或支鏈C1至C4烷基。
在一些實施例中,矽烷化劑為矽烷。例如,氧化物表面可與具有通式(RI )3 SiA之矽烷接觸,其中RI 為直鏈或支鏈C1至C5烷基或直鏈或支鏈C1至C4烷基,且A為與含矽表面具反應性之任何配位體。
矽烷化劑可提供至在單一脈衝或在多個脈衝序列中固持基材之反應室。在一些實施例中,矽烷化劑為以單一長脈衝或以多個較短脈衝提供。脈衝可循序地提供。在一些實施例中,矽烷化劑為以從約0.1秒至約60秒之1個至25個脈衝提供。在脈衝之間,矽烷化劑可從反應空間移除。例如,反應室可排空及/或以惰性氣體沖洗。沖洗可為例如持續約1秒至30秒或更長。
在一些實施例中,矽烷化製程之溫度可為例如從約50 °C至500 °C或約100 °C至約300 °C。矽烷化製程期間的壓力可為例如從約10-5 Torr至約760 Torr,或在一些實施例中,從約1 Torr至10 Torr或約0.1 Torr至約10 Torr。
在一些實施例中,矽烷化製程可原位實行,也就是說,在與後續相對於經矽烷化表面在未經矽烷化表面上之沉積(例如,金屬氧化物(諸如氧化鋁)之選擇性沉積)製程相同的反應室中實行。然而,在一些實施例中,矽烷化可在分開的反應室中實行。在一些實施例中,在其中實行矽烷化之反應室為包括一或多個額外反應室之群集工具的部件。例如,此一群集工具可包括用於沉積金屬氧化物及/或用於蝕刻一或多個層之額外反應室。在一些實施例中,群集工具包括分開模組以用於預處理、氧化物表面之矽烷化、金屬氧化物之選擇性沉積、及後續的後沉積處理(諸如,蝕刻或電漿後沉積清潔)。在一些實施例中,相同模組可用於二或更多個製程。
在一些實施例中,基材可在鈍化及/或選擇性沉積製程之前或在其等開始之時經預處理或清潔。在一些實施例中,可在選擇性鈍化及/或選擇性沉積製程之前或在其等開始之時使基材遭受電漿清潔製程。在一些實施例中,電漿清潔製程可不包括離子轟擊,或可包括相對少量之離子轟擊。在一些實施例中,可在鈍化製程及/或選擇性金屬氧化物沉積製程之前或在其開始之時使基材表面暴露至電漿、自由基、受激發物種、及/或原子物種。在一些實施例中,可在選擇性鈍化製程及/或選擇性金屬氧化沉積製程之前或在其開始時使基材表面暴露至氫電漿、自由基、或原子物種。相對於經鈍化氧化物表面在金屬或含金屬表面上選擇性沉積金屬氧化物
金屬氧化物可相對於基材之經鈍化氧化物表面選擇性沉積在基材之金屬或含金屬表面上。在一些實施例中,於氧化物表面上選擇性形成鈍化層之後,金屬氧化物為藉由使基材交替且循序地與包含金屬氧化物之金屬的第一反應物及包含氧之第二反應物接觸而選擇性沉積在第二表面上。在一些實施例中,第二反應物為水。在一些實施例中,基材為循序地與第一及第二反應物接觸,使得金屬氧化物為選擇性沉積在金屬或含金屬表面上或上方(請參見例如,圖1A至圖1D)。
在一些實施例中,金屬反應物為疏水性反應物,其包含一或多個疏水性配位體。在一些實施例中,疏水性反應物包含二至四個疏水性配位體。在疏水性反應物包含具有n 價態/氧化態之金屬的情況下,在一些實施例中,疏水性前驅物包含n -1或n -2個疏水性配位體。
在一些實施例中,至少一疏水性配位體僅包含C及H。在一些實施例中,至少一疏水性配位體包含C、H、及Si、或Ge,但無額外元素。
在一些實施例中,烴配位體包含下列之一或多者: ˙C1至C10烴(單、雙、或參鍵結) ○烷基 ■C1至C5烷基 ˙Me、Et、Pr、i Pr、Bu、t Bu ○烯基 ■C1至C6烯基 ○環烴 ■C3至C8 ˙環戊二烯基 ˙環庚二烯基 ˙環庚三烯基 ˙環己基 ˙彼等之衍生物 ○芳香族 ■C6芳香環及彼等之衍生物
在一些實施例中,疏水性金屬反應物不包含親水性配位體。然而,在一些實施例中,疏水性金屬反應物可包含一或兩個親水性配位體。在一些實施例中,親水性配位體包含氮、氧、及/或鹵素基團。
在一些實施例中,親水性配位體為烷基胺(-NR2 ,其中各R可為烷基、氫)。在一些實施例中,親水性配位體可為-NMe2 、-NEtMe、或-NEt2
在一些實施例中,親水性配位體為烷氧化物(例如,-OMe、-OEt、-Oi Pr、-Ot Bu)。
在一些實施例中,親水性配位體包含鹵化物(諸如,氯化物、氟化物、或其他鹵化物)。
在一些實施例中,疏水性前驅物包含下式: Ln MXy ,其中 ■在一些實施例中,n為從1至6; ˙在一些實施例中,n為從1至4或3至4。 ■在一些實施例中,y為從0至2; ˙在一些實施例中,y為從0至1。 ■L為疏水性配位體; ˙在一些實施例中,L為Cp或C1至C4烷基配位體。 ■X為親水性配位體; ˙在一些實施例中,X為烷基胺、烷氧化物、或鹵化物配位體。 ■M為金屬(包括第13族元素、B、及Ga); ˙在一些實施例中,M具有+I上至+VI之氧化態。 ○在一些實施例中,M具有+IV至+V之氧化態。 ˙在一些實施例中,M可為過渡金屬。 ○在一些實施例中,M為Ti、Ta、Nb、W、Mo、Hf、Zr、V、或Cr。 ■在一些實施例中,M為Hf、Zr、Ta、或Nb。 ˙在一些實施例中,M為Zr。 ○在一些實施例中,M為Co、Fe、Ni、Cu、或Zn。 ○在一些實施例中,金屬非W或Mo。 ˙在一些實施例中,M可為稀土金屬。 ○在一些實施例中,M為La、Ce、或Y。 ˙在一些實施例中,M可為來自第2族至第13族之金屬。 ○在一些實施例中,M為Ba、Sr、Mg、Ca、或Sc。 ˙在一些實施例中,M非貴金屬。
更通常地,在一些實施例中,選擇性ALD製程使用金屬前驅物。在一些實施例中,金屬前驅物之金屬可選自包含下列之群組:Al、Ti、Ta、Nb、W、Mo、Hf、Zr、V、Cr、Co、Fe、Ni、Cu、Zn、La、Ce、Y、Ba、Sr、Mg、Ca、或Sc、或其混合物。在一些實施例中,金屬可為Al。
在一些實施例中,氧化鋁為選擇性沉積,且選擇性ALD製程使用Al前驅物。Al前驅物之實例包括三甲基鋁(TMA)、二甲基氯化鋁、三氯化鋁(AlCl3 )、二甲基異丙氧化鋁(DMAI)、三(第三丁基)鋁(TTBA)、三異丙氧化鋁(TIPA)、或三乙基鋁(TEA)。在一些實施例中,鋁前驅物為混配鋁化合物。在一些實施例中,混配鋁化合物包含烷基及另一配位體(諸如,鹵化物,例如Cl)。在一些實施例中,鋁化合物為二甲基氯化鋁。在一些實施例中,鋁前驅物為包含兩個不同烷基作為配位體之烷基前驅物。在一些實施例中,鋁前驅物為金屬有機化合物。在一些實施例中,鋁前驅物為有機金屬化合物。
在一些實施例中,氧化鋯為使用雙(甲基環戊二烯基)甲氧基甲基鋯(IV) ((CpMe)2 -Zr-(OMe)Me)來選擇性沉積。
在一些實施例中,氧化鉿為使用雙(甲基環戊二烯基)甲氧基甲基鉿(IV) ((CpMe)2 -Hf-(OMe)Me)來沉積。
在一些實施例中,第二反應物貢獻一或多個元素至經選擇性沉積之材料。例如,第二反應物可為用以沉積金屬氧化物之氧前驅物。
在一些實施例中,第二反應物包含氧前驅物。在一些實施例中,第二反應物包含H2 O、O3 、H2 O2 氧電漿、離子、自由基、原子O、或氧之受激發物種。
在一些實施例中,可利用貢獻除O以外之元素至沉積材料的其他反應物。除了第二氧反應物以外,可使用這些反應物,或這些反應物本身可充當第二反應物並貢獻氧及其他元素至沉積膜。例如,在一些實施例中,氮反應物可用以貢獻氮,硫反應物可用以貢獻硫、碳反應物可用以貢獻碳,或矽反應物可用以貢獻矽。
在一些實施例中,金屬氧化物薄膜(諸如,氧化鋁(例如,Al2 O3 ))為相對於一或多個氧化物表面選擇性沉積在一或多個金屬或含金屬表面(諸如,銅、鈷、氮化鈦、或鎢表面)上。在一第一步驟中,包含金屬表面及氧化物表面之基材為經處理以藉由矽烷化在氧化物表面上形成鈍化層,如上文所述。例如,在一些實施例中,(多個)基材表面可暴露至矽烷化劑(諸如,烯丙基三甲基矽烷(TMS-A)、氯三甲基矽烷(TMS-Cl)、N-(三甲基矽基)咪唑(TMS-Im)、十八烷基三氯矽烷(ODTCS)、六甲基二矽氮烷(HMDS)、或N-(三甲基矽基)二甲胺(TMSDMA)),所述矽烷化劑選擇性矽烷化(多個)氧化物表面。在氧化物表面上形成鈍化層之後,藉由氣相沉積製程來相對於經鈍化之氧化物表面在金屬或含金屬表面上選擇性沉積金屬氧化物。選擇性沉積可如本文所述。例如,在一些實施例中,藉由交替且循序地使基材與鋁反應物及氧前驅物接觸來選擇性沉積氧化鋁。鋁反應物可包含例如三甲基鋁(TMA)、二甲基氯化鋁、三氯化鋁(AlCl3 )、二甲基異丙氧化鋁(DMAI)、三(第三丁基)鋁(TTBA)、三異丙氧化鋁(TIPA)、或三乙基鋁(TEA)。氧反應物可包含例如水。在一些實施例中,氧化鋁可藉由原子層沉積製程來沉積,其中基材為交替且循序地與鋁反應物及水接觸。在一些實施例中,氧化鋁沉積期間之反應室中的溫度為從約150 ℃至約350 ℃。在一些實施例中,用於反應物之脈衝時間可為從約0.1秒至約10秒,且在反應物脈衝之間的沖洗時間亦可為從約0.1秒至約10秒。在一些實施例中,反應室壓力可為例如從約10-5 Torr至約760 Torr,或在一些實施例中從約1 Torr至10 Torr。
在金屬氧化物之選擇性沉積之後,基材可遭受後沉積清潔步驟以從氧化物表面移除鈍化層,如上文所提及。在一些實施例中,清潔步驟可包含H2 電漿處理。在一些實施例中,清潔步驟為在約室溫至約400 ℃之溫度下實行。在一些實施例中,約25 W至250 W之電漿功率可用以在例如以約10 sccm至500 sccm之流量率的流動H2 中生成電漿。在一些實施例中,在金屬氧化物層的沉積後之清潔時間可為例如從約0.1秒至600秒或更多。
在一些實施例中,薄金屬氧化物膜(諸如,氧化鋁(例如,Al2 O3 ))為相對於一或多個經鈍化之氧化物表面選擇性沉積在三維結構之金屬或含金屬表面上。三維結構可包含例如貫孔或溝槽。在一些實施例中,氧化物表面可在沉積金屬氧化物膜之前經選擇性鈍化。氣相沉積為接著實行以在未經鈍化之金屬表面上沉積金屬氧化物。鈍化阻擋層
鈍化阻擋層可有助於相對於鈍化阻擋層在介電質材料上選擇性形成鈍化層。如上文所述,自組裝單層(SAM)可作用以抑制金屬或含金屬表面之矽烷化,從而有助於介電質表面之選擇性鈍化。用語「阻擋(blocking)」因而僅為標籤,且無需意指有機鈍化層沉積之100%去活化。如本文中於別處所述,即使不完全之選擇性可足以在回蝕製程之後獲得完全選擇性之結構。選擇性
選擇性鈍化及/或選擇性沉積可為完全選擇性或部分選擇性。部分選擇性製程之後可為後沉積蝕刻,其從一表面上方移除全部的沉積材料而不從第二表面上方移除全部的沉積材料,得出完全選擇性層。因此,在一些實施例中,為了得到所欲益處,選擇性沉積不須為完全選擇性。
相對於第二表面(稱為表面B)在第一表面(此處稱為表面A)上之沉積(或鈍化)的選擇性可給定為藉由[(表面A上之沉積)-(表面B上之沉積)]/(表面A上之沉積)計算出的百分率。沉積可以各種方式之任一者進行測量。例如,沉積可給定為沉積材料之測量厚度,或可給定為沉積材料之測量量。在本文所述之實施例中,氧化物表面(A)可相對於金屬或含金屬表面(B)選擇性鈍化。對鈍化而言,若鈍化為由基材表面之處理而非層之沉積所導致,則鈍化的量可為基材表面上已與鈍化劑起反應之可用的反應性位點之測量值。隨後,金屬氧化物層可相對於氧化物表面(A)上方之鈍化層選擇性沉積在金屬或含金屬表面(B)上。
在一些實施例中,用於(相對於金屬或含金屬表面)在氧化物表面上選擇性形成鈍化層之選擇性為大於約10%、大於約50%、大於約75%、大於約85%、大於約90%、大於約93%、大於約95%、大於約98%、大於約99%、或甚至大於約99.5%。
在一些實施例中,(相對於經鈍化之氧化物表面)在金屬或含金屬表面上沉積金屬氧化物之選擇性為大於約10%、大於約50%、大於約75%、大於約85%、大於約90%、大於約93%、大於約95%、大於約98%、大於約99%、或甚至大於約99.5%。
在一些實施例中,沉積僅發生在一表面上而未發生在另一表面上。
在一些實施例中,相對於基材之金屬或含金屬表面之藉由矽烷化來鈍化氧化物表面的選擇性為至少約80%。在一些實施例中,鈍化製程的選擇性為至少約50%。在一些實施例中,鈍化製程的選擇性為至少約10%。熟習本項技藝者將瞭解,部分選擇性製程可藉由從金屬或含金屬表面移除任何矽烷化的後沉積蝕刻來得出氧化物表面的完全選擇性鈍化。
在一些實施例中,相對於基材之經矽烷化之氧化物表面在基材之金屬或含金屬表面上沉積金屬氧化物的選擇性為至少約80%。在一些實施例中,相對於基材之經矽烷化之氧化物表面在基材之金屬或含金屬表面上沉積金屬氧化物的選擇性為至少約50%。在一些實施例中,相對於基材之經矽烷化之氧化物表面在基材之金屬或含金屬表面上沉積金屬氧化物的選擇性為至少約10%。熟習此項技藝者將瞭解,後沉積蝕刻(或其他處理)可接續在部分選擇性製程之後,其從經矽烷化之氧化物表面上方本質上移除全部的沉積材料。此外,後沉積處理亦可協助調適選擇性沉積層的位置及/或輪廓。金屬氧化物在金屬或含金屬表面上之選擇性沉積
圖1A至圖1D示意地繪示一實施例,其用於相對於第二金屬或含金屬表面選擇性鈍化第一氧化物表面,隨後相對於經鈍化之第一氧化物表面在第二金屬或含金屬表面上選擇性沉積金屬氧化物。
圖1A繪示具有材料上不同之暴露表面的基材。例如,第一表面可包含諸如鈷(Co)、銅(Cu)、鎢(W)、或鉬(Mo)之金屬或由所述金屬界定。第二表面可包含氧化物或由所述氧化物界定,所述氧化物諸如氧化矽基層或具有形成於上方之原生氧化物的矽表面。
圖1B顯示選擇性鈍化氧化物表面(諸如藉由矽烷化)之後的圖1A之基材。例如,鈍化層可藉由使基材暴露至矽烷化劑而選擇性形成在氧化物表面上,所述矽烷化劑諸如烯丙基三甲基矽烷(TMS-A)、氯三甲基矽烷(TMS-Cl)、N-(三甲基矽基)咪唑(TMS-Im)、十八烷基三氯矽烷(ODTCS)、六甲基二矽氮烷(HMDS)、或N-(三甲基矽基)二甲胺(TMSDMA)。
圖1C顯示相對於氧化物表面上之鈍化層在金屬表面上選擇性沉積金屬氧化物之後的圖1B之基材。金屬氧化物可為例如金屬氧化物(諸如,氧化鋁、氧化鋯、氧化鉿、氧化鈦、氧化鉭、氧化釔、氧化鑭)、或其他過渡金屬氧化物、或其混合物。在一些實施例中,金屬氧化物為氧化鋁。在一些實施例中,金屬氧化物為藉由氣相沉積製程(諸如,原子層沉積製程)來選擇性沉積。在用於選擇性沉積金屬氧化物之一些ALD製程中,基材為交替且循序地與金屬反應物及氧反應物接觸。例如,氧化鋁可藉由ALD製程相對於經鈍化表面選擇性沉積在金屬或含金屬表面上,所述ALD製程包含交替且循序地使基材與鋁反應物(諸如,三甲基鋁(TMA)、二甲基氯化鋁、三氯化鋁(AlCl3 )、二甲基異丙氧化鋁(DMAI)、三(第三丁基)鋁(TTBA)、三異丙氧化鋁(TIPA)、或三乙基鋁(TEA))及氧反應物(諸如,水)接觸。
如上文所述,任何經沉積在鈍化層上之金屬氧化物可藉由後沉積處理(諸如,回蝕製程)來移除。由於金屬氧化物為選擇性沉積在金屬表面上,鈍化表面上遺留的任何金屬氧化物將比金屬表面上形成之金屬氧化物薄。因此,後沉積處理可經控制以在不從金屬表面上方移除全部的金屬氧化物之情況下,在包含鈍化層的表面上方移除全部的金屬氧化物。以此方式重複選擇性沉積及回蝕可導致金屬表面上之金屬氧化物的厚度隨沉積及蝕刻之各循環增加。以此方式重複選擇性沉積及回蝕亦可導致金屬或含金屬表面上之金屬氧化物的總體選擇性增加,因為沉積及蝕刻之各循環遺留了選擇性金屬氧化物沉積在其上方未充分成核之清潔鈍化層。在其他實施例中,金屬氧化物材料可在後續的鈍化層移除期間移除。例如,直接蝕刻或剝離方法之任一者可用以在循環選擇性沉積及移除中從鈍化層表面移除金屬氧化物。
圖1D顯示後沉積處理以從氧化物表面移除鈍化層(諸如,藉由蝕刻製程)之後的圖1C之基材。在一些實施例中,蝕刻製程可包含使基材暴露至電漿。在一些實施例中,電漿可包含氧原子、氧自由基、氧電漿、或其組合。在一些實施例中,電漿可包含氫原子、氫自由基、氫電漿、或其組合。在一些實施例中,電漿可包含稀有氣體物種(例如,Ar或He物種)。在一些實施例中,電漿基本上可由稀有氣體物種組成。在一些情況下,電漿可包含其他物種,例如氮原子、氮自由基、氮電漿或其組合。在一些實施例中,蝕刻製程可包含使基材暴露至包含氧(例如,O3 )之蝕刻劑。在一些實施例中,基材可在介於約30 ℃與約500 ℃之間或介於約100 ℃與約400 ℃之間的溫度下暴露至蝕刻劑。在一些實施例中,蝕刻劑可以一個連續脈衝供應或可以多個脈衝供應。如上文所述,在循環選擇性沉積及移除中,鈍化層移除可用以在完整移除鈍化層或在部分移除鈍化層之任一者中,從氧化物層上方剝離任何剩餘金屬氧化物。
可在前述製程之前、之後、或之間實施額外處理(諸如,熱或化學處理)。例如,處理可改質表面或移除在製程之不同階段經暴露之金屬、氧化矽、鈍化及金屬氧化物表面的部分。在一些實施例中,可在製程之前或在其開始之時預處理或清潔基材。在一些實施例中,基材可遭受電漿清潔製程,如上文所提及者。
雖然已討論某些實施例及實例,所屬技術領域中具有通常知識者將了解,本申請專利範圍之範疇延伸超出具體揭示之實施例至其他替代實施例及/或用途及明顯修改與其等同物。
無。
圖1A為根據一第一實施例之具有第一金屬表面及第二相鄰氧化物表面之基材的一部分的示意截面。 圖1B為圖1A之基材在氧化物表面之選擇性鈍化後的示意截面。 圖1C為圖1B之基材在金屬氧化物選擇性沉積於金屬表面上後的示意截面。 圖1D為圖1C之基材從氧化物表面移除鈍化材料後的示意截面。

Claims (21)

  1. 一種相對於基材的氧化物表面在所述基材的金屬表面上選擇性沉積金屬氧化物的方法,依序包含:相對於所述金屬表面選擇性鈍化所述氧化物表面以形成一鈍化層於所述氧化物表面上;相對於經鈍化的所述氧化物表面在所述金屬表面上從蒸氣相反應物選擇性沉積所述金屬氧化物;以及在沉積所述金屬氧化物之後,移除該鈍化層,其中選擇性鈍化所述氧化物表面包含使所述氧化物表面暴露至矽烷化劑。
  2. 如請求項1所述的相對於基材的氧化物表面在所述基材的金屬表面上選擇性沉積金屬氧化物的方法,其中所述金屬表面包含Al、Cu、Co、Ni、W、Nb、Fe及Mo中的一或多者。
  3. 如請求項1所述的相對於基材的氧化物表面在所述基材的金屬表面上選擇性沉積金屬氧化物的方法,其中所述矽烷化劑包含烯丙基三甲基矽烷(TMS-A)、氯三甲基矽烷(TMS-Cl)、N-(三甲基矽基)咪唑(TMS-Im)、十八烷基三氯矽烷(ODTCS)、六甲基二矽氮烷(HMDS)、或N-(三甲基矽基)二甲胺(TMSDMA)。
  4. 如請求項1所述的相對於基材的氧化物表面在所述基材的金屬表面上選擇性沉積金屬氧化物的方法,其中所述矽烷化劑包含烷胺基矽烷。
  5. 如請求項3所述的相對於基材的氧化物表面在所述基材的金屬表面上選擇性沉積金屬氧化物的方法,其中所述烷胺基矽烷具有式(RI)3Si(NRIIRIII),其中RI為直鏈或支鏈C1至C5烷基,RII為直鏈或支鏈C1至C5烷基或氫,且RIII為直鏈或支鏈C1至C5烷基。
  6. 如請求項1所述的相對於基材的氧化物表面在所述基材的金屬表面上選擇性沉積金屬氧化物的方法,其中所述矽烷化劑包含矽烷。
  7. 如請求項6所述的相對於基材的氧化物表面在所述基材的金屬表面上選擇性沉積金屬氧化物的方法,其中所述矽烷具有通式(RI)3SiA,其中RI為直鏈或支鏈C1至C5烷基,且A為與含矽表面具反應性的任何配位體。
  8. 如請求項1所述的相對於基材的氧化物表面在所述基材的金屬表面上選擇性沉積金屬氧化物的方法,其中所述金屬氧化物包含介電質過渡金屬氧化物。
  9. 如請求項1所述的相對於基材的氧化物表面在所述基材的金屬表面上選擇性沉積金屬氧化物的方法,其中所述金屬氧化物包含氧化鋁、氧化鋯、氧化鉿、氧化鈦、氧化鉭、氧化釔、氧化鑭或其混合物。
  10. 如請求項9所述的相對於基材的氧化物表面在所述基材的金屬表面上選擇性沉積金屬氧化物的方法,其中所述金屬氧化物包含氧化鋁。
  11. 如請求項10所述的相對於基材的氧化物表面在所述基材的金屬表面上選擇性沉積金屬氧化物的方法,其中所述氧化鋁為使用包含三甲基鋁(TMA)、二甲基氯化鋁、三氯化鋁(AlCl3)、二甲基異丙氧化鋁(DMAI)、三(第三丁基)鋁(TTBA)、三異丙氧化鋁(TIPA)或三乙基鋁(TEA)的鋁前驅物來沉積。
  12. 如請求項11所述的相對於基材的氧化物表面在所述基材的金屬表面上選擇性沉積金屬氧化物的方法,其中所述氧化鋁為使用包含二甲基異丙氧化鋁(DMAI)的鋁前驅物來沉積。
  13. 如請求項10所述的相對於基材的氧化物表面在所述基材的金屬表面上選擇性沉積金屬氧化物的方法,其中所述氧化鋁為使用包含混配鋁化合物的鋁前驅物來沉積,所述混配鋁化合物包含烷基及不同配位體。
  14. 如請求項13所述的相對於基材的氧化物表面在所述基材的金屬表面上選擇性沉積金屬氧化物的方法,其中所述不同配位體為鹵化物。
  15. 如請求項10所述的相對於基材的氧化物表面在所述基材的金屬表面上選擇性沉積金屬氧化物的方法,其中所述氧化鋁為使用包含鋁烷基化合物的鋁前驅物來沉積,所述鋁烷基化合物包含兩個不同烷基作為配位體。
  16. 如請求項10所述的相對於基材的氧化物表面在所述基材的金屬表面上選擇性沉積金屬氧化物的方法,其中所述鋁化 合物為使用包含金屬有機鋁化合物或有機金屬鋁化合物的鋁前驅物來沉積。
  17. 如請求項10所述的相對於基材的氧化物表面在所述基材的金屬表面上選擇性沉積金屬氧化物的方法,其中所述鋁氧化物為藉由原子層沉積製程來沉積,所述原子層沉積製程包含交替且循序地使所述基材與第一反應物及第二反應物接觸,所述第一反應物包含三甲基鋁(TMA)、二甲基氯化鋁、三氯化鋁(AlCl3)、二甲基異丙氧化鋁(DMAI)、三(第三丁基)鋁(TTBA)、三異丙氧化鋁(TIPA)或三乙基鋁(TEA),所述第二反應物包含水。
  18. 如請求項1所述的相對於基材的氧化物表面在所述基材的金屬表面上選擇性沉積金屬氧化物的方法,其中所述金屬氧化物為藉由原子層沉積(ALD)製程來選擇性沉積。
  19. 如請求項18所述的相對於基材的氧化物表面在所述基材的金屬表面上選擇性沉積金屬氧化物的方法,其中所述原子層沉積製程包含使所述基材交替且循序地與第一金屬反應物及第二氧反應物接觸。
  20. 如請求項1所述的相對於基材的氧化物表面在所述基材的金屬表面上選擇性沉積金屬氧化物的方法,額外地包含在選擇性鈍化所述氧化物表面之前於所述金屬表面上形成鈍化阻擋層。
  21. 如請求項20所述的相對於基材的氧化物表面在所述基材的金屬表面上選擇性沉積金屬氧化物的方法,其中所述鈍化阻擋層包含自組裝單層(SAM)。
TW109111985A 2019-04-12 2020-04-09 金屬氧化物在金屬表面上之選擇性沉積 TWI838513B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962833256P 2019-04-12 2019-04-12
US62/833,256 2019-04-12

Publications (2)

Publication Number Publication Date
TW202041701A TW202041701A (zh) 2020-11-16
TWI838513B true TWI838513B (zh) 2024-04-11

Family

ID=72748498

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109111985A TWI838513B (zh) 2019-04-12 2020-04-09 金屬氧化物在金屬表面上之選擇性沉積

Country Status (5)

Country Link
US (1) US11965238B2 (zh)
JP (1) JP7523936B2 (zh)
KR (1) KR20200120872A (zh)
CN (1) CN111816547A (zh)
TW (1) TWI838513B (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
JP7169072B2 (ja) * 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11319449B2 (en) * 2019-12-20 2022-05-03 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Area selective deposition of metal containing films
TW202140833A (zh) * 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
US20220139703A1 (en) * 2020-10-30 2022-05-05 The Board Of Trustees Of The Leland Stanford Junior University New precursors for selective atomic layer deposition of metal oxides with small molecule inhibitors
WO2022104226A1 (en) * 2020-11-16 2022-05-19 Versum Materials Us, Llc Selective deposition of silicon and oxygen containing dielectric film on dielectrics
KR102569201B1 (ko) * 2021-06-04 2023-08-23 주식회사 한솔케미칼 유기 금속 화합물 제조방법 및 이를 이용하여 박막을 형성하는 방법
WO2024070526A1 (ja) * 2022-09-30 2024-04-04 富士フイルム株式会社 薬液、修飾基板の製造方法、積層体の製造方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103476965A (zh) * 2011-02-07 2013-12-25 乔治洛德方法研究和开发液化空气有限公司 由铝和硅前体沉积Al2O3/SiO2 叠层的方法
TW201534749A (zh) * 2014-02-04 2015-09-16 Asm Ip Holding Bv 金屬、金屬氧化物與介電質的選擇性沈積
TW201712140A (zh) * 2015-08-03 2017-04-01 Asm Ip控股公司 選擇性地沉積材料的方法及選擇性地沉積金屬氧化物膜的方法
TW201833356A (zh) * 2016-11-29 2018-09-16 荷蘭商Asm Ip控股公司 將薄膜及氧化金屬薄膜沉積於基板表面上之方法

Family Cites Families (281)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
WO2001012731A1 (en) 1999-08-19 2001-02-22 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4382219B2 (ja) 1999-10-29 2009-12-09 日本電気株式会社 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP4703810B2 (ja) 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
TW508658B (en) 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100719177B1 (ko) 2000-07-31 2007-05-17 주식회사 하이닉스반도체 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
WO2002045167A2 (en) 2000-11-30 2002-06-06 Asm International N.V. Thin films for magnetic devices
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
WO2003083167A1 (en) 2002-03-28 2003-10-09 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
EP2182088B1 (en) 2002-11-15 2013-07-17 President and Fellows of Harvard College Atomic layer deposition using metal amidinates
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US6802945B2 (en) 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
EP1623454A2 (en) 2003-05-09 2006-02-08 ASM America, Inc. Reactor surface passivation through chemical deactivation
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
KR20050103811A (ko) 2004-04-27 2005-11-01 삼성에스디아이 주식회사 플라즈마 증착 공정에 의해 형성된 박막트랜지스터
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7276433B2 (en) 2004-12-03 2007-10-02 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
WO2007064376A2 (en) 2005-11-28 2007-06-07 Honeywell International Inc. Organometallic precursors and related intermediates for deposition processes, their production and methods of use
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US8187678B2 (en) 2006-02-13 2012-05-29 Stc.Unm Ultra-thin microporous/hybrid materials
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
WO2007140813A1 (en) 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US20080032064A1 (en) 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
JP4881262B2 (ja) 2006-11-28 2012-02-22 株式会社荏原製作所 基板の表面処理方法
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
KR20150139628A (ko) 2007-09-14 2015-12-11 시그마 알드리치 컴퍼니 엘엘씨 하프늄과 지르코늄계 전구체를 이용한 원자층 증착에 의한 박막의 제조 방법
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
US8030212B2 (en) 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
CN101883877A (zh) 2007-11-06 2010-11-10 Hcf合伙人股份两合公司 原子层沉积法
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
TWI390756B (zh) 2008-07-16 2013-03-21 Applied Materials Inc 使用摻質層遮罩之混合異接面太陽能電池製造
EP2324509A2 (en) 2008-08-27 2011-05-25 Applied Materials, Inc. Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
KR20110084275A (ko) 2008-10-27 2011-07-21 어플라이드 머티어리얼스, 인코포레이티드 삼원 화합물의 기상 증착 방법
WO2010065505A2 (en) 2008-12-01 2010-06-10 E. I. Du Pont De Nemours And Company Anode for an organic electronic device
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
KR101556238B1 (ko) 2009-02-17 2015-10-01 삼성전자주식회사 매립형 배선라인을 갖는 반도체 소자의 제조방법
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
GB0906105D0 (en) 2009-04-08 2009-05-20 Ulive Entpr Ltd Mixed metal oxides
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP2011018742A (ja) 2009-07-08 2011-01-27 Renesas Electronics Corp 半導体装置の製造方法
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
JP2013501139A (ja) 2009-07-31 2013-01-10 アクゾ ノーベル ケミカルズ インターナショナル ベスローテン フエンノートシャップ コーティングされた基材を調製するためのプロセス、コーティングされた基材、及びその使用
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI509695B (zh) 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
US20110311726A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
JP5400964B2 (ja) 2010-07-01 2014-01-29 東京エレクトロン株式会社 半導体装置の製造方法
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8822350B2 (en) 2010-11-19 2014-09-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
DE102011012515A1 (de) 2011-02-25 2012-08-30 Umicore Ag & Co. Kg Metallkomplexe mit N-Amino-Amidinat-Liganden
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
WO2012167141A2 (en) 2011-06-03 2012-12-06 Novellus Systems, Inc. Metal and silicon containing capping layers for interconnects
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI541377B (zh) 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) * 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9194840B2 (en) 2012-01-19 2015-11-24 Life Technologies Corporation Sensor arrays and methods for making same
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
EP2875166B1 (en) 2012-07-20 2018-04-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
CN104968724B (zh) 2013-01-31 2017-09-26 大日本印刷株式会社 电子束固化性树脂组合物、反射器用树脂框架、反射器、半导体发光装置、及成形体的制造方法
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US8980734B2 (en) 2013-03-08 2015-03-17 Freescale Semiconductor, Inc. Gate security feature
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
US9209014B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
JP2015012179A (ja) 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
RU2643931C2 (ru) 2013-06-28 2018-02-06 Интел Корпорейшн Устройства, основанные на избирательно эпитаксиально выращенных материалах iii-v групп
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
NZ716773A (en) 2013-09-20 2020-06-26 Baker Hughes Inc Composites for use in stimulation and sand control operations
EP3050084A4 (en) 2013-09-27 2017-05-24 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting encroachment of the layers over adjacent regions
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
WO2015094305A1 (en) 2013-12-19 2015-06-25 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
KR102287787B1 (ko) 2014-03-27 2021-08-10 인텔 코포레이션 광 지원형 금속 원자층 퇴적(ald) 및 화학 기상 퇴적(cvd)을 위한 전구체 및 프로세스 설계
KR20160137977A (ko) 2014-03-28 2016-12-02 인텔 코포레이션 선택적 에피택셜 성장된 iii-v족 재료 기반 디바이스
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9449963B2 (en) * 2014-07-03 2016-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure with hard mask structure formed thereon and method for forming the same
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
EP3026055A1 (en) 2014-11-28 2016-06-01 Umicore AG & Co. KG New metal N-aminoguanidinate complexes for use in thin film fabrication and catalysis
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9805914B2 (en) 2015-04-03 2017-10-31 Applied Materials, Inc. Methods for removing contamination from surfaces in substrate processing systems
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
KR102579784B1 (ko) 2015-05-01 2023-09-15 어플라이드 머티어리얼스, 인코포레이티드 표면 블록 화학작용을 이용한 박막 유전체의 선택적 증착
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
CN108064225A (zh) 2015-06-18 2018-05-22 英特尔公司 用于第二或第三行过渡金属薄膜的沉积的固有地选择性前驱体
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
WO2017184357A1 (en) 2016-04-18 2017-10-26 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10580644B2 (en) 2016-07-11 2020-03-03 Tokyo Electron Limited Method and apparatus for selective film deposition using a cyclic treatment
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
KR102662612B1 (ko) 2016-10-02 2024-05-03 어플라이드 머티어리얼스, 인코포레이티드 루테늄 라이너로 구리 전자 이동을 개선하기 위한 도핑된 선택적 금속 캡
US10358719B2 (en) 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
JP7183187B2 (ja) 2017-05-16 2022-12-05 エーエスエム アイピー ホールディング ビー.ブイ. 誘電体上の酸化物の選択的peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
US10586734B2 (en) 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
US11450525B2 (en) 2018-09-14 2022-09-20 Applied Materials, Inc. Selective aluminum oxide film deposition
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103476965A (zh) * 2011-02-07 2013-12-25 乔治洛德方法研究和开发液化空气有限公司 由铝和硅前体沉积Al2O3/SiO2 叠层的方法
TW201534749A (zh) * 2014-02-04 2015-09-16 Asm Ip Holding Bv 金屬、金屬氧化物與介電質的選擇性沈積
TW201712140A (zh) * 2015-08-03 2017-04-01 Asm Ip控股公司 選擇性地沉積材料的方法及選擇性地沉積金屬氧化物膜的方法
TW201833356A (zh) * 2016-11-29 2018-09-16 荷蘭商Asm Ip控股公司 將薄膜及氧化金屬薄膜沉積於基板表面上之方法

Also Published As

Publication number Publication date
CN111816547A (zh) 2020-10-23
KR20200120872A (ko) 2020-10-22
JP7523936B2 (ja) 2024-07-29
US20200325573A1 (en) 2020-10-15
JP2020172704A (ja) 2020-10-22
US11965238B2 (en) 2024-04-23
TW202041701A (zh) 2020-11-16

Similar Documents

Publication Publication Date Title
TWI838513B (zh) 金屬氧化物在金屬表面上之選擇性沉積
TWI842748B (zh) 選擇性沉積的方法以及用於選擇性沉積的組合工具和系統
KR102662636B1 (ko) 유전체 표면들에 대하여 금속 또는 금속성 표면들 상에서의 선택적 퇴적
TWI819682B (zh) 氣相塗佈之方法及氣相沈積製程
US10157786B2 (en) Selective formation of metallic films on metallic surfaces
US11643720B2 (en) Selective deposition of silicon oxide on metal surfaces
US12068156B2 (en) Selective deposition of SiOC thin films
CN116065134A (zh) 选择性热沉积方法
US20220139703A1 (en) New precursors for selective atomic layer deposition of metal oxides with small molecule inhibitors
US20230227965A1 (en) Method and apparatus for forming a patterned structure on a substrate
US20230178371A1 (en) Method and apparatus for hard mask deposition
US20240297073A1 (en) Methods of forming interconnect structures
TW202311556A (zh) 選擇性鈍化及選擇性沉積