CN111816547A - 金属氧化物在金属表面上的选择性沉积 - Google Patents

金属氧化物在金属表面上的选择性沉积 Download PDF

Info

Publication number
CN111816547A
CN111816547A CN202010267196.6A CN202010267196A CN111816547A CN 111816547 A CN111816547 A CN 111816547A CN 202010267196 A CN202010267196 A CN 202010267196A CN 111816547 A CN111816547 A CN 111816547A
Authority
CN
China
Prior art keywords
oxide
metal
aluminum
substrate
deposited
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202010267196.6A
Other languages
English (en)
Inventor
A·伊利贝里
M·吉文斯
S·邓
G·A·维尼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of CN111816547A publication Critical patent/CN111816547A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour

Abstract

提供了相对于氧化物表面在金属或金属性表面上选择性沉积金属氧化物膜的方法。衬底的氧化物表面可相对于金属或金属性表面被选择性地钝化,例如通过将衬底暴露于硅烷化剂。自气相反应物相对于经钝化的氧化物表面在金属或金属性表面上选择性地沉积金属氧化物。

Description

金属氧化物在金属表面上的选择性沉积
相关申请的引用
本申请要求2019年4月12日提交的美国临时申请号62/833,256的优先权,该临时申请通过引用并入本文。
背景
技术领域
本公开总体上涉及金属氧化物相对于衬底的第二介电表面在衬底的第一金属或金属性表面上的选择性沉积。
背景技术
半导体制造中不断缩小的器件尺寸要求新的创新性加工方法。常规上,半导体加工中的图案化涉及减法工艺,其中沉积毯覆层、通过光刻技术掩蔽并通过掩模中的开口蚀刻。还已知加法图案化,其中掩蔽步骤先于感兴趣的材料的沉积,如使用剥离(lift-off)技术或镶嵌工艺(damascene processing)进行的图案化。在大多数情况下,应用昂贵的多步光刻技术来图案化。
图案化可通过选择性沉积来简化,选择性沉积已引起了半导体制造商越来越多的兴趣。选择性沉积以各种方式高度有益。重要的是,它可减少光刻步骤,从而降低加工成本。选择性沉积也可实现窄结构中增强的缩微。
附图说明
图1A为根据第一个实施方案具有第一金属表面和第二相邻氧化物表面的衬底的一部分的示意性横截面。
图1B为在氧化物表面的选择性钝化之后图1A的衬底的示意性横截面。
图1C为金属表面上金属氧化物的选择性沉积之后图1B的衬底的示意性横截面。
图1D为从氧化物表面去除钝化材料之后图1C的衬底的示意性横截面。
发明内容
在一些方面,提供了相对于介电表面如氧化物表面在衬底的金属表面上选择性地沉积金属氧化物的方法。在一些实施方案中,相对于金属表面选择性地钝化介电表面并自气相反应物相对于经钝化的介电表面在金属表面上选择性地沉积金属氧化物。在一些实施方案中,金属表面包含Al、Cu、Co、Ni、W、Nb、Fe或Mo。在一些实施方案中,介电表面包含介电过渡金属氧化物。在一些实施方案中,介电表面包含氧化铝、氧化锆、氧化铪、氧化钛、氧化钽、氧化钇或氧化镧。
在一些实施方案中,选择性地钝化介电表面如氧化物表面包括将介电表面暴露于硅烷化剂。在一些实施方案中,硅烷化剂为烷基氨基硅烷。在一些实施方案中,硅烷化剂为硅烷。在一些实施方案中,硅烷化剂包含烯丙基三甲基硅烷(TMS-A)、三甲基氯硅烷(TMS-Cl)、N-(三甲基甲硅烷基)咪唑(TMS-Im)、十八烷基三氯硅烷(ODTCS)、六甲基二硅氮烷(HMDS)或N-(三甲基甲硅烷基)二甲基胺(TMSDMA)。
在一些实施方案中,介电表面包含氧化铝。氧化铝可使用铝前体来沉积,铝前体包括三甲基铝(TMA)、二甲基氯化铝、三氯化铝(AlCl3)、二甲基异丙醇铝(DMAI)、三(叔丁基)铝(TTBA)、三(异丙醇)铝(TIPA)或三乙基铝(TEA)。在一些实施方案中,使用包含烷基基团和不同配体如卤化物的杂配位铝化合物来沉积氧化铝。在一些实施方案中,使用铝前体和水通过ALD来沉积氧化铝。
在一些实施方案中,在选择性地钝化介电表面之前在金属表面上形成钝化阻断层。这样的钝化阻断层可包含例如自组装单层(SAM)。
具体实施方式
金属氧化物可相对于第二介电表面如氧化物表面选择性地沉积在第一金属(或金属性)表面上方。在一些实施方案中,氧化物表面与金属表面相邻。在本文所述的实施方案中,氧化物表面可相对于金属表面被选择性地钝化,例如通过硅烷化。随后,相对于经钝化的氧化物表面在金属表面上选择性地沉积金属氧化物层。金属氧化物层可通过气相沉积工艺如原子层沉积工艺沉积。在一些实施方案中,用硅烷化剂如烯丙基三甲基硅烷(TMS-A)、三甲基氯硅烷(TMS-Cl)、N-(三甲基甲硅烷基)咪唑(TMS-Im)、十八烷基三氯硅烷(ODTCS)、六甲基二硅氮烷(HMDS)或N-(三甲基甲硅烷基)二甲基胺(TMSDMA)来将衬底上的氧化物表面硅烷化,并随后相对于经钝化的氧化物表面在衬底的金属表面上选择性地沉积金属氧化物。在一些实施方案中,金属氧化物层可以是氧化铝层,如Al2O3层。例如,可通过ALD工艺选择性地沉积氧化铝层,例如使用铝反应物如三甲基铝(TMA)、二甲基氯化铝、三氯化铝(AlCl3)、二甲基异丙醇铝(DMAI)、三(叔丁基)铝(TTBA)、三(异丙醇)铝(TIPA)或三乙基铝(TEA)和水作为反应物。
在一些实施方案中,衬底的金属或金属性表面包含元素金属或金属合金,而衬底的第二不同表面包含介电材料如氧化物。实例包括基于氧化硅的材料,包括生长或沉积的二氧化硅、掺杂和/或多孔的氧化物、硅上的天然氧化物等。介电层的表面相对于金属或金属性表面被选择性地钝化,如通过选择性硅烷化。随后,相对于经钝化的介电表面在金属或金属性表面上选择性地沉积金属氧化物层。可沉积的金属氧化物的实例包括电介质,如氧化锆(例如,ZrO2)、氧化铪(例如,HfO2)、氧化铝(例如,Al2O3)、氮化钛(例如,TiN)和氧化钛(例如,TiO2)。在一些实施方案中,金属氧化物被选择性地沉积于的金属或金属性表面至少部分地与被选择性地钝化的介电表面相邻。例如,金属或金属性表面的至少一部分可与氧化物表面相邻。
在一些实施方案中,在在介电表面如氧化物表面上形成钝化层之前,可向金属或金属性表面提供钝化阻断层,如自组装单层(SAM)。钝化阻断层可促进介电表面对硅烷化的选择性,并可随后去除以允许金属氧化物相对于经硅烷化的介电表面在金属或金属性表面上的选择性沉积。
可在在金属或金属性表面上方选择性沉积金属氧化物层之后从介电表面如从氧化物表面去除钝化层(硅烷化)。可选择条件以避免损伤衬底上的周围材料。
可用于本文所述的选择性沉积工艺中的合适反应器的实例包括市售ALD设备。除ALD反应器外,也可采用能够生长有机钝化层的许多其它种类的反应器,包括CVD反应器、VDP反应器和MLD反应器。
衬底表面
根据本公开的一些方面,可使用选择性沉积来相对于氧化物表面或其它介电表面优先地在金属或金属性表面上沉积感兴趣的膜,如金属氧化物膜。在一些实施方案中,衬底上两个表面至少部分地彼此相邻。氧化物表面相对于金属或金属性表面的选择性钝化如氧化物表面的选择性硅烷化将促进感兴趣的层如金属氧化物层相对于经硅烷化的氧化物表面在金属或金属性表面上的后续选择性沉积。
例如,表面中之一可以是衬底的导电金属或金属性表面,而另一表面可以是衬底的非导电氧化物表面。在一些实施方案中,非导电表面包含-OH基团,如基于氧化硅的表面(例如,低-k材料,包括生长和沉积的硅氧化物材料和硅上的天然氧化物)。可通过暴露于硅烷化剂使氧化物表面相对于金属或金属性表面被选择性地钝化,随后可相对于经硅烷化的氧化物表面在金属或金属性表面上选择性地沉积金属氧化物。
两个衬底表面之间的材料差异使得气相沉积方法可相对于金属或金属性表面选择性地钝化氧化物表面。在一些实施方案中,使用循环气相沉积,例如循环CVD或原子层沉积(ALD)工艺。在一些实施方案中,可在金属或金属性表面上无钝化/阻断剂(以接收较少的钝化层)的情况下和/或在氧化物层的表面上无催化剂以接收更多的钝化层的情况下实现对钝化层的选择性。例如,在其中第一表面是金属性的而第二表面为氧化物的实施方案中,氧化物层可相对于金属或金属性表面被选择性地硅烷化而无需氧化物表面或者金属或金属性表面的预处理。在其它实施方案中,首先处理金属或金属性表面以抑制该表面的钝化(如硅烷化)。例如,可首先相对于氧化物表面在金属或金属性表面上方形成钝化阻断自组装单层(SAM),从而促进钝化层相对于SAM覆盖金属性表面在氧化物表面上的选择性沉积。可在选择性钝化之后并在金属氧化物的沉积之前去除钝化抑制剂。在完成钝化层的选择性沉积之后,可相对于经钝化的表面对非钝化金属或金属性表面进行感兴趣的材料如金属氧化物的选择性沉积。
如本文所用,除非另外指明,否则如果某表面在本文中被称为金属表面,则其可以是金属或金属性表面。在一些实施方案中,金属或金属性表面可包含表面氧化。在一些实施方案中,金属表面的材料在具有或不具有表面氧化的情况下是导电的。在一些实施方案中,金属表面包含一种或多种过渡金属。在一些实施方案中,金属表面包含Al、Cu、Co、Ni、W、Nb、Fe或Mo中的一种或多种。在一些实施方案中,金属表面包含Cu。在一些实施方案中,金属表面为铜表面。在一些实施方案中,金属性表面包含氮化钛。在一些实施方案中,金属表面包含一种或多种贵金属如Ru。在一些实施方案中,金属表面包含金属氧化物如导电金属氧化物、金属氮化物、碳化物、硼化物或它们的组合。例如,金属或金属性表面可包含RuOx、NbCx、NbBx、NiOx、CoOx、NbOx、MoOx、WOx、WNCx、TaN或TiN中的一种或多种。
在一些实施方案中,金属或金属性表面为可接受如本文所述在感兴趣的层如金属氧化物的选择性沉积工艺中采用的前体或与之配位的表面。
如上文所提及,在一些实施方案中,金属或金属性表面可在其上方包含钝化阻断层。也就是说,在一些实施方案中,金属或金属性表面可包含将抑制金属或金属性表面上钝化层例如自组装单层(SAM)的形成的材料。在一些实施方案中,沉积工艺包括在金属或金属性表面上但不在待钝化的表面上形成钝化阻断层。
衬底表面的钝化
在一些实施方案中,可钝化氧化物(或其它介电)表面。在一些实施方案中,钝化对于氧化物表面相对于另一表面如同一衬底上的金属或金属性表面是选择性的。在一些实施方案中,通过暴露于气相硅烷化剂一次或多次来使氧化物表面硅烷化。例如,在钝化步骤中,硅烷化剂可在反应空间中进行并与氧化物表面接触。硅烷化剂可以是例如氯硅烷、烷氧基硅烷、甲硅烷基卤化物、甲硅烷基氰酸盐、甲硅烷基叠氮化物、甲硅烷基异氰酸盐、甲硅烷基异硫氰酸盐、甲硅烷基磺酸盐、甲硅烷基乙酰胺、甲硅烷基碳二亚胺、烯丙基硅烷或含氮硅烷如硅氮烷、咪唑或胺。在一些实施方案中,硅烷化剂为烯丙基三甲基硅烷(TMS-A)、三甲基氯硅烷(TMS-Cl)、N-(三甲基甲硅烷基)咪唑(TMS-Im)、十八烷基三氯硅烷(ODTCS)、六甲基二硅氮烷(HMDS)或N-(三甲基甲硅烷基)二甲基胺(TMSDMA)并且硅烷化包括将衬底暴露于硅烷化剂的一个或多个脉冲。在一些实施方案中,使金属或金属性表面和氧化物表面二者都与硅烷化剂如烯丙基三甲基硅烷(TMS-A)、三甲基氯硅烷(TMS-Cl)、N-(三甲基甲硅烷基)咪唑(TMS-Im)、十八烷基三氯硅烷(ODTCS)、六甲基二硅氮烷(HMDS)或N-(三甲基甲硅烷基)二甲基胺(TMSDMA)接触。在一些实施方案中,衬底的氧化物表面相对于衬底的金属或金属性表面被选择性地硅烷化。
在一些实施方案中,硅烷化剂为烷基氨基硅烷。例如,可使衬底的氧化物表面与具有式(RI)3Si(NRIIRIII)的烷基氨基硅烷接触,其中RI为直链或支链C1-C5烷基基团或者直链或支链C1-C4烷基基团,RII为直链或支链C1-C5烷基基团、直链或支链C1-C4烷基基团或者氢,RIII为直链或支链C1-C5烷基基团或者直链或支链C1-C4烷基基团。
在一些实施方案中,硅烷化剂为硅烷。例如,可使氧化物表面与具有通式(RI)3SiA的硅烷接触,其中RI为直链或支链C1-C5烷基基团或者直链或支链C1-C4烷基基团,A为任何能与含硅表面反应的配体。
可以单个脉冲或以一系列多个脉冲向容留衬底的反应腔室提供硅烷化剂。在一些实施方案中,硅烷化剂以单个长脉冲或以多个较短脉冲提供。可依次提供脉冲。在一些实施方案中,以1至25个脉冲提供硅烷化剂,持续约0.1至约60秒。在脉冲之间,可从反应空间去除硅烷化剂。例如,可用惰性气体抽空和/或吹扫反应腔室。吹扫可持续例如约1至30秒或更多。
在一些实施方案中,硅烷化过程的温度可为例如约50至500℃,或约100至约300℃。硅烷化过程期间的压力可为例如约10-5至约760托,或在一些实施方案中约1至10托或约0.1至约10托。
在一些实施方案中,硅烷化过程可原位进行,即与例如相对于经硅烷化的表面在非硅烷化表面上选择性沉积金属氧化物如氧化铝的后续沉积过程在同一反应腔室中进行。然而,在一些实施方案中,硅烷化可在单独的反应腔室中进行。在一些实施方案中,在其中进行硅烷化的反应腔室是丛集工具的一部分,丛集工具包括一个或多个另外的反应腔室。例如,这样的丛集工具可包括用于沉积金属氧化物和/或用于蚀刻一个或多个层的另外的反应腔室。在一些实施方案中,丛集工具包括单独的模块用于预处理、氧化物表面的硅烷化、金属氧化物的选择性沉积和随后的沉积后处理如蚀刻或沉积后等离子体清洁。在一些实施方案中,同一模块可用于两个或更多个过程。
在一些实施方案中,可在钝化和/或选择性沉积过程之前或开始之时对衬底进行预处理或清洁。在一些实施方案中,可在选择性钝化和/或选择性沉积过程之前或开始之时使衬底经受等离子体清洁过程。在一些实施方案中,等离子体清洁过程可不包括离子轰击,或可包括相对少量的离子轰击。在一些实施方案中,可在钝化过程和/或选择性金属氧化物沉积过程之前或开始之时使衬底表面暴露于等离子体、自由基、激发物种和/或原子物种。在一些实施方案中,可在选择性钝化过程和/或选择性金属氧化物沉积过程之前或开始之时使衬底表面暴露于氢等离子体、自由基或原子物种。
金属氧化物相对于经钝化的氧化物表面在金属或金属性表面上的选择性沉积
金属氧化物可相对于衬底的经钝化氧化物表面选择性地沉积在衬底的金属或金属性表面上。在氧化物表面上选择性地形成钝化层之后,在一些实施方案中,通过使衬底与包含金属氧化物的金属的第一反应物和包含氧的第二反应物交替且依次地接触来在第二表面上选择性地沉积金属氧化物。在一些实施方案中,第二反应物为水。在一些实施方案中,使衬底与第一和第二反应物依次地接触,使得在金属或金属性表面上或上方选择性地沉积金属氧化物(参见例如图1A-1D)。
在一些实施方案中,金属反应物为包含一种或多种疏水配体的疏水反应物。在一些实施方案中,疏水反应物包含两至四种疏水配体。在包含价态/氧化态为n的金属的疏水反应物情况下,在一些实施方案中,疏水前体包含n-1或n-2疏水配体。
在一些实施方案中,至少一种疏水配体仅包含C和H。在一些实施方案中,至少一种疏水配体包含C、H和Si或Ge,但不包含另外的元素。
在一些实施方案中,烃配体包括以下中的一种或多种:
●C1-C10烃(单键、双键或三键)
○烷基
■C1-C5烷基
●Me、Et、Pr、iPr、Bu、tBu
ο烯基
■C1-C6烯基,
ο环状烃
■C3-C8
●环戊二烯基
●环庚二烯基
●环庚三烯基
●环己基
●它们的衍生物
ο芳族
■C6芳环及它们的衍生物
在一些实施方案中,疏水金属反应物不包含亲水配体。然而,在一些实施方案中,疏水金属反应物可包含一种或两种亲水配体。在一些实施方案中,亲水配体包含氮、氧和/或卤素基团。
在一些实施方案中,亲水配体为烷基胺(-NR2,其中每一个R可为烷基、氢)。在一些实施方案中,亲水配体可为-NMe2、-NEtMe或-NEt2
在一些实施方案中,亲水配体为醇盐,例如-OMe、-OEt、-OiPr、-OtBu。
在一些实施方案中,亲水配体包含卤化物,如氯化物、氟化物或其它卤化物。
在一些实施方案中,疏水前体包含式:
○LnMXy,其中
■在一些实施方案中,n为1-6;
●在一些实施方案中,n为1-4或3-4。
■在一些实施方案中,y为0-2;
●在一些实施方案中,y为0-1。
■L为疏水配体;
●在一些实施方案中,L为Cp或C1-C4烷基配体。
■X为亲水配体;
●在一些实施方案中,X为烷基胺、醇盐或卤化物配体。
■M为金属(包括第13族元素、B和Ga);
●在一些实施方案中,M具有从+I一直到+VI的氧化态。
○在一些实施方案中,M具有+IV至+V的氧化态。
●在一些实施方案中,M可为过渡金属。
○在一些实施方案中,M为Ti、Ta、Nb、W、Mo、Hf、Zr、V或Cr。
■在一些实施方案中,M为Hf、Zr、Ta或Nb。
●在一些实施方案中,M为Zr。
○在一些实施方案中,M为Co、Fe、Ni、Cu或Zn。
○在一些实施方案中,金属不为W或Mo。
●在一些实施方案中,M可为稀土金属。
○在一些实施方案中,M为La、Ce或Y。
●在一些实施方案中,M可为来自第2-13族的金属。
○在一些实施方案中,M为Ba、Sr、Mg、Ca或Sc。
●在一些实施方案中,M不为贵金属。
更一般地说,在一些实施方案中,选择性ALD过程采用金属前体。在一些实施方案中,金属前体的金属可选自Al、Ti、Ta、Nb、W、Mo、Hf、Zr、V、Cr、Co、Fe、Ni、Cu、Zn、La、Ce、Y、Ba、Sr、Mg、Ca或Sc或它们的混合物。在一些实施方案中,金属可为Al。
在一些实施方案中,选择性地沉积氧化铝并且选择性ALD过程采用Al前体。Al前体的实例包括三甲基铝(TMA)、二甲基氯化铝、三氯化铝(AlCl3)、二甲基异丙醇铝(DMAI)、三(叔丁基)铝(TTBA)、三(异丙醇)铝(TIPA)或三乙基铝(TEA)。在一些实施方案中,铝前体为杂配位铝化合物。在一些实施方案中,杂配位铝化合物包含烷基基团和另一配体,如卤化物,例如Cl。在一些实施方案中,铝化合物为二甲基氯化铝。在一些实施方案中,铝前体为包含两个不同的烷基基团作为配体的烷基前体。在一些实施方案中,铝前体为金属有机化合物。在一些实施方案中,铝前体为有机金属化合物。
在一些实施方案中,使用双(甲基环戊二烯基)甲氧基甲基锆(IV)((CpMe)2-Zr-(OMe)Me)来选择性地沉积氧化锆。
在一些实施方案中,使用双(甲基环戊二烯基)甲氧基甲基铪(IV)((CpMe)2-Hf-(OMe)Me)来沉积氧化铪。
在一些实施方案中,第二反应物为选择性地沉积的材料贡献一种或多种元素。例如,第二反应物可以是用来沉积金属氧化物的氧前体。
在一些实施方案中,第二反应物包含氧前体。在一些实施方案中,第二反应物包含H2O、O3、H2O2、氧等离子体、离子、自由基、原子O或激发的氧物种。
在一些实施方案中,可采用将向沉积材料贡献非O元素的其它反应物。可除第二氧反应物外还使用这些反应物,或者这些反应物自身可用作第二反应物并向沉积膜贡献氧和另一元素。例如,在一些实施方案中,可使用氮反应物可贡献氮,可使用硫反应物来贡献硫,可使用碳反应物来贡献碳或可使用硅反应物来贡献硅。
在一些实施方案中,相对于一个或多个氧化物表面在一个或多个金属或金属性表面如铜、钴、氮化钛或钨表面上选择性地沉积金属氧化物薄膜如氧化铝(例如,Al2O3)。在第一步中,如上所述,通过硅烷化处理包含金属表面和氧化物表面的衬底以在氧化物表面上形成钝化层。例如,在一些实施方案中,可使一个或多个衬底表面暴露于硅烷化剂,如烯丙基三甲基硅烷(TMS-A)、三甲基氯硅烷(TMS-Cl)、N-(三甲基甲硅烷基)咪唑(TMS-Im)、十八烷基三氯硅烷(ODTCS)、六甲基二硅氮烷(HMDS)或N-(三甲基甲硅烷基)二甲基胺(TMSDMA),其选择性地硅烷化一个或多个氧化物表面。在在氧化物表面上形成钝化层之后,通过气相沉积工艺相对于经钝化的氧化物表面在金属或金属性表面上选择性地沉积金属氧化物。选择性沉积可如本文所述。例如,在一些实施方案中,通过使衬底与铝反应物和氧前体交替且依次地接触来选择性地沉积氧化铝。铝前体可包括例如三甲基铝(TMA)、二甲基氯化铝、三氯化铝(AlCl3)、二甲基异丙醇铝(DMAI)、三(叔丁基)铝(TTBA)、三(异丙醇)铝(TIPA)或三乙基铝(TEA)。氧前体可包括例如水。在一些实施方案中,氧化铝可通过原子层沉积工艺沉积,其中使衬底与铝反应物和水交替且依次地接触。在一些实施方案中,氧化铝沉积期间反应腔室中的温度为约150至约350℃。在一些实施方案中,反应物的脉冲时间可为约0.1至约10秒,反应物脉冲之间的吹扫时间也可为约0.1至约10秒。在一些实施方案中,反应腔室压力可为例如约10-5至约760托,或在一些实施方案中为约1至10托。
在选择性沉积金属氧化物之后,可如上所述使衬底经受沉积后清洁步骤以从氧化物表面去除钝化层。在一些实施方案中,清洁步骤可包括H2等离子体处理。在一些实施方案中,清洁步骤在约室温至约400℃的温度下进行。在一些实施方案中,可使用约25至250W的等离子体功率来在流动的H2中生成等离子体,例如,以约10至500sccm的流速。在一些实施方案中,在沉积金属氧化物层之后的清洁时间可为例如约0.1至600秒或更多。
在一些实施方案中,相对于一个或多个经钝化的氧化物表面在三维结构的金属或金属性表面上选择性地沉积薄金属氧化物膜如氧化铝(例如,Al2O3)。三维结构可包括例如过孔或沟槽。在一些实施方案中,可在沉积金属氧化物膜之前选择性地钝化氧化物表面。然后进行气相沉积以在未被钝化的金属表面上沉积金属氧化物。
钝化阻断层
钝化阻断层可促进钝化层相对于钝化阻断层在介电材料上的选择性形成。如上所述,自组装单层(SAM)可用来抑制金属或金属性表面的硅烷化,从而促进介电表面的选择性钝化。术语“阻断”因此仅是标签,并不必意味着有机钝化层沉积的100%钝化。如本文其它地方所述,即使是不完美的选择性也足以在回蚀工艺之后获得完全选择性的结构。
选择性
选择性钝化和/或选择性沉积可以是完全选择性的或部分选择性的。可在部分选择性工艺之后进行沉积后蚀刻,该沉积后蚀刻从一个表面上方去除所有沉积材料而不从第二表面上方去除所有沉积材料,从而形成完全选择性的层。因此,在一些实施方案中,为了获得所需的益处,选择性沉积不必是完全选择性的。
在这里称为表面A的第一表面上相对于称为表面B的第二表面上沉积(或钝化)的选择性可以由[(表面A上的沉积)-(表面B上的沉积)]/(表面A上的沉积)计算的百分数给出。沉积可以利用多种方式中的任一种来测量。例如,沉积可以所沉积材料的测量厚度给出,或者可以沉积的材料的测量量给出。在本文所述的实施方案中,氧化物表面(A)可相对于金属或金属性表面(B)被选择性地钝化。关于钝化,如果钝化是对衬底表面进行处理而不是层的沉积引起的,则钝化的量可以是衬底表面上已与钝化剂反应的可用反应位点的量度。随后,相对于氧化物表面(A)上方的钝化层在金属或金属性表面(B)上选择性地沉积金属氧化物层。
在一些实施方案中,在氧化物表面(相对于金属或金属性表面)上选择性形成钝化层的选择性大于约10%、大于约50%、大于约75%、大于约85%、大于约90%、大于约93%、大于约95%、大于约98%、大于约99%或甚至大于约99.5%。
在一些实施方案中,在金属或金属性表面(相对于经钝化的氧化物表面)上沉积金属氧化物的选择性大于约10%、大于约50%、大于约75%、大于约85%、大于约90%、大于约93%、大于约95%、大于约98%、大于约99%或甚至大于约99.5%。
在一些实施方案中,沉积仅发生在一个表面上而不发生在另一表面上。
在一些实施方案中,通过硅烷化相对于衬底的金属或金属性表面进行氧化物表面的钝化是至少约80%选择性的。在一些实施方案中,钝化工艺是至少约50%选择性的。在一些实施方案中,钝化工艺是至少约10%选择性的。本领域技术人员应理解,通过将从金属或金属性表面去除任何硅烷化的沉积后蚀刻,部分选择性工艺可产生氧化物表面的完全选择性钝化。
在一些实施方案中,金属氧化物相对于衬底的经硅烷化的氧化物表面在衬底的金属或金属性表面上的沉积是至少约80%选择性的。在一些实施方案中,金属氧化物相对于衬底的经硅烷化的氧化物表面在衬底的金属或金属性表面上的沉积是至少约50%选择性的。在一些实施方案中,金属氧化物相对于衬底的经硅烷化的氧化物表面在衬底的金属或金属性表面上的沉积是至少约10%选择性的。本领域技术人员应理解,可在部分选择性工艺之后进行沉积后蚀刻(或其它处理),这将从经硅烷化的氧化物表面上方基本去除所有沉积材料。此外,沉积后处理还可有助于定制选择性地沉积的层的位置和/或轮廓。
金属氧化物在金属或金属性表面上的选择性沉积
图1A-1D示意性地示出了相对于第二金属或金属性表面选择性地钝化第一氧化物表面、然后相对于经钝化的第一氧化物表面在第二金属或金属性表面上选择性沉积金属氧化物的一个实施方案。
图1A示意了一种衬底,其具有暴露的实质不同的表面。例如,第一表面可包含金属或由金属界定,如钴(Co)、铜(Cu)、钨(W)或钼(Mo)。第二表面可包含氧化物或由氧化物界定,如基于氧化硅的层或其上形成有天然氧化物的硅表面。
图1B示出了在氧化物表面如通过硅烷化的选择性钝化之后图1A的衬底。例如,可通过将衬底暴露于硅烷化剂如烯丙基三甲基硅烷(TMS-A)、三甲基氯硅烷(TMS-Cl)、N-(三甲基甲硅烷基)咪唑(TMS-Im)、十八烷基三氯硅烷(ODTCS)、六甲基二硅氮烷(HMDS)或N-(三甲基甲硅烷基)二甲基胺(TMSDMA)来在氧化物表面上选择性地形成钝化层。
图1C示出了在相对于氧化物表面上的钝化层在金属表面上选择性沉积金属氧化物之后图1B的衬底。金属氧化物可例如为金属氧化物如氧化铝、氧化锆、氧化铪、氧化钛、氧化钽、氧化钇、氧化镧或其它过渡金属氧化物或它们的混合物。在一些实施方案中,金属氧化物为氧化铝。在一些实施方案中,通过气相沉积工艺如原子层沉积工艺选择性地沉积金属氧化物。在用于选择性沉积金属氧化物的一些ALD工艺中,使衬底与金属反应物和氧反应物交替且依次地接触。例如,可通过ALD工艺相对于经钝化的表面在金属或金属性表面上选择性地沉积氧化铝,所述工艺包括使衬底与铝反应物如三甲基铝(TMA)、二甲基氯化铝、三氯化铝(AlCl3)、二甲基异丙醇铝(DMAI)、三(叔丁基)铝(TTBA)、三(异丙醇)铝(TIPA)或三乙基铝(TEA)和氧反应物如水交替且依次地接触。
如上所述,沉积在钝化层上的任何金属氧化物可通过沉积后处理如回蚀工艺去除。因为金属氧化物选择性地沉积在金属表面上,故钝化表面上留下的任何金属氧化物将比在金属表面上形成的金属氧化物薄。因此,可控制沉积后处理以除去包含钝化层的表面上方的所有金属氧化物而不从金属表面上方去除所有金属氧化物。以此方式重复选择性沉积和回蚀可导致每个沉积和蚀刻循环中金属表面上金属氧化物的厚度增加。以此方式重复选择性沉积和回蚀还可导致金属或金属性表面上金属氧化物的总体选择性提高,因为每个沉积和蚀刻循环都会留下洁净的钝化层,选择性金属氧化物沉积在其上方成核不良。在其它实施方案中,可在随后去除钝化层期间去除金属氧化物材料。例如,可使用直接蚀刻或剥离方法来在循环的选择性沉积和去除中从钝化层表面去除金属氧化物。
图1D示出了在沉积后处理如通过蚀刻工艺从氧化物表面去除钝化层之后图1C的衬底。在一些实施方案中,蚀刻工艺可包括将衬底暴露于等离子体。在一些实施方案中,等离子体可包含氧原子、氧自由基、氧等离子体或它们的组合。在一些实施方案中,等离子体可包含氢原子、氢自由基、氢等离子体或它们的组合。在一些实施方案中,等离子体可包含惰性气体物种,例如Ar或He物种。在一些实施方案中,等离子体可基本上由惰性气体物种组成。在一些情况下,等离子体可以包含其它物质,例如氮原子、氮自由基、氮等离子体或其组合。在一些实施方案中,蚀刻工艺可包括将衬底暴露于包含氧的蚀刻剂,例如O3。在一些实施方案中,衬底可在约30℃至约500℃之间或约100℃至约400℃之间的温度下暴露于蚀刻剂。在一些实施方案中,蚀刻剂可以一个连续脉冲供给或者可以多个脉冲供给。如上所述,可在循环的选择性沉积和去除中使用钝化层去除来以钝化层的完全去除或以钝化层的部分去除从氧化物层上方剥离任何剩余的金属氧化物。
可在前述工艺之前、之后或之间进行额外的处理,如热处理或化学处理。例如,处理可改变表面或去除在工艺的各个阶段暴露的金属、氧化硅、钝化和金属氧化物表面的一部分。在一些实施方案中,可在工艺之前或开始之时对衬底进行预处理或清洁。在一些实施方案中,可如上所述使衬底经受等离子体清洁过程。
尽管已讨论了某些实施方案和实施例,但本领域技术人员应理解,权利要求书的范围延伸到具体公开的实施方案之外,延伸到其它替代的实施方案和/或用途以及其明显的变型和等同物。

Claims (22)

1.一种相对于衬底的氧化物表面在所述衬底的金属表面上选择性地沉积金属氧化物的方法,所述方法依次包括:
相对于所述金属表面选择性地钝化所述氧化物表面;以及
自气相反应物相对于经钝化的氧化物表面在所述金属表面上选择性地沉积所述金属氧化物。
2.根据权利要求1所述的方法,其中所述金属表面包含Al、Cu、Co、Ni、W、Nb、Fe和Mo中的一种或多种。
3.根据权利要求1所述的方法,其中选择性地钝化所述氧化物表面包括将所述氧化物表面暴露于硅烷化剂。
4.根据权利要求3所述的方法,其中所述硅烷化剂包含烯丙基三甲基硅烷(TMS-A)、三甲基氯硅烷(TMS-Cl)、N-(三甲基甲硅烷基)咪唑(TMS-Im)、十八烷基三氯硅烷(ODTCS)、六甲基二硅氮烷(HMDS)或N-(三甲基甲硅烷基)二甲基胺(TMSDMA)。
5.根据权利要求3所述的方法,其中所述硅烷化剂包含烷基氨基硅烷。
6.根据权利要求4所述的方法,其中所述烷基氨基硅烷具有式(RI)3Si(NRIIRIII),其中RI为直链或支链C1-C5烷基基团或者直链或支链C1-C4烷基基团,RII为直链或支链C1-C5烷基基团、直链或支链C1-C4烷基基团或者氢,RIII为直链或支链C1-C5烷基基团或者直链或支链C1-C4烷基基团。
7.根据权利要求3所述的方法,其中所述硅烷化剂包含硅烷。
8.根据权利要求7所述的方法,其中所述硅烷具有通式(RI)3SiA,其中RI为直链或支链C1-C5烷基基团或者直链或支链C1-C4烷基基团,并且A为任何能与含硅表面反应的配体。
9.根据权利要求1所述的方法,其中所述金属氧化物包含介电过渡金属氧化物。
10.根据权利要求1所述的方法,其中所述金属氧化物包含氧化铝、氧化锆、氧化铪、氧化钛、氧化钽、氧化钇、氧化镧或其它过渡金属氧化物或它们的混合物。
11.根据权利要求10所述的方法,其中所述金属氧化物包含氧化铝。
12.根据权利要求11所述的方法,其中所述氧化铝使用铝前体来沉积,所述铝前体包含三甲基铝(TMA)、二甲基氯化铝、三氯化铝(AlCl3)、二甲基异丙醇铝(DMAI)、三(叔丁基)铝(TTBA)、三(异丙醇)铝(TIPA)或三乙基铝(TEA)。
13.根据权利要求12所述的方法,其中所述氧化铝使用包含二甲基异丙醇铝(DMAI)的铝前体来沉积。
14.根据权利要求11所述的方法,其中所述氧化铝使用包含杂配位铝化合物的铝前体来沉积,所述杂配位铝化合物包含烷基基团和不同的配体。
15.根据权利要求14所述的方法,其中所述不同的配体为卤化物。
16.根据权利要求11所述的方法,其中所述氧化铝使用包含铝烷基化合物的铝前体来沉积,所述铝烷基化合物包含两个不同的烷基基团作为配体。
17.根据权利要求11所述的方法,其中所述铝化合物使用包含金属有机铝化合物或有机金属铝化合物的铝前体来沉积。
18.根据权利要求11所述的方法,其中所述氧化铝通过ALD工艺来沉积,所述ALD工艺包括使所述衬底与包含三甲基铝(TMA)、二甲基氯化铝、三氯化铝(AlCl3)、二甲基异丙醇铝(DMAI)、三(叔丁基)铝(TTBA)、三(异丙醇)铝(TIPA)或三乙基铝(TEA)的第一反应物和包含水的第二反应物交替且依次地接触。
19.根据权利要求1所述的方法,其中金属氧化物层通过原子层沉积(ALD)工艺来选择性地沉积。
20.根据权利要求19所述的方法,其中所述ALD工艺包括使所述衬底与第一金属反应物和第二氧反应物交替且依次地接触。
21.根据权利要求1所述的方法,所述方法另外包括在选择性地钝化所述氧化物表面之前在所述金属表面上形成钝化阻断层。
22.根据权利要求21所述的方法,其中所述钝化阻断层包含自组装单层(SAM)。
CN202010267196.6A 2019-04-12 2020-04-08 金属氧化物在金属表面上的选择性沉积 Pending CN111816547A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962833256P 2019-04-12 2019-04-12
US62/833,256 2019-04-12

Publications (1)

Publication Number Publication Date
CN111816547A true CN111816547A (zh) 2020-10-23

Family

ID=72748498

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010267196.6A Pending CN111816547A (zh) 2019-04-12 2020-04-08 金属氧化物在金属表面上的选择性沉积

Country Status (4)

Country Link
US (1) US11965238B2 (zh)
JP (1) JP2020172704A (zh)
KR (1) KR20200120872A (zh)
CN (1) CN111816547A (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11608557B2 (en) 2020-03-30 2023-03-21 Asm Ip Holding B.V. Simultaneous selective deposition of two different materials on two different surfaces
US11643720B2 (en) 2020-03-30 2023-05-09 Asm Ip Holding B.V. Selective deposition of silicon oxide on metal surfaces
US11898240B2 (en) 2020-03-30 2024-02-13 Asm Ip Holding B.V. Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US11319449B2 (en) * 2019-12-20 2022-05-03 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Area selective deposition of metal containing films
US20220139703A1 (en) * 2020-10-30 2022-05-05 The Board Of Trustees Of The Leland Stanford Junior University New precursors for selective atomic layer deposition of metal oxides with small molecule inhibitors
JP2023550351A (ja) * 2020-11-16 2023-12-01 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素及び酸素を含有する誘電体膜の誘電体への選択的堆積
WO2024070526A1 (ja) * 2022-09-30 2024-04-04 富士フイルム株式会社 薬液、修飾基板の製造方法、積層体の製造方法

Family Cites Families (285)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
AU6785900A (en) 1999-08-19 2001-03-13 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4382219B2 (ja) 1999-10-29 2009-12-09 日本電気株式会社 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP4703810B2 (ja) 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
EP1282911B1 (en) 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100719177B1 (ko) 2000-07-31 2007-05-17 주식회사 하이닉스반도체 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
EP1340269B1 (en) 2000-11-30 2009-02-25 Asm International N.V. Thin films for magnetic devices
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
KR100996816B1 (ko) 2002-03-28 2010-11-25 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 이산화규소 나노라미네이트의 증기증착
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
KR102220703B1 (ko) 2002-11-15 2021-02-26 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US6802945B2 (en) 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
WO2004102648A2 (en) 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
KR20050103811A (ko) 2004-04-27 2005-11-01 삼성에스디아이 주식회사 플라즈마 증착 공정에 의해 형성된 박막트랜지스터
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7276433B2 (en) 2004-12-03 2007-10-02 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
KR100891779B1 (ko) 2005-11-28 2009-04-07 허니웰 인터내셔날 인코포레이티드 증착 공정용의 유기금속 전구체 및 관련된 중간체, 이들의제조 방법, 및 이들의 사용 방법
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
EP2029790A1 (en) 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
WO2008008319A2 (en) 2006-07-10 2008-01-17 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
JP4881262B2 (ja) 2006-11-28 2012-02-22 株式会社荏原製作所 基板の表面処理方法
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
EP2191034B1 (en) 2007-09-14 2013-03-13 Sigma-Aldrich Co. LLC Methods of preparing thin films by atomic layer deposition using monocyclopentadienyl triamino zirconium precursors
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
US8030212B2 (en) 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
US20100297474A1 (en) 2007-11-06 2010-11-25 Hcf Partners, Lp. Atomic Layer Deposition Process
WO2009102363A2 (en) 2007-11-15 2009-08-20 Stc.Unm Ultra-thin microporous/hybrid materials
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
TWI390756B (zh) 2008-07-16 2013-03-21 Applied Materials Inc 使用摻質層遮罩之混合異接面太陽能電池製造
WO2010025262A2 (en) 2008-08-27 2010-03-04 Applied Materials, Inc. Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
WO2010062582A2 (en) 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
JP2012510706A (ja) 2008-12-01 2012-05-10 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー 有機電子デバイス用のアノード
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
KR101556238B1 (ko) 2009-02-17 2015-10-01 삼성전자주식회사 매립형 배선라인을 갖는 반도체 소자의 제조방법
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
GB0906105D0 (en) 2009-04-08 2009-05-20 Ulive Entpr Ltd Mixed metal oxides
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP2011018742A (ja) 2009-07-08 2011-01-27 Renesas Electronics Corp 半導体装置の製造方法
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
JP2013501139A (ja) 2009-07-31 2013-01-10 アクゾ ノーベル ケミカルズ インターナショナル ベスローテン フエンノートシャップ コーティングされた基材を調製するためのプロセス、コーティングされた基材、及びその使用
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US20110311726A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
US8716130B2 (en) 2010-07-01 2014-05-06 Tokyo Electron Limited Method of manufacturing semiconductor device
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8822350B2 (en) 2010-11-19 2014-09-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
WO2012107138A1 (en) * 2011-02-07 2012-08-16 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude METHOD OF DEPOSITION OF Al2O3/SiO2 STACKS, FROM ALUMINIUM AND SILICON PRECURSORS
DE102011012515A1 (de) 2011-02-25 2012-08-30 Umicore Ag & Co. Kg Metallkomplexe mit N-Amino-Amidinat-Liganden
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8753978B2 (en) 2011-06-03 2014-06-17 Novellus Systems, Inc. Metal and silicon containing capping layers for interconnects
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI606136B (zh) 2011-11-04 2017-11-21 Asm國際股份有限公司 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) * 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9194840B2 (en) 2012-01-19 2015-11-24 Life Technologies Corporation Sensor arrays and methods for making same
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
KR20150036114A (ko) 2012-07-20 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Ald/cvd 규소-함유 필름 애플리케이션을 위한 유기실란 전구체
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20150372205A1 (en) 2013-01-31 2015-12-24 Dai Nippon Printing Co., Ltd. Electron beam curable resin composition, reflector resin frame, reflector, semiconductor light-emitting device, and molded article production method
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US8980734B2 (en) 2013-03-08 2015-03-17 Freescale Semiconductor, Inc. Gate security feature
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US9136110B2 (en) 2013-03-15 2015-09-15 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
RU2643931C2 (ru) 2013-06-28 2018-02-06 Интел Корпорейшн Устройства, основанные на избирательно эпитаксиально выращенных материалах iii-v групп
JP2015012179A (ja) 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
CN105555909B (zh) 2013-09-20 2019-03-12 贝克休斯公司 用于增产和防砂操作的复合物
KR102138719B1 (ko) 2013-09-27 2020-07-28 인텔 코포레이션 인접한 영역들 위로의 층들의 침범을 제한하는 것을 포함한 선택적 화학 반응에 의한 작은 영역들 위에서의 재료 층들의 형성
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
US9831306B2 (en) 2013-12-19 2017-11-28 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
KR102287787B1 (ko) 2014-03-27 2021-08-10 인텔 코포레이션 광 지원형 금속 원자층 퇴적(ald) 및 화학 기상 퇴적(cvd)을 위한 전구체 및 프로세스 설계
CN106030758B (zh) 2014-03-28 2020-07-17 英特尔公司 选择性外延生长的基于iii-v材料的器件
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9449963B2 (en) * 2014-07-03 2016-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure with hard mask structure formed thereon and method for forming the same
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
EP3026055A1 (en) 2014-11-28 2016-06-01 Umicore AG & Co. KG New metal N-aminoguanidinate complexes for use in thin film fabrication and catalysis
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9805914B2 (en) 2015-04-03 2017-10-31 Applied Materials, Inc. Methods for removing contamination from surfaces in substrate processing systems
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
KR102579784B1 (ko) 2015-05-01 2023-09-15 어플라이드 머티어리얼스, 인코포레이티드 표면 블록 화학작용을 이용한 박막 유전체의 선택적 증착
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
US10464959B2 (en) 2015-06-18 2019-11-05 Intel Corporation Inherently selective precursors for deposition of second or third row transition metal thin films
US10428421B2 (en) * 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10580644B2 (en) 2016-07-11 2020-03-03 Tokyo Electron Limited Method and apparatus for selective film deposition using a cyclic treatment
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
JP6998945B2 (ja) 2016-10-02 2022-01-18 アプライド マテリアルズ インコーポレイテッド ルテニウムライナーと共に銅のエレクトロマイグレーションを改善するドープされた選択的な金属キャップ
US10358719B2 (en) 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
US11430656B2 (en) * 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11094535B2 (en) * 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
US10586734B2 (en) 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
US11450525B2 (en) 2018-09-14 2022-09-20 Applied Materials, Inc. Selective aluminum oxide film deposition
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11608557B2 (en) 2020-03-30 2023-03-21 Asm Ip Holding B.V. Simultaneous selective deposition of two different materials on two different surfaces
US11643720B2 (en) 2020-03-30 2023-05-09 Asm Ip Holding B.V. Selective deposition of silicon oxide on metal surfaces
US11898240B2 (en) 2020-03-30 2024-02-13 Asm Ip Holding B.V. Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces

Also Published As

Publication number Publication date
TW202041701A (zh) 2020-11-16
KR20200120872A (ko) 2020-10-22
US11965238B2 (en) 2024-04-23
US20200325573A1 (en) 2020-10-15
JP2020172704A (ja) 2020-10-22

Similar Documents

Publication Publication Date Title
US11965238B2 (en) Selective deposition of metal oxides on metal surfaces
US11739422B2 (en) Passivation against vapor deposition
US11830732B2 (en) Selective passivation and selective deposition
KR102520620B1 (ko) 유전체 표면들에 대하여 금속 또는 금속성 표면들 상에서의 선택적 퇴적
US9587307B2 (en) Enhanced deposition of noble metals
US11643720B2 (en) Selective deposition of silicon oxide on metal surfaces
TW202326852A (zh) 使用熱及電漿增強方法之選擇性沉積
US20210358745A1 (en) Selective passivation and selective deposition
CN116065134A (zh) 选择性热沉积方法
TWI838513B (zh) 金屬氧化物在金屬表面上之選擇性沉積
US20230227965A1 (en) Method and apparatus for forming a patterned structure on a substrate
US20230178371A1 (en) Method and apparatus for hard mask deposition
US20240014030A1 (en) Method for selective deposition of silicon nitride and structure including selectively-deposited silicon nitride layer
US20220216059A1 (en) Method of treating a substrate
TW202311556A (zh) 選擇性鈍化及選擇性沉積
KR20230062782A (ko) 플라즈마를 사용하여 실리콘 및 산소를 포함한 재료의 선택적 증착
CN117721436A (zh) 用于选择性地沉积过渡金属的方法和组件

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination