KR20200120872A - 금속 표면 상에 금속 산화물의 선택적 증착 - Google Patents

금속 표면 상에 금속 산화물의 선택적 증착 Download PDF

Info

Publication number
KR20200120872A
KR20200120872A KR1020200042834A KR20200042834A KR20200120872A KR 20200120872 A KR20200120872 A KR 20200120872A KR 1020200042834 A KR1020200042834 A KR 1020200042834A KR 20200042834 A KR20200042834 A KR 20200042834A KR 20200120872 A KR20200120872 A KR 20200120872A
Authority
KR
South Korea
Prior art keywords
oxide
aluminum
metal
substrate
deposited
Prior art date
Application number
KR1020200042834A
Other languages
English (en)
Inventor
안드레아 일리베리
미하엘 기벤스
샤오렌 뎅
기우세페 알레시오 베르니
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20200120872A publication Critical patent/KR20200120872A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

산화물 표면에 대해 금속 또는 금속성 표면 상에 금속 산화물 막을 선택적으로 증착하는 방법을 제공한다. 예컨대, 기판을 실릴화제에 노출시킴으로써, 금속 또는 금속성 표면에 대해 기판의 산화물 표면을 선택적으로 패시베이션할 수 있다. 패시베이션된 산화물 표면에 대해 금속 또는 금속성 표면 상에 기상 반응물로부터 금속 산화물을 선택적으로 증착한다.

Description

금속 표면 상에 금속 산화물의 선택적 증착{SELECTIVE DEPOSITION OF METAL OXIDES ON METAL SURFACES}
관련 출원의 상호 참조
본 출원은 2019년 4월 12일에 출원된 미국 가출원 제62/833,256호에 대한 우선권을 주장하며, 이는 본원에 참조로 포함된다.
기술분야
본 개시는, 일반적으로 기판의 제2 유전체 표면에 대해 기판의 제1 금속 또는 금속성 표면 상에 금속 산화물을 선택적으로 증착하는 것에 관한 것이다.
반도체 제조에 있어서 소자의 치수가 축소함에 따라 새롭고 혁신적인 공정 접근법을 요구한다. 통상적으로, 반도체 공정에서의 패터닝은 블랭킷층이 증착되고, 포토리소그래피 기술에 의해 마스크 처리되고, 마스크의 개구를 통해 에칭되는 서브트랙티브 공정을 포함한다. 리프트 오프 기술이나 다마신 단계를 사용하는 패터닝과 같이, 마스킹 단계가 관심 재료의 증착에 선행하는 적층 패터닝이 또한 공지되어 있다. 대부분의 경우에, 고 비용의 다단계 리소그래피 기술이 패터닝에 적용된다.
패터닝은, 반도체 제조사 사이에서 관심이 증가되는 선택적 증착에 의해 단순화될 수 있다. 선택적 증착은 다양한 분야에서 매우 유익할 것이다. 중요하게는, 이는 리소그래피 단계를 감소시켜 공정 비용을 줄일 수 있다. 선택적 증착은, 또한 좁은 구조의 스케일링을 강화할 수 있다.
일부 양태에서, 유전체 표면, 예컨대 산화물 표면에 대해 기판의 금속 표면 상에 금속 산화물을 선택적으로 증착하기 위한 방법을 제공한다. 일부 구현예에서, 유전체 표면은 금속 표면에 대해 선택적으로 패시베이션되고, 금속 산화물은 기상 반응물로부터 패시베이션된 유전체 표면에 대해 금속 표면 상에 선택적으로 증착된다. 일부 구현예에서, 금속 표면은 Al, Cu, Co, Ni, W, Nb, Fe 또는 Mo를 포함한다. 일부 구현예에서, 유전체 표면은 유전체 전이금속 산화물을 포함한다. 일부 구현예에서, 유전체 표면은 알루미늄 산화물, 지르코늄 산화물, 하프늄 산화물, 티타늄 산화물, 탄탈륨 산화물, 이트륨 산화물, 또는 란타늄 산화물을 포함한다.
일부 구현예에서, 산화물 표면과 같은 유전체 표면을 선택적으로 패시베이션하는 단계는, 유전체 표면을 실릴화제에 노출시키는 단계를 포함한다. 일부 구현예에서, 실릴화제는 알킬아미노실란이다. 일부 구현예에서, 실릴화제는 실란이다. 일부 구현예에서, 실릴화제는 알릴트리메틸실란(TMS-A), 클로로트리메틸실란(TMS-Cl), N-(트리메틸실릴)이미다졸(TMS-Im), 옥타데실트리클로로실란(ODTCS), 헥사메틸디실라잔(HMDS), 또는 N-(트리메틸실릴)디메틸아민(TMSDMA)을 포함한다.
일부 구현예에서, 유전체 표면은 알루미늄 산화물을 포함한다. 트리메틸 알루미늄(TMA), 디메틸알루미늄클로라이드, 알루미늄 트리클로라이드(AlCl3), 디메틸알루미늄 이소프로폭시드(DMAl), 트리스(터트부틸)알루미늄(TTBA), 트리스(이소프로폭시드)알루미늄(TIPA) 또는 트리에틸 알루미늄(TEA)을 포함하는 알루미늄 전구체를 사용하여, 알루미늄 산화물을 증착할 수 있다. 일부 구현예에서, 알킬기 및 다른 리간드, 예컨대 할라이드를 포함하는 헤테로렙틱 알루미늄 화합물을 사용하여, 알루미늄 산화물을 증착한다. 일부 구현예에서, 알루미늄 산화물은, 알루미늄 전구체 및 물을 사용하여 ALD에 의해 증착된다.
일부 구현예에서, 상기 유전체 표면을 선택적으로 패시베이션하기 전, 패시베이션 차단층이 금속 표면 상에 형성된다. 이러한 패시베이션 차단층은, 예를 들어 자기 조립 단층(SAM)을 포함할 수 있다.
도 1a는 제1 구현예에 따라, 제1 금속 표면 및 인접한 제2 산화물 표면을 갖는 기판 일부의 개략적인 단면도이다.
도 1b는 산화물 표면의 선택적 패시베이션 후 도 1a의 기판의 개략적인 단면도이다.
도 1c는 금속 표면 상에 금속 산화물을 선택적으로 증착한 후, 도 1b의 기판의 개략적인 단면도이다.
도 1d는 산화물 표면으로부터 패시베이션 재료를 제거한 후, 도 1c의 기판의 개략적인 단면도이다.
금속 산화물은, 산화물 표면과 같은 제2 유전체 표면에 대해 제1 금속(또는 금속성) 표면 위에 선택적으로 증착될 수 있다. 일부 구현예에서, 산화물 표면은 금속 표면에 인접하다. 본원에 설명된 구현예에서, 산화물 표면은 금속 표면에 대해, 예를 들어 실릴화에 의해 선택적으로 패시베이션될 수 있다. 이어서, 금속 산화물층이 패시베이션된 산화물 표면에 대해 금속 표면 상에 선택적으로 증착된다. 금속 산화물층은, 원자층 증착 공정과 같은 기상 증착 공정에 의해 증착될 수 있다. 일부 구현예에서, 기판 상의 산화물 표면은 실릴화제, 예컨대 알릴트리메틸실란(TMS-A), 클로로트리메틸실란(TMS-Cl), N-(트리메틸실릴)이미다졸(TMS-Im), 옥타데실트리클로로실란(ODTCS), 헥사메틸디실라잔(HMDS), 또는 N-(트리메틸실릴)디메틸아민(TMSDMA)로 실릴화되고, 이어서, 금속 산화물은 패시베이션된 산화물 표면에 대해 기판의 금속 표면 상에 선택적으로 증착된다. 일부 구현예에서, 금속 산화물층은 알루미늄 산화물층, 예컨대 Al2O3 층일 수 있다. 예를 들어, 알루미늄 산화물층은 ALD 공정에 의해 선택적으로 증착될 수 있고, 예를 들어 알루미늄 반응물, 예컨대 트리메틸 알루미늄(TMA), 디메틸알루미늄클로라이드, 알루미늄 트리클로라이드(AlCl3), 디메틸알루미늄 이소프로폭시드(DMAl), 트리스(터트부틸)알루미늄(TTBA), 트리스(이소프로폭시드)알루미늄(TIPA) 또는 트리에틸 알루미늄(TEA) 및 물을 반응물로서 사용한다.
일부 구현예에서, 기판의 금속 또는 금속성 표면은 금속 원소 또는 금속 합금을 포함하고, 기판의 상이한 제2 표면은 산화물과 같은 유전체 재료를 포함한다. 예는 실리콘 산화물계 재료를 포함하고, 성장되거나 증착된 이산화규소, 도핑되고/도핑되거나 다공성인 산화물, 실리콘 상의 자연 산화물 등을 포함한다. 유전체층의 표면은, 예컨대 선택적 실릴화에 의해 금속 또는 금속성 표면에 대해 선택적으로 패시베이션된다. 이어서, 패시베이션된 유전체 표면에 대해 금속 또는 금속성 표면 상에 금속 산화물층을 선택적으로 증착한다. 증착될 수 있는 금속 산화물의 예는, 지르코늄 산화물(예, ZrO2), 하프늄 산화물(예, HfO2), 알루미늄 산화물(예, Al2O3), 티타늄 질화물(예, TiN) 및 티타늄 산화물(예, TiO2)과 같은 유전체를 포함한다. 일부 구현예에서, 금속 산화물이 위에 선택적으로 증착된 금속 또는 금속성 표면은, 선택적으로 패시베이션된 유전체 표면에 적어도 부분적으로 인접한다. 예를 들어, 금속 또는 금속성 표면의 적어도 일부분은 산화물 표면에 인접할 수 있다.
일부 구현예에서, 산화물 표면과 같은 유전체 표면 상에 패시베이션층을 형성하기 이전, 금속 또는 금속성 표면은 자기 조립 단층(SAM)과 같은 패시베이션 차단층을 구비할 수 있다. 패시베이션 차단층은 유전체 표면의 실릴화를 위한 선택도를 용이하게 할 수 있고, 그 후에 실릴화된 유전체 표면에 대해 금속 또는 금속성 표면 상에 금속 산화물의 선택적 증착을 허용하기 위해 제거될 수 있다.
패시베이션층(실릴화)은 금속 또는 금속성 표면 위에 금속 산화물층의 선택적 증착 이후, 유전체 표면, 예컨대 산화물 표면으로부터 제거될 수 있다. 기판 상의 주변 재료에 손상을 주지 않는 조건을 선택할 수 있다.
본원에 설명된 선택적 증착 공정에 사용될 수 있는 적합한 반응기의 예는, 상업적으로 이용 가능한 ALD 장비를 포함한다. ALD 반응기 외에도, CVD 반응기, VDP 반응기, 및 MLD 반응기를 포함하여 유기 패시베이션층의 성장을 가능하게 하는 다른 종류의 반응기가 많이 사용될 수 있다.
기판 표면
본 개시의 일부 양태에 따라, 선택적 증착은 산화물 표면, 또는 다른 유전체 표면에 대해 우선적으로 금속 또는 금속성 표면 상에 관심막, 예컨대 금속 산화물막을 증착하기 위해 사용될 수 있다. 일부 구현예에서, 2개의 표면은 기판 상에서 적어도 부분적으로 서로 인접한다. 금속 또는 금속성 표면에 대해 산화물 표면의 선택적 패시베이션은, 예컨대 산화물 표면의 선택적 실릴화는, 실릴화된 산화물 표면에 대해 금속 또는 금속성 표면 상에 금속 산화물층과 같은 관심층의 후속 선택적 증착을 용이하게 한다.
예를 들어, 표면 중 하나는 기판의 전도성 금속 또는 금속성 표면일 수 있으며, 다른 표면은 기판의 비전도성 산화물 표면일 수 있다. 일부 구현예에서, 실리콘 산화물(예, 성장되고 증착된 실리콘 산화물 재료 및 실리콘 상의 자연 산화물을 포함하는 저 유전율 재료)계 표면과 같은 비전도성 표면은 -OH기를 포함한다. 산화물 표면은, 실릴화제 노출에 의해 금속 또는 금속성 표면에 대해 선택적으로 패시베이션될 수 있고, 이어서 금속 산화물은 실릴화된 산화물 표면에 대해 금속 또는 금속성 표면 상에 선택적으로 증착될 수 있다.
두 기판 표면 사이의 재료 차이는, 기상 증착법이 금속 또는 금속성 표면에 대해 산화물 표면을 선택적으로 패시베이션할 수 있도록 한다. 일부 구현예에서, 주기적인 기상 증착, 예를 들어 주기적인 CVD 또는 원자층 증착(ALD) 공정이 사용된다. 일부 구현예에서, 패시베이션층 형성에 대한 선택도는 (더 적은 패시베이션층을 수용하도록) 금속 또는 금속성 표면 상에 패시베이션/차단제 없이 달성될 수 있고/있거나, 더 많은 패시베이션층을 수용하도록 산화물층의 표면 상에 촉매제 없이 달성될 수 있다. 예를 들어, 제1 표면이 금속성이고 제2 표면이 산화물인 구현예에서, 산화물 표면 또는 금속 또는 금속성 표면을 전처리하지 않고서 금속 또는 금속성 표면에 대해 선택적으로 산화물층을 실릴화할 수 있다. 다른 구현예에서, 금속 또는 금속성 표면은 그 표면의 패시베이션(예, 실릴화)을 억제하도록 먼저 처리된다. 예를 들어, 산화물 표면에 대해 금속 또는 금속성 표면 위에, 패시베이션을 차단하는 자기 조립 단층(SAM)을 먼저 형성할 수 있어서, SAM으로 덮인 금속성 표면에 대해 산화물 표면 상에 패시베이션층의 선택적 증착을 용이하게 한다. 패시베이션 억제제는, 선택적 패시베이션 이후 및 금속 산화물의 증착 이전에 제거될 수 있다. 패시베이션층의 선택적 증착이 완료된 이후, 패시베이션된 표면에 대해 패시베이션되지 않은 금속 또는 금속성 표면 상에 금속 산화물과 같은 관심 재료의 선택적 증착을 수행할 수 있다.
본원에 사용되는 바와 같이, 달리 구체화되지 않으면, 표면이 본원에서 금속 표면으로서 지칭된 경우에는 이는 금속 또는 금속성 표면일 수 있다. 일부 구현예에서, 금속 표면 또는 금속성 표면은 표면 산화를 포함할 수 있다. 일부 구현예에서, 금속 표면의 재료는 표면 산화 유무에 관계없이 전기 전도성이다. 일부 구현예에서, 금속 표면은 하나 이상의 전이금속을 포함한다. 일부 구현예에서, 금속 표면은 Al, Cu, Co, Ni, W, Nb, Fe, 또는 Mo 중 하나 이상을 포함한다. 일부 구현예에서, 금속 표면은 Cu를 포함한다. 일부 구현예에서, 금속 표면은 구리 표면이다. 일부 구현예에서, 금속성 표면은 티타늄 질화물을 포함한다. 일부 구현예에서, 금속 표면은 Ru와 같이 하나 이상의 귀금속을 포함한다. 일부 구현예에서, 금속 표면은 금속 산화물, 예컨대 전도성 금속 산화물, 금속 질화물, 탄화물, 붕화물 또는 이들의 조합을 포함한다. 예를 들어, 금속 또는 금속성 표면은 RuOx, NbCx, NbBx, NiOx, CoOx, NbOx, MoOx, WOx, WNCx, TaN, 또는 TiN 중 하나 이상을 포함할 수 있다.
일부 구현예에서, 금속 또는 금속성 표면은, 본원에 설명된 바와 같이, 금속 산화물과 같은 관심층의 선택적 증착 공정에 사용된 전구체를 수용하거나 이와 배위될 수 있는 표면이다.
전술한 바와 같이, 일부 구현예에서, 금속 또는 금속성 표면은 그 위에 패시베이션 차단층을 포함할 수 있다. 즉, 일부 구현예에서, 금속 또는 금속성 표면은, 금속 또는 금속성 표면 위에 패시베이션층을 형성하는 것을 억제하는 재료, 예를 들어 자기 조립 단층(SAM)을 포함할 수 있다. 일부 구현예에서, 증착 공정은 금속 또는 금속성 표면 상에 패시베이션 차단층을 형성하는 단계를 포함하고, 패시베이션될 표면 상에는 형성되지 않는다.
기판 표면의 패시베이션
일부 구현예에서, 산화물 (또는 다른 유전체) 표면은 패시베이션될 수 있다. 일부 구현예에서, 패시베이션은 동일한 기판의 금속 또는 금속성 표면과 같은 다른 표면에 대해 산화물 표면을 위해 선택적이다. 일부 구현예에서, 산화물 표면은 기상 실릴화제에 1회 이상 노출하여 실릴화된다. 예를 들어, 패시베이션 단계에서, 실릴화제는 반응 공간에 도입되어 산화물 표면과 접촉할 수 있다. 실릴화제는, 예를 들어 클로로실란, 알콕시실란, 실릴할라이드, 실릴시아네이트, 실릴아지드, 실릴이소시아네이트, 실릴이소티오시아네이트, 실릴술포네이트, 실릴아세트아미드, 실릴카보디이미드, 알릴실란, 또는 질소 함유 실란, 예컨대 실릴잔, 이미다졸 또는 아민일 수 있다. 일부 구현예에서, 실릴화제는 알릴트리메틸실란(TMS-A), 클로로트리메틸실란(TMS-Cl), N-(트리메틸실릴)이미다졸(TMS-Im), 옥타데실트리클로로실란(ODTCS), 헥사메틸디실라잔(HMDS), 또는 N-(트리메틸실릴)디메틸아민(TMSDMA)을 포함하고, 실릴화는 기판을 적어도 하나의 실릴화제 펄스에 노출하는 단계를 포함한다. 일부 구현예에서, 금속 또는 금속성 표면 및 산화물 표면 모두는 실릴화제, 예컨대 알릴트리메틸실란(TMS-A), 클로로트리메틸실란(TMS-Cl), N-(트리메틸실릴)이미다졸(TMS-Im), 옥타데실트리클로로실란(ODTCS), 헥사메틸디실라잔(HMDS), 또는 N-(트리메틸실릴)디메틸아민(TMSDMA)과 접촉한다. 일부 구현예에서, 기판의 산화물 표면은 기판의 금속 또는 금속성 표면에 대해 선택적으로 실릴화된다.
일부 구현예에서, 실릴화제는 알킬아미노실란이다. 예를 들어, 기판의 산화물 표면은 화학식 (RI)3Si(NRIIRIII)을 갖는 알킬아미노실란과 접촉할 수 있되, RI는 선형 또는 분지형 C1-C5 알킬기이거나 선형 또는 분지형 C1-C4 알킬기이고, RII는 선형 또는 분지형 C1-C5 알킬기, 선형 또는 분지형 C1-C4 알킬기, 또는 수소이고, RIII은 선형 또는 분지형 C1-C5 알킬기 또는 선형 또는 분지형 C1-C4 알킬기이다.
일부 구현예에서, 실릴화제는 실란이다. 예를 들어, 산화물 표면은 일반 화학식 (RI)3SiA를 갖는 실란과 접촉할 수 있되, RI은 선형 또는 분지형 C1-C5 알킬기 또는 선형 또는 분지형 C1-C4 알킬기이고, A는 실리콘 함유 표면과 반응성인 임의의 리간드이다.
실릴화제는, 단일 펄스로 또는 다수 펄스의 순서로 기판을 유지하는 반응 챔버 안에 제공될 수 있다. 일부 구현예에서, 실릴화제는 단일 긴 펄스로 또는 다수의 짧은 펄스로 제공된다. 펄스는 순차적으로 제공될 수 있다. 일부 구현예에서, 실릴화제는 약 0.1 내지 약 60초의 1 내지 25회 펄스로 제공된다. 펄스 사이에서, 실릴화제는 반응 공간으로부터 제거될 수 있다. 예를 들어, 반응 챔버는 불활성 가스로 배기 및/또는 퍼지될 수 있다. 퍼지는, 예를 들어 약 1 내지 30초 이상일 수 있다.
일부 구현예에서, 실릴화 공정의 온도는, 예를 들어 약 50 내지 약 500℃ 또는 약 100 내지 300℃일 수 있다. 실릴화 공정 중의 압력은, 예를 들어 약 10-5 내지 약 760 토르, 또는 일부 구현예에서 약 1 내지 10 토르 또는 약 0.1 내지 약 10 토르일 수 있다.
일부 구현예에서, 실릴화 공정은 인시츄로, 즉 동일한 반응 챔버에서, 후속 증착 공정으로서, 예를 들어 실릴화된 표면에 대해 실릴화되지 않은 표면 상에 알루미늄 산화물과 같은 금속 산화물의 선택적 증착으로서 수행될 수 있다. 그러나 일부 구현예에서, 실릴화는 별도의 반응 챔버에서 수행될 수 있다. 일부 구현예에서, 실릴화가 수행되는 반응 챔버는 하나 이상의 추가적인 반응 챔버를 포함하는 클러스터 툴의 부분이다. 예를 들어, 이러한 클러스터 툴은, 금속 산화물의 증착 및/또는 하나 이상의 층을 에칭하기 위한 추가 반응 챔버를 포함할 수 있다. 일부 구현예에서, 클러스터 툴은 전처리, 산화물 표면의 실릴화, 금속 산화물의 선택적 증착, 및 후속하는 증착후 처리, 예컨대 에칭 또는 증착후 플라즈마 세정용 별도의 모듈을 포함한다. 일부 구현예에서, 동일한 모듈은 2개 이상의 공정에 사용될 수 있다.
일부 구현예에서, 기판은 패시베이션 및/또는 선택적 증착 공정의 시작 또는 그 이전에 전처리되거나 세정될 수 있다. 일부 구현예에서, 기판은 선택적 패시베이션 및/또는 선택적 증착 공정의 시작 또는 이전에 플라즈마 세정 공정을 거칠 수 있다. 일부 구현예에서, 플라즈마 세정 공정은 이온 충돌을 포함할 수 없거나 상대적으로 적은 양의 이온 충돌을 포함할 수 있다. 일부 구현예에서, 패시베이션 공정 및/또는 선택적 금속 산화물 증착 공정의 시작 또는 그 이전에 기판 표면을 플라즈마, 라디컬, 여기 종, 및/또는 원자 종에 노출시킬 수 있다. 일부 구현예에서, 선택적 패시베이션 공정 및/또는 선택적 금속 산화물 증착 공정의 시작 또는 그 잉전에 기판 표면을 수소 플라즈마, 라디컬, 또는 원자 종에 노출시킬 수 있다.
패시베이션된 산화물 표면에 대해 금속 또는 금속성 표면 상에 금속 산화물을 선택적으로 증착
금속 산화물은, 기판의 패시베이션된 산화물 표면에 대해 기판의 금속 또는 금속성 표면 상에 선택적으로 증착될 수 있다. 일부 구현예에서, 산화물 표면 상에 패시베이션층을 선택적으로 형성한 이후, 금속 산화물의 금속을 포함하는 제1 반응물, 및 산소를 포함하는 제2 반응물과 기판을 교대 순차적으로 접촉시킴으로써 제2 표면 상에 금속 산화물이 선택적으로 증착된다. 일부 구현예에서, 제2 반응물은 물이다. 일부 구현예에서, 기판은, 금속 산화물이 금속 또는 금속성 표면 상 또는 그 위에 선택적으로 증착되도록, 제1 및 제2 반응물과 순차적으로 접촉한다(도 1a 내지 도 1d 참조).
일부 구현예에서, 금속 반응물은, 하나 이상의 소수성 리간드를 포함하는 소수성 반응물이다. 일부 구현예에서, 소수성 반응물은 2개 내지 4개의 소수성 리간드를 포함한다. 원자가/산화 상태가 n인 금속을 포함하는 소수성 반응물의 경우, 일부 구현예에서, 소수성 전구체는 n-1 또는 n-2개의 소수성 리간드를 포함한다.
일부 구현예에서, 적어도 하나의 소수성 리간드는 C와 H만을 포함한다. 일부 구현예에서, 적어도 하나의 소수성 리간드는 C, H 및 Si 또는 Ge를 포함하나 추가적인 원소를 포함하지 않는다.
일부 구현예에서, 탄화수소 리간드는 다음 중 하나 이상을 포함한다:
● (단일, 이중 또는 삼중 결합된) C1-C10 탄화수소
○ 알킬
■ C1-C5 알킬
● Me, Et, Pr, iPr, Bu, tBu
○ 알케닐
■ C1-C6 알케닐
○ 환형 탄화수소
■ C3-C8
● 시클로펜타디에닐
● 시클로헵타디에닐
● 시클로헵타트리에닐
● 시클로헥실
● 이들의 유도체
○ 방향족
■ C6 방향족 고리 및 이들의 유도체
일부 구현예에서, 소수성 금속 반응물은 친수성 리간드를 포함하지 않는다. 그러나, 일부 구현예에서, 소수성 금속 반응물은 하나 또는 두 개의 친수성 리간드를 포함할 수 있다. 일부 구현예에서, 친수성 리간드는 질소, 산소 및/또는 할로겐기를 포함한다.
일부 구현예에서, 친수성 리간드는 알킬아민(-NR2, 여기서 각각의 R은 알킬, 수소일 수 있음)이다. 일부 구현예에서, 친수성 리간드는 -NMe2, -NEtMe, 또는 -NEt2일 수 있다.
일부 구현예에서, 친수성 리간드는 알콕시드, 예를 들어 -OMe, -OEt, -OiPr, -OtBu이다.
일부 구현예에서, 친수성 리간드는 염화물, 불화물 또는 다른 할로겐화물과 같은 할로겐화물을 포함한다.
일부 구현예에서, 소수성 전구체는 다음의 화학식을 포함한다:
○ LnMXy, 여기서,
■ 일부 구현예에서, n은 1 내지 6이고;
● 일부 구현예에서, n은 1 내지 4, 또는 3 내지 4이다.
■ 일부 구현예에서, y는 0 내지 2이고;
● 일부 구현예에서, y는 0 내지 1이다.
■ L은 소수성 리간드이고;
● 일부 구현예에서, L은 Cp 또는 C1-C4 알킬 리간드이다.
■ X는 친수성 리간드이고;
● 일부 구현예에서, X는 알킬아민, 알콕시드 또는 할라이드 리간드이다.
■ M은 (13족 원소, B와 Ga를 포함하는) 금속이고;
● 일부 구현예에서, M은 +I 내지 +VI의 산화 상태를 갖는다.
○ 일부 구현예에서, M은 +IV 내지 +V의 산화 상태를 갖는다.
● 일부 구현예에서, M은 전이금속일 수 있다.
○ 일부 구현예에서, M은 Ti, Ta, Nb, W, Mo, Hf, Zr, V, 또는 Cr이다.
■ 일부 구현예에서, M은 Hf, Zr, Ta 또는 Nb이다.
● 일부 구현예에서, M은 Zr이다.
○ 일부 구현예에서, M은 Co, Fe, Ni, Cu, 또는 Zn이다.
○ 일부 구현예에서, 금속은 W 또는 Mo이다.
● 일부 구현예에서, M은 희귀 토금속일 수 있다.
○ 일부 구현예에서, M은 La, Ce, 또는 Y이다.
● 일부 구현예에서, M은 2~13족 유래의 금속일 수 있다.
○ 일부 구현예에서, M은 Ba, Sr, Mg, Ca, 또는 Sc이다.
● 일부 구현예에서, M은 귀금속이 아니다.
보다 일반적으로, 일부 구현예에서, 선택적 ALD 공정은 금속 전구체를 사용한다. 일부 구현예에서, 금속 전구체의 금속은 Al, Ti, Ta, Nb, W, Mo, Hf, Zr, V, Cr, Co, Fe, Ni, Cu, Zn, La, Ce, Y, Ba, Sr, Mg, Ca, 또는 Sc, 또는 이들의 혼합물을 포함하는 군으로부터 선택될 수 있다. 일부 구현예에서, 금속은 Al일 수 있다.
일부 구현예에서, 알루미늄 산화물은 선택적으로 증착되고 선택적 ALD 공정은 Al 전구체를 사용한다. Al 전구체의 예는, 트리메틸 알루미늄(TMA), 디메틸알루미늄클로라이드, 알루미늄 트리클로라이드(AlCl3), 디메틸알루미늄 이소프로폭시드(DMAl), 트리스(터트부틸)알루미늄(TTBA), 트리스(이소프로폭시드)알루미늄(TIPA) 또는 트리에틸 알루미늄(TEA)을 포함한다. 일부 구현예에서, 알루미늄 전구체는 헤테로렙틱 알루미늄 화합물이다. 일부 구현예에서, 헤테로렙틱 알루미늄 화합물은 알킬기 및 할라이드, 예컨대 Cl과 같은 다른 리간드를 포함한다. 일부 구현예에서, 알루미늄 화합물은 디메틸알루미늄클로라이드이다. 일부 구현예에서, 알루미늄 전구체는 2개의 상이한 알킬기를 리간드로서 포함하는 알킬 전구체이다. 일부 구현예에서, 알루미늄 전구체는 금속유기 화합물이다. 일부 구현예에서, 알루미늄 전구체는 유기금속 화합물이다.
일부 구현예에서, 지르코늄 산화물은, 비스(메틸시클로펜타디에닐) 메톡시메틸 지르코늄(IV)((CpMe)2-Zr-(OMe)Me)을 사용하여 선택적으로 증착된다.
일부 구현예에서, 하프늄 산화물은 비스(메틸시클로펜타디에닐) 메톡시메틸 하프늄(IV)((CpMe)2-Hf-(OMe)Me)을 사용하여 증착된다.
일부 구현예에서, 제2 반응물은 선택적으로 증착되는 재료에 하나 이상의 원소를 제공한다. 예를 들어, 제2 반응물은 금속 산화물을 증착하는 데 사용되는 산소 전구체일 수 있다.
일부 구현예에서, 제2 반응물은 산소 전구체를 포함한다. 일부 구현예에서, 제2 반응물은 H2O, O3, H2O2 , 산소 플라즈마, 이온, 라디컬, 산소 원자 또는 산소 여기 종을 포함한다.
일부 구현예에서, 증착된 재료에 O 이외의 원소를 제공하는 다른 반응물을 사용할 수 있다. 이들 반응물은 제2 산소 반응물에 추가로 사용되거나, 그 자체가 제2 반응물로서 작용하고 산소 및 다른 원소를 증착된 막에 제공할 수 있다. 예를 들어, 일부 구현예에서 질소 반응물은 질소를 기여하는데 사용될 수 있고, 황 반응물은 황을 기여하는데 사용될 수 있고, 탄소 반응물은 탄소를 기여하는데 사용될 수 있거나 실리콘 반응물은 실리콘을 기여하는데 사용될 수 있다.
일부 구현예에서, 알루미늄 산화물(예, Al2O3)과 같은 금속 산화물 박막은, 하나 이상의 산화물 표면에 대해, 구리, 코발트, 티타늄 질화물 또는 텅스텐 표면과 같은 하나 이상의 금속 또는 금속성 표면 상에 선택적으로 증착된다. 제1 단계에서, 금속 표면과 산화물 표면을 포함하는 기판은, 전술한 바와 같이, 실릴화에 의해 산화물 표면 상에 패시베이션층을 형성하도록 처리된다. 예를 들어, 일부 구현예에서 기판 표면(들)은 실리릴화제, 예컨대 알릴트리메틸실란(TMS-A), 클로로트리메틸실란(TMS-Cl), N-(트리메틸실릴)이미다졸(TMS-Im), 옥타데실트리클로로실란(ODTCS), 헥사메틸디실라잔(HMDS), 또는 N-(트리메틸실릴)디메틸아민(TMSDMA)에 노출될 수 있으며, 이는 선택적으로 산화물 표면(들)을 실릴화한다. 산화물 표면 상에 패시베이션층의 형성에 이이서, 기상 증착 공정에 의해 패시베이션된 산화물 표면에 대해 금속 또는 금속성 표면 상에 금속 산화물이 선택적으로 증착된다. 선택적 증착은 본원에 기술된 바와 같을 수 있다. 예를 들어, 일부 구현예에서, 기판을 알루미늄 반응물 및 산소 전구체와 교대 순차적으로 접촉시킴으로써, 알루미늄 산화물을 선택적으로 증착한다. 알루미늄 반응물은, 예를 들어 트리메틸 알루미늄(TMA), 디메틸알루미늄클로라이드, 알루미늄 트리클로라이드(AlCl3), 디메틸알루미늄 이소프로폭시드(DMAl), 트리스(터트부틸)알루미늄(TTBA), 트리스(이소프로폭시드)알루미늄(TIPA) 또는 트리에틸 알루미늄(TEA)을 포함할 수 있다. 산소 반응물은, 예를 들어 물을 포함할 수 있다. 일부 구현예에서, 알루미늄 산화물은 원자층 증착 공정에 의해 증착될 수 있고, 여기서 기판은 알루미늄 반응물 및 물과 교대 순차적으로 접촉한다. 일부 구현예에서, 알루미늄 산화물의 증착 중, 반응 챔버 내 온도는 약 150℃ 내지 약 350℃이다. 일부 구현예에서, 반응물의 펄스 시간은 약 0.1 내지 약 10초일 수 있고, 반응물 펄스 사이의 퍼지 시간은 또한 약 0.1 내지 약 10초일 수 있다. 일부 구현예에서, 반응 챔버 압력은, 예를 들어 약 10-5 내지 약 760 토르, 또는 일부 구현예에서 약 1 내지 10 토르일 수 있다.
금속 산화물의 선택적 증착에 이어서, 기판은 전술한 바와 같이, 산화물 표면으로부터 패시베이션층을 제거하기 위한 증착후 세정 단계를 거칠 수 있다. 일부 구현예에서, 세정 단계는 H2 플라즈마 처리를 포함할 수 있다. 일부 구현예에서, 세정 단계는 약 실온 내지 약 400℃의 온도에서 수행된다. 일부 구현예에서, 약 25 내지 250 W의 플라즈마 전력은, 흐르는 H2, 예를 들어 약 10 내지 500 sccm의 유량에서 플라즈마를 생성하기 위해 사용될 수 있다. 금속 산화물층 증착 후의 세정 시간은, 일부 구현예에서, 예를 들어 약 0.1 내지 600초 이상일 수 있다.
일부 구현예에서, 금속 산화물 박막, 예컨대 알루미늄 산화물(예, Al2O3)은 하나 이상의 패시베이션된 산화물 표면에 대해 3차원 구조의 금속 또는 금속성 표면 상에 선택적으로 증착된다. 3차원 구조는, 예를 들어 비아 또는 트렌치를 포함할 수 있다. 일부 구현예에서, 산화물 표면은 금속 산화물 막을 증착하기 전에 선택적으로 패시베이션될 수 있다. 그 다음, 기상 증착을 수행하여 패시베이션되지 않은 금속 표면 상에 금속 산화물을 증착한다.
패시베이션 차단층
패시베이션 차단층은, 패시베이션층의 선택적 형성을 패시베이션 차단층에 대해 유전체 재료 상에 용이하게 할 수 있다. 전술한 바와 같이, 자기 조립 단층(SAM)은 금속 또는 금속성 표면의 실릴화를 억제하는 역할을 할 수 있고, 따라서 유전체 표면의 선택적 패시베이션을 용이하게 한다. 따라서, 용어 "차단"은 단지 표지이며, 유기 패시베이션층 증착의 100% 비활성화를 의미할 필요는 없다. 본원의 다른 부분에서 기술된 바와 같이, 불완전한 선택도조차 에치 백 단계 후에 완전히 선택적인 구조를 얻기에 충분할 수 있다.
선택도
선택적 패시베이션 및/또는 선택적 증착은 완전히 선택적이거나 부분적으로 선택적일 수 있다. 부분적인 선택 공정 다음에, 제2 표면 위로부터 증착된 재료 모두를 제거하지 않으면서 하나의 표면 위로부터 증착된 재료 모두를 제거하는 증착후 에칭이 따를 수 있어 완전히 선택적인 층의 결과를 갖는다. 따라서, 일부 구현예에서, 선택적 증착은 원하는 이점을 얻기 위해 완전히 선택적일 필요는 없다.
표면(B)로 지칭되는 제2 표면에 대해 표면(A)로 본원에 지칭된 제1 표면 상의 증착(또는 패시베이션)의 선택도는, [(표면(A) 상의 증착)-(표면(B) 상의 증착)]/(표면(A) 상의 증착)에 의해 계산된 백분율로서 주어질 수 있다. 증착은 임의의 다양한 방식으로 측정될 수 있다. 예를 들어, 증착은 증착된 재료의 측정된 두께로서 주어지거나, 증착된 재료의 측정된 양으로서 주어질 수 있다. 본원에 설명된 구현예에서, 산화물 표면(A)은 금속 또는 금속성 표면(B)에 대해 선택적으로 패시베이션될 수 있다. 패시베이션에 관해, 패시베이션이 층의 증착보다는 기판 표면의 처리로부터 초래되는 경우, 패시베이션의 양은 패시베이션제와 반응한, 기판 표면 상의 이용 가능한 반응성 부위의 측정치일 수 있다. 이어서, 산화물 표면(A) 위의 패시베이션층에 대해 금속 또는 금속성 표면(B) 상에 금속 산화물층을 선택적으로 증착할 수 있다.
일부 구현예에서, (금속 또는 금속성 표면에 대해) 산화물 표면 상에 패시베이션층을 선택적으로 형성하기 위한 선택도는 약 10% 초과, 약 50% 초과, 약 75% 초과, 약 85% 초과, 약 90% 초과, 약 93% 초과, 약 95% 초과, 약 98% 초과, 약 99% 초과하거나 심지어 약 99.5%를 초과한다.
일부 구현예에서, (패시베이션된 산화물 표면에 대해) 금속 또는 금속성 표면 상에 금속 산화물 증착의 선택도는 약 10% 초과, 약 50% 초과, 약 75% 초과, 약 85% 초과, 약 90% 초과, 약 93% 초과, 약 95% 초과, 약 98% 초과, 약 99% 초과하거나 심지어 약 99.5%를 초과한다.
일부 구현예에서, 증착은 하나의 표면에서만 일어나고 다른 하나의 표면에서는 일어나지 않는다.
일부 구현예에서, 기판의 금속 또는 금속성 표면에 대해 산화물 표면을 실릴화로 패시베이션하는 것은 적어도 약 80% 선택적이다. 일부 구현예에서, 패시베이션 공정은 적어도 약 50% 선택적이다. 일부 구현예에서, 패시베이션 공정은 적어도 약 10% 선택적이다. 부분적인 선택 공정이, 금속 또는 금속성 표면으로부터 임의의 실릴화를 제거하는 증착후 에칭에 의해 산화물 표면의 완전히 선택적인 패시베이션을 초래할 수 있음을 당업자는 이해할 것이다.
일부 구현예에서, 기판의 실릴화된 산화물 표면에 대해 기판의 금속 또는 금속성 표면 상에 금속 산화물을 증착하는 것은 적어도 약 80% 선택적이다. 일부 구현예에서, 기판의 실릴화된 산화물 표면에 대해 기판의 금속 또는 금속성 표면 상에 금속 산화물을 증착하는 것은 적어도 약 50% 선택적이다. 일부 구현예에서, 기판의 실릴화된 산화물 표면에 대해 기판의 금속 또는 금속성 표면 상에 금속 산화물을 증착하는 것은 적어도 약 10% 선택적이다. 부분적인 선택 공정 다음에 실릴화된 산화물 표면 위로부터 증착된 재료 전부를 실질적으로 제거하는 증착후 에칭(또는 다른 처리)이 따를 수 있음을 당업자는 이해할 것이다. 또한, 증착후 처리는 선택적으로 증착된 층의 위치 및/또는 프로파일을 조정하는 것을 도울 수 있다.
금속 또는 금속성 표면 상에 금속 산화물을 선택적으로 증착
도 1a 내지 도 1d는, 제2 금속 또는 금속성 표면에 대해 제1 산화물 표면의 선택적 패시베이션 다음에 패시베이션된 제1 산화물 표면에 대해 제2 금속 또는 금속성 표면 상에 금속 산화물을 선택적으로 증착하기 위한 구현예를 개략적으로 나타낸다.
도 1a는 상이한 재료의 표면이 노출된 기판을 나타낸다. 예를 들어, 제1 표면은 코발트(Co), 구리(Cu), 텅스텐(W) 또는 몰리브덴(Mo)과 같은 금속을 포함하거나 이에 의해 정의될 수 있다. 제2 표면은 실리콘 산화물계 층, 또는 자연 산화물이 위에 형성된 실리콘 표면과 같은 산화물을 포함하거나 이에 의해 정의될 수 있다.
도 1b는 실릴화에 의한 것과 같이, 산화물 표면의 선택적 패시베이션 이후에 도 1a의 기판을 나타낸다. 예를 들어, 알릴트리메틸실란(TMS-A), 클로로트리메틸실란(TMS-Cl), N-(트리메틸실릴)이미다졸(TMS-Im), 옥타데실트리클로로실란(ODTCS), 헥사메틸디실라잔(HMDS), 또는 N-(트리메틸실릴)디메틸아민(TMSDMA)와 같은 실리릴화제에 기판을 노출시킴으로써 산화물 표면 상에 패시베이션층을 선택적으로 형성할 수 있다.
도 1c는 산화물 표면 상의 패시베이션층에 대해 금속 표면 상에 금속 산화물이 선택적으로 증착된 후의 도 1b의 기판을 나타낸다. 금속 산화물은, 예를 들어 알루미늄 산화물, 지르코늄 산화물, 하프늄 산화물, 티타늄 산화물, 탄탈륨 산화물, 이트륨 산화물, 란타늄 산화물, 또는 다른 전이금속 산화물 또는 이들의 혼합물과 같은 금속 산화물일 수 있다. 일부 구현예에서, 금속 산화물은 알루미늄 산화물이다. 일부 구현예에서, 금속 산화물은, 원자층 증착 공정과 같은 기상 증착 공정에 의해 선택적으로 증착된다. 금속 산화물의 선택적 증착을 위한 일부 ALD 공정에서, 기판은 금속 반응물 및 산소 반응물과 교대 순차적으로 접촉한다. 예를 들어, 트리메틸 알루미늄(TMA), 디메틸알루미늄클로라이드, 알루미늄 트리클로라이드(AlCl3), 디메틸알루미늄 이소프로폭시드(DMAl), 트리스(터트부틸)알루미늄(TTBA), 트리스(이소프로폭시드)알루미늄(TIPA) 또는 트리에틸 알루미늄(TEA)과 같은 알루미늄 반응물, 및 물과 같은 산소 반응물로 기판을 교대 순차적으로 접촉시키는 단계를 포함한 ALD 공정에 의해, 패시베이션된 표면에 대해 금속 또는 금속 표면 상에 알루미늄 산화물을 선택적으로 증착할 수 있다.
전술한 바와 같이, 패시베이션층 상에 증착된 임의의 금속 산화물은 에치 백 공정과 같은 증착후 처리에 의해 제거될 수 있다. 금속 산화물이 금속 표면 상에 선택적으로 증착되기 때문에, 패시베이션 표면 상에 남겨진 임의의 금속 산화물은 금속 표면 상에 형성된 금속 산화물보다 더 얇을 것이다. 따라서, 금속 표면 위로부터 금속 산화물 전부를 제거하지 않고서 패시베이션층을 포함한 표면 위의 금속 산화물을 모두 제거하기 위해, 증착후 처리를 제어할 수 있다. 이런 방식으로 선택적 증착과 에치 백을 반복하면, 증착 및 에칭의 각 사이클마다 금속 표면 상의 금속 산화물 재료의 두께가 증가할 수 있다. 이런 방식으로 선택적 증착과 에치 백을 반복하면, 금속 또는 금속성 표면 상의 금속 산화물의 전반적인 선택도가 증가할 수도 있는데, 그 이유는 증착 및 에칭의 각 사이클은 선택적 금속 산화물 증착의 핵생성이 잘 되지 않는 깨끗한 패시베이션층을 남기기 때문이다. 다른 구현예에서, 금속 산화물 재료는 패시베이션층의 후속 제거 중에 제거될 수 있다. 예를 들어, 직접 에칭 또는 리프트 오프 방법은 주기적인 선택적 증착 및 제거에서 패시베이션층 표면으로부터 금속 산화물을 제거하는 데 사용될 수 있다.
도 1d는, 산화물 표면으로부터 패시베이션층을 제거하기 위한 증착후 처리, 예컨대 에칭 공정 이후에 도 1c의 기판을 나타낸다. 일부 구현예에서, 에칭 공정은 기판을 플라즈마에 노출시키는 단계를 포함할 수 있다. 일부 구현예에서, 플라즈마는 산소 원자, 산소 라디컬, 산소 플라즈마 또는 이들의 조합을 포함할 수 있다. 일부 구현예에서, 플라즈마는 수소 원자, 수소 라디컬, 수소 플라즈마 또는 이들의 조합을 포함할 수 있다. 일부 구현예에서, 플라즈마는 귀가스 종, 예를 들어 Ar 종이나 He 종을 포함할 수도 있다. 일부 구현예에서, 플라즈마는 본질적으로 귀가스 종으로 구성될 수 있다. 일부 경우에, 플라즈마는 다른 종, 예를 들어 질소 원자, 질소 라디컬, 질소 플라즈마, 또는 이들의 조합을 포함할 수 있다. 일부 구현예에서, 에칭 공정은 예를 들어 O3와 같은 산소를 포함하는 에천트에 기판을 노출시키는 단계를 포함할 수 있다. 일부 구현예에서, 기판은 약 30℃ 내지 약 500℃ 또는 약 100℃ 내지 약 400℃의 온도에서 에천트에 노출될 수 있다. 일부 구현예에서, 에천트는 하나의 연속적 펄스로 공급될 수 있거나, 다중 펄스로 공급될 수 있다. 전술한 바와 같이, 주기적인 선택적 증착 및 제거에서 패시베이션층을 완전히 제거하거나 패시베이션층을 부분적으로 제거함에 있어서, 산화물층 위로부터 임의의 잔여 금속 산화물을 리프트 오프하기 위해 패시베이션층의 제거를 사용할 수 있다.
열 또는 화학적 처리와 같은 추가적인 처리가, 이전 진행 단계들의 이전, 이후, 또는 그 사이에 수행될 수 있다. 예를 들어, 처리는 표면을 개질할 있거나, 공정의 다양한 단계에서 노출된 금속, 실리콘 산화물, 패시베이션 및 금속 산화물 표면의 일부를 제거할 수 있다. 일부 구현예에서, 기판은 선택적 증착 공정의 시작 또는 그 이전에 전처리되거나 세정될 수 있다. 일부 구현예에서, 기판은 전술한 바와 같이 플라즈마 세정 공정을 거칠 수 있다.
특정 구현예 및 실시예가 논의되었지만, 당업자는 청구범위의 범주가 구체적으로 개시된 구현예 내지 대안적인 구현예 및/또는 용도 및 이들의 명백한 변형물 및 균등물 너머로 연장됨을 이해할 것이다.

Claims (22)

  1. 기판의 산화물 표면에 대해 상기 기판의 금속 표면 상에 금속 산화물을 선택적으로 증착하는 방법으로서,
    상기 금속 표면에 대해 상기 산화물 표면을 선택적으로 패시베이션하는 단계; 및
    상기 패시베이션된 산화물 표면에 대해 상기 금속 표면 상에 기상 반응물로부터 상기 금속 산화물을 선택적으로 증착하는 단계를 순서대로 포함하는 방법.
  2. 제1항에 있어서, 상기 금속 표면은 Al, Cu, Co, Ni, W, Nb, Fe, 및 Mo 중 하나 이상을 포함하는, 방법.
  3. 제1항에 있어서, 상기 산화물 표면을 선택적으로 패시베이션하는 단계는, 상기 산화물 표면을 실릴화제에 노출시키는 단계를 포함하는, 방법.
  4. 제3항에 있어서, 상기 실릴화제는 알릴트리메틸실란(TMS-A), 클로로트리메틸실란(TMS-Cl), N-(트리메틸실릴)이미다졸(TMS-Im), 옥타데실트리클로로실란(ODTCS), 헥사메틸디실라잔(HMDS), 또는 N-(트리메틸실릴)디메틸아민(TMSDMA)을 포함하는, 방법.
  5. 제3항에 있어서, 상기 실릴화제는 알킬아미노실란을 포함하는, 방법.
  6. 제4항에 있어서, 상기 알킬아미노실란은 화학식 (RI)3Si(NRIIRIII)을 갖되, RI는 선형 또는 분지형 C1-C5 알킬기이거나 선형 또는 분지형 C1-C4 알킬기이고, RII는 선형 또는 분지형 C1-C5 알킬기, 선형 또는 분지형 C1-C4 알킬기, 또는 수소이고, RIII은 선형 또는 분지형 C1-C5 알킬기 또는 선형 또는 분지형 C1-C4 알킬기인, 방법.
  7. 제3항에 있어서, 상기 실릴화제는 실란을 포함하는, 방법.
  8. 제7항에 있어서, 상기 실란은 일반 화학식 (RI)3SiA를 갖되, RI은 선형 또는 분지형 C1-C5 알킬기 또는 선형 또는 분지형 C1-C4 알킬기이고, A는 실리콘 함유 표면과 반응성인 임의의 리간드인, 방법.
  9. 제1항에 있어서, 상기 금속 산화물은 유전체 전이금속 산화물을 포함하는, 방법.
  10. 제1항에 있어서, 상기 금속 산화물은 알루미늄 산화물, 지르코늄 산화물, 하프늄 산화물, 티타늄 산화물, 탄탈륨 산화물, 이트륨 산화물, 란타늄 산화물, 또는 다른 전이금속 산화물 또는 이들의 혼합물을 포함하는, 방법.
  11. 제10항에 있어서, 상기 금속 산화물은 알루미늄 산화물을 포함하는, 방법.
  12. 제11항에 있어서, 상기 알루미늄 산화물은, 트리메틸 알루미늄(TMA), 디메틸알루미늄클로라이드, 알루미늄 트리클로라이드(AlCl3), 디메틸알루미늄 이소프로폭시드(DMAl), 트리스(터트부틸)알루미늄(TTBA), 트리스(이소프로폭시드)알루미늄(TIPA) 또는 트리에틸 알루미늄(TEA)을 포함한 알루미늄 전구체를 사용하여 증착되는, 방법.
  13. 제12항에 있어서, 상기 알루미늄 산화물은 디메틸알루미늄 이소프로폭시드(DMAl)를 포함한 알루미늄 전구체를 사용하여 증착되는, 방법.
  14. 제11항에 있어서, 상기 알루미늄 산화물은 알킬기 및 다른 리간드를 포함한 헤테로렙틱 알루미늄 화합물을 포함하는 알루미늄 전구체를 사용하여 증착되는, 방법.
  15. 제14항에 있어서, 상기 다른 리간드는 할로겐화물인, 방법.
  16. 제11항에 있어서, 상기 알루미늄 산화물은, 리간드로서 상이한 2개의 알킬기를 포함한 알루미늄 알킬 화합물을 포함하는 알루미늄 전구체를 사용하여 증착되는, 방법.
  17. 제11항에 있어서, 상기 알루미늄 화합물은 금속유기 알루미늄 화합물 또는 유기금속 알루미늄 화합물을 포함한 알루미늄 전구체를 사용하여 증착되는, 방법.
  18. 제11항에 있어서, 상기 알루미늄 산화물은, 트리메틸 알루미늄(TMA), 디메틸알루미늄클로라이드, 알루미늄 트리클로라이드(AlCl3), 디메틸알루미늄 이소프로폭시드(DMAl), 트리스(터트부틸)알루미늄(TTBA), 트리스(이소프로폭시드)알루미늄(TIPA) 또는 트리에틸 알루미늄(TEA)을 포함한 제1 반응물, 및 물을 포함한 제2 반응물과 상기 기판을 교대 순차적으로 접촉시키는 단계를 포함하는 ALD 공정에 의해 증착되는, 방법.
  19. 제1항에 있어서, 상기 금속 산화물 층은 원자층 증착(ALD) 공정에 의해 선택적으로 증착되는, 방법.
  20. 제19항에 있어서, 상기 ALD 공정은 제1 금속 반응물, 및 제2 산소 반응물과 상기 기판을 교대 순차적으로 접촉시키는 단계를 포함하는, 방법.
  21. 제1항에 있어서, 상기 산화물 표면을 선택적으로 패시베이션하기 전에 상기 금속 표면 상에 패시베이션 차단층을 형성하는 단계를 추가로 포함하는 방법.
  22. 제21항에 있어서, 상기 패시베이션 차단층은 자기 조립 단층(SAM)을 포함하는, 방법.
KR1020200042834A 2019-04-12 2020-04-08 금속 표면 상에 금속 산화물의 선택적 증착 KR20200120872A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962833256P 2019-04-12 2019-04-12
US62/833,256 2019-04-12

Publications (1)

Publication Number Publication Date
KR20200120872A true KR20200120872A (ko) 2020-10-22

Family

ID=72748498

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200042834A KR20200120872A (ko) 2019-04-12 2020-04-08 금속 표면 상에 금속 산화물의 선택적 증착

Country Status (4)

Country Link
US (1) US11965238B2 (ko)
JP (1) JP2020172704A (ko)
KR (1) KR20200120872A (ko)
CN (1) CN111816547A (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11608557B2 (en) 2020-03-30 2023-03-21 Asm Ip Holding B.V. Simultaneous selective deposition of two different materials on two different surfaces
US11643720B2 (en) 2020-03-30 2023-05-09 Asm Ip Holding B.V. Selective deposition of silicon oxide on metal surfaces
US11898240B2 (en) 2020-03-30 2024-02-13 Asm Ip Holding B.V. Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US11319449B2 (en) * 2019-12-20 2022-05-03 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Area selective deposition of metal containing films
US20220139703A1 (en) * 2020-10-30 2022-05-05 The Board Of Trustees Of The Leland Stanford Junior University New precursors for selective atomic layer deposition of metal oxides with small molecule inhibitors
WO2022104226A1 (en) * 2020-11-16 2022-05-19 Versum Materials Us, Llc Selective deposition of silicon and oxygen containing dielectric film on dielectrics
WO2024070526A1 (ja) * 2022-09-30 2024-04-04 富士フイルム株式会社 薬液、修飾基板の製造方法、積層体の製造方法

Family Cites Families (284)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
AU6785900A (en) 1999-08-19 2001-03-13 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4382219B2 (ja) 1999-10-29 2009-12-09 日本電気株式会社 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP4703810B2 (ja) 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
EP1282911B1 (en) 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100719177B1 (ko) 2000-07-31 2007-05-17 주식회사 하이닉스반도체 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
KR100869326B1 (ko) 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. 자기장치용 박막
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
EP1490529A1 (en) 2002-03-28 2004-12-29 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
US7557229B2 (en) 2002-11-15 2009-07-07 President And Fellows Of Harvard College Atomic layer deposition using metal amidinates
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US6802945B2 (en) 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
WO2004102648A2 (en) 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
KR20050103811A (ko) 2004-04-27 2005-11-01 삼성에스디아이 주식회사 플라즈마 증착 공정에 의해 형성된 박막트랜지스터
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
US20060156979A1 (en) 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7276433B2 (en) 2004-12-03 2007-10-02 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
KR100891779B1 (ko) 2005-11-28 2009-04-07 허니웰 인터내셔날 인코포레이티드 증착 공정용의 유기금속 전구체 및 관련된 중간체, 이들의제조 방법, 및 이들의 사용 방법
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
WO2007140813A1 (en) 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US20080032064A1 (en) 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
JP4881262B2 (ja) 2006-11-28 2012-02-22 株式会社荏原製作所 基板の表面処理方法
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
KR20150139628A (ko) 2007-09-14 2015-12-11 시그마 알드리치 컴퍼니 엘엘씨 하프늄과 지르코늄계 전구체를 이용한 원자층 증착에 의한 박막의 제조 방법
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
US8030212B2 (en) 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
EP2222889A4 (en) 2007-11-06 2010-12-29 Hcf Partners L P ATOM LOCATION SEPARATION PROCESS
WO2009102363A2 (en) 2007-11-15 2009-08-20 Stc.Unm Ultra-thin microporous/hybrid materials
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8183081B2 (en) 2008-07-16 2012-05-22 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a metal layer mask
EP2324509A2 (en) 2008-08-27 2011-05-25 Applied Materials, Inc. Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
US20100102417A1 (en) 2008-10-27 2010-04-29 Applied Materials, Inc. Vapor deposition method for ternary compounds
WO2010065505A2 (en) 2008-12-01 2010-06-10 E. I. Du Pont De Nemours And Company Anode for an organic electronic device
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
KR101556238B1 (ko) 2009-02-17 2015-10-01 삼성전자주식회사 매립형 배선라인을 갖는 반도체 소자의 제조방법
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
GB0906105D0 (en) 2009-04-08 2009-05-20 Ulive Entpr Ltd Mixed metal oxides
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP2011018742A (ja) 2009-07-08 2011-01-27 Renesas Electronics Corp 半導体装置の製造方法
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
EP2459766A1 (en) 2009-07-31 2012-06-06 Akzo Nobel Chemicals International B.V. Process for the preparation of a coated substrate, coated substrate, and use thereof
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
WO2011160004A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
US8716130B2 (en) 2010-07-01 2014-05-06 Tokyo Electron Limited Method of manufacturing semiconductor device
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
WO2012066977A1 (ja) 2010-11-19 2012-05-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
CN103476965B (zh) * 2011-02-07 2016-03-23 乔治洛德方法研究和开发液化空气有限公司 由铝和硅前体沉积Al2O3/SiO2叠层的方法
DE102011012515A1 (de) 2011-02-25 2012-08-30 Umicore Ag & Co. Kg Metallkomplexe mit N-Amino-Amidinat-Liganden
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR101995602B1 (ko) 2011-06-03 2019-07-02 노벨러스 시스템즈, 인코포레이티드 상호접속을 위한 캡핑층들을 함유하는 금속 및 실리콘
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI541377B (zh) 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) * 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9194840B2 (en) 2012-01-19 2015-11-24 Life Technologies Corporation Sensor arrays and methods for making same
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
WO2014015237A1 (en) 2012-07-20 2014-01-23 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20150372205A1 (en) 2013-01-31 2015-12-24 Dai Nippon Printing Co., Ltd. Electron beam curable resin composition, reflector resin frame, reflector, semiconductor light-emitting device, and molded article production method
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US8980734B2 (en) 2013-03-08 2015-03-17 Freescale Semiconductor, Inc. Gate security feature
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
US9209014B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
JP2015012179A (ja) 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
GB2530195B (en) 2013-06-28 2018-12-12 Intel Corp Selective epitaxially grown III-V materials based devices
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2015042486A1 (en) 2013-09-20 2015-03-26 Baker Hughes Incorporated Composites for use in stimulation and sand control operations
KR102138719B1 (ko) 2013-09-27 2020-07-28 인텔 코포레이션 인접한 영역들 위로의 층들의 침범을 제한하는 것을 포함한 선택적 화학 반응에 의한 작은 영역들 위에서의 재료 층들의 형성
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
KR102241166B1 (ko) 2013-12-19 2021-04-16 인텔 코포레이션 자기 정렬 게이트 에지 및 로컬 상호접속 및 그 제조 방법
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
TWI739285B (zh) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
CN106164332B (zh) 2014-03-27 2019-03-19 英特尔公司 用于光辅助金属原子层沉积(ald)和化学气相沉积(cvd)的前体和工艺设计
SG11201606451QA (en) 2014-03-28 2016-09-29 Intel Corp Selective epitaxially grown iii-v materials based devices
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9449963B2 (en) * 2014-07-03 2016-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure with hard mask structure formed thereon and method for forming the same
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
EP3026055A1 (en) 2014-11-28 2016-06-01 Umicore AG & Co. KG New metal N-aminoguanidinate complexes for use in thin film fabrication and catalysis
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US9816180B2 (en) 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9805914B2 (en) 2015-04-03 2017-10-31 Applied Materials, Inc. Methods for removing contamination from surfaces in substrate processing systems
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
CN107533951B (zh) 2015-05-01 2021-10-26 应用材料公司 使用表面封端化学性质的薄膜电介质的选择性沉积
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
US10464959B2 (en) 2015-06-18 2019-11-05 Intel Corporation Inherently selective precursors for deposition of second or third row transition metal thin films
US10428421B2 (en) * 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
KR102182550B1 (ko) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. 유도된 자기-조립층을 기판 상에 형성하는 방법
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10580644B2 (en) 2016-07-11 2020-03-03 Tokyo Electron Limited Method and apparatus for selective film deposition using a cyclic treatment
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
KR20230026514A (ko) 2016-10-02 2023-02-24 어플라이드 머티어리얼스, 인코포레이티드 루테늄 라이너로 구리 전자 이동을 개선하기 위한 도핑된 선택적 금속 캡
US10358719B2 (en) 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
US11430656B2 (en) * 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11094535B2 (en) * 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
CN110651064B (zh) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
US10847363B2 (en) 2017-11-20 2020-11-24 Tokyo Electron Limited Method of selective deposition for forming fully self-aligned vias
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
US11450525B2 (en) 2018-09-14 2022-09-20 Applied Materials, Inc. Selective aluminum oxide film deposition
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11608557B2 (en) 2020-03-30 2023-03-21 Asm Ip Holding B.V. Simultaneous selective deposition of two different materials on two different surfaces
US11643720B2 (en) 2020-03-30 2023-05-09 Asm Ip Holding B.V. Selective deposition of silicon oxide on metal surfaces
US11898240B2 (en) 2020-03-30 2024-02-13 Asm Ip Holding B.V. Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces

Also Published As

Publication number Publication date
US20200325573A1 (en) 2020-10-15
US11965238B2 (en) 2024-04-23
CN111816547A (zh) 2020-10-23
TW202041701A (zh) 2020-11-16
JP2020172704A (ja) 2020-10-22

Similar Documents

Publication Publication Date Title
KR20200120872A (ko) 금속 표면 상에 금속 산화물의 선택적 증착
US11739422B2 (en) Passivation against vapor deposition
KR102520620B1 (ko) 유전체 표면들에 대하여 금속 또는 금속성 표면들 상에서의 선택적 퇴적
KR102197048B1 (ko) 이중 선택적 퇴적
US9587307B2 (en) Enhanced deposition of noble metals
TW202026461A (zh) 選擇性沉積的方法以及用於選擇性沉積的組合工具和系統
KR101506019B1 (ko) 금속 카바이드 막의 기상 증착
US11643720B2 (en) Selective deposition of silicon oxide on metal surfaces
TW202204658A (zh) 在兩不同表面上同時選擇性沉積兩不同材料
US11664219B2 (en) Selective deposition of SiOC thin films
US20230139917A1 (en) Selective deposition using thermal and plasma-enhanced process
US20230178371A1 (en) Method and apparatus for hard mask deposition
US20220216059A1 (en) Method of treating a substrate
US20230227965A1 (en) Method and apparatus for forming a patterned structure on a substrate
KR20240038608A (ko) 전이금속을 선택적으로 증착하기 위한 방법 및 어셈블리
KR20230062782A (ko) 플라즈마를 사용하여 실리콘 및 산소를 포함한 재료의 선택적 증착
TW202311556A (zh) 選擇性鈍化及選擇性沉積