TW201809346A - 反應室鈍化以及金屬膜的選擇性沈積 - Google Patents

反應室鈍化以及金屬膜的選擇性沈積 Download PDF

Info

Publication number
TW201809346A
TW201809346A TW106118780A TW106118780A TW201809346A TW 201809346 A TW201809346 A TW 201809346A TW 106118780 A TW106118780 A TW 106118780A TW 106118780 A TW106118780 A TW 106118780A TW 201809346 A TW201809346 A TW 201809346A
Authority
TW
Taiwan
Prior art keywords
reaction chamber
substrate
selective deposition
passivation layer
metal
Prior art date
Application number
TW106118780A
Other languages
English (en)
Other versions
TWI718308B (zh
Inventor
戴芬 隆吉
安提 具哈尼 尼斯卡嫩
王瀚
謝琦
強 威廉 梅斯
陳尚
渡會俊晴
石川大
難波邦年
Original Assignee
Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Ip控股公司 filed Critical Asm Ip控股公司
Publication of TW201809346A publication Critical patent/TW201809346A/zh
Application granted granted Critical
Publication of TWI718308B publication Critical patent/TWI718308B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

可將金屬層相對於基底的第二表面選擇性地沈積於基底的一個表面上。在一些實施例中,相對於包括矽的第二表面,將金屬層選擇性地沈積於第一金屬表面上。在一些實施例中,可視情況在執行選擇性沈積製程之前對將要執行選擇性沈積的反應室進行鈍化。在一些實施例中,達成高於約50%或甚至約90%的選擇性。

Description

反應室鈍化以及金屬膜的選擇性沈積
本申請案大體上是關於半導體製作(semiconductor fabrication)的領域。
當前藉由將各種材料層以預定配置依序地建構於半導體基底上的精巧製程來製造積體電路。
隨著莫耳定律(Moore’s law)發展而產生較小裝置,滿足銅互連件中的不斷增加的電遷移(electromigration;EM)要求正變得愈來愈困難。隨著線尺寸縮小,用於電遷移故障的臨界空隙大小(critical void size)亦會縮減,從而造成故障前平均時間(mean time to failure)的急劇減少。需要抗電遷移性的顯著改良以實現持續的按比例調整。
介電擴散障壁(dielectric diffusion barrier)與金屬材料(metallic material)之間的界面已被展示為用於金屬材料擴散的主要路徑及抵抗電遷移故障的最弱鏈路。因為相對於介電表面難以在金屬表面上達成良好選擇性,所以選擇性金屬罩的實施已具挑戰性。本文中揭露用於可在此內容背景下用以減少電遷移的金屬膜的選擇性沈積的製程。
鎢的選擇性沈積會有利地縮減針對半導體裝置製作期間的複雜圖案化步驟的需要。然而,諸如熱處理(thermal treatment)或根除處理(radical treatment)的平緩表面處理對於提供用於選擇性沈積的所要表面封端通常是較佳的。此等表面處理可能不會適當地製備用於選擇性沈積的所要表面,從而導致選擇性損失。
在一些態樣中,呈現用於在反應室中進行選擇性沈積製程之前將反應室進行鈍化的製程。在一些實施例中,製程可包括:提供不包括晶圓的反應室;將鈍化層沈積於反應室的內部表面上,其中內部表面可在後續選擇性沈積製程期間曝露於前驅體;將包括第一金屬表面及包括矽的第二表面的至少一個晶圓提供至反應室中;在反應室內對至少一個晶圓執行選擇性沈積製程,其中選擇性沈積製程包括至少一個選擇性沈積循環。
在一些實施例中,製程更包括在已執行數個選擇性沈積循環之後重複沈積鈍化層步驟。在一些實施例中,製程更包括在將鈍化層沈積於反應室的內部表面上之前使反應室的內部表面經受蝕刻製程。在一些實施例中,在將鈍化層沈積於反應室的內部表面上期間,反應室中不存在基底或晶圓。在一些實施例中,藉由氣相沈積製程而沈積鈍化層。在一些實施例中,藉由原子層沈積(atomic layer deposition;ALD)製程而沈積鈍化層。在一些實施例中,藉由電漿增強式原子層沈積(plasma enhanced atomic layer deposition;PEALD)製程而沈積鈍化層。在一些實施例中,藉由化學氣相沈積(chemical vapor deposition;CVD)製程而沈積鈍化層。在一些實施例中,藉由電漿增強式化學氣相沈積(plasma enhanced CVD;PECVD)製程而沈積鈍化層。在一些實施例中,藉由將反應室交替地且依序地曝露於包括二矽烷的第一前驅體及包括原子氮、氮自由基或氮電漿及原子氫、氫自由基或氫電漿的第二前驅體而形成鈍化層。在一些實施例中,鈍化層包括SiN。在一些實施例中,鈍化層不包括純金屬或純矽。在一些實施例中,藉由氧化沈積於反應室的內部表面上的金屬而形成鈍化層。在一些實施例中,藉由選擇性沈積製程將金屬沈積於反應室的內部表面上。在一些實施例中,金屬包括鎢。在一些實施例中,鈍化層具有約5奈米至約500奈米的厚度。在一些實施例中,選擇性沈積製程具有大於約50%的選擇性。在一些實施例中,選擇性沈積製程具有大於約90%的選擇性。
在一些態樣中,提供用於在反應室中進行選擇性沈積製程之前將反應室進行鈍化的製程。在一些實施例中,製程可包括:提供不包括晶圓的反應室;將鈍化層沈積於反應室的內部表面上,其中內部表面可在後續選擇性沈積製程期間曝露於前驅體;將包括第一金屬表面及包括矽的第二表面的至少一個晶圓提供至反應室中;在反應室內對至少一個晶圓執行選擇性沈積製程,其中選擇性沈積製程包括至少一個選擇性沈積循環;以及在選擇性沈積製程期間間歇地重複沈積鈍化層步驟。
在一些態樣中,提供用於將膜選擇性地沈積於基底上的製程,基底包括第一金屬表面及包括矽的第二表面。在一些實施例中,製程可包括:將執行選擇性沈積製程的反應室進行鈍化;使基底經受包括將基底曝露於處理反應物的第一表面處理;在第一表面處理之後,在反應室中執行一或多個選擇性沈積循環,每一循環包括相對於包括矽的第二表面,將基底與包括矽或硼的第一前驅體接觸以在第一金屬表面上選擇性地形成包括Si或B的第一材料層;以及藉由將第一材料曝露於包括金屬的第二前驅體而將第一金屬表面上的第一材料轉換為第二金屬材料。在一些實施例中,相對於包括矽的第二表面,以大於約50%的選擇性將第二金屬材料沈積於基底的第一金屬表面上。
在一些實施例中,第一金屬表面包括銅。在一些實施例中,第一金屬表面包括鈷。在一些實施例中,第一金屬表面包括鎢、鎢的原生氧化層,或氧化鎢。在一些實施例中,包括矽的第二表面包括SiO2 。在一些實施例中,第二金屬材料包括鎢。在一些實施例中,將反應室進行鈍化包括將鈍化層沈積於反應室中的可在選擇性沈積循環中的一或多者期間曝露於第一前驅體或第二前驅體的表面上。在一些實施例中,藉由氣相沈積製程而形成鈍化層。在一些實施例中,藉由化學氣相沈積(CVD)製程而形成鈍化層。在一些實施例中,藉由原子層沈積(ALD)製程而形成鈍化層。在一些實施例中,藉由將第一氣相矽前驅體及第二氣相氮前驅體傳導至反應室中而形成鈍化層。在一些實施例中,藉由將反應室交替地且依序地曝露於包括二矽烷的第一鈍化層前驅體及包括氮的第二鈍化層前驅體而形成鈍化層。在一些實施例中,藉由將反應室交替地且依序地曝露於包括二矽烷的第一鈍化層前驅體、包括金屬鹵化物的第二鈍化層前驅體及包括胺基矽烷的第三鈍化層前驅體而形成鈍化層。在一些實施例中,鈍化層包括SiN。在一些實施例中,處理反應物包括甲酸。在一些實施例中,處理反應物包括NH3 。在一些實施例中,在約30℃至約110℃的溫度下執行第一表面處理。在一些實施例中,第一前驅體包括二矽烷。在一些實施例中,第二前驅體包括金屬鹵化物。在一些實施例中,第二前驅體包括WF6 。在一些實施例中,製程更包括在使基底經受第一表面處理之前使基底經受第二表面處理。在一些實施例中,第二表面處理包括將基底曝露於第二表面處理反應物,其中第二表面處理反應物鈍化第二表面。在一些實施例中,以大於約90%的選擇性將第二金屬材料相對於包括矽的第二表面沈積於基底的第一金屬表面上。
在一些實施例中,揭露用於將金屬膜選擇性地沈積於金屬或金屬材料上同時避免沈積於含矽材料(諸如二氧化矽)上的製程。舉例而言,可將金屬膜沈積於銅上以用於結束線基底處理。在一些實施例中,將金屬膜沈積於包括含矽材料中的銅線的積體電路工件上。
在一些此等應用中,本文中所揭露的選擇性沈積製程可用以將材料沈積至銅上,藉此減少銅之電遷移。在一些實施例中,選擇性沈積是在銅金屬層上而非在基底上的含矽材料上。此等應用中的含矽材料上的沈積是不良的,此是因為其可減少有效介電值。
在一些實施例中,本文中所描述的製程流程用以在積體電路製作期間將金屬選擇性地沈積於微米尺度(或更小)特徵上。在一些實施例中,特徵大小可小於100微米、小於1微米或小於200奈米。在將W選擇性地沈積於Cu上以用於互連應用的狀況下,在一些實施例中,特徵大小/線寬可小於1微米、小於200奈米、小於100奈米或甚至小於50奈米。當然,在本領域具有知識者將認識到,在使用所揭露的製程的情況下,在較大特徵上及在其他內容背景中的選擇性沈積是可能的。
在一些實施例中,選擇性沈積可避免額外處理步驟,藉此節省時間且減少與處理基底相關聯的成本。舉例而言,對於小尺寸,微影在未來將極昂貴。在晶片中具有8個或多於8個Cu金屬化物層的情況下,可使用選擇性沈積而達成的時間及成本節省會增大,此是因為在基底處理期間會針對每一銅金屬化物區域節省時間。再者,本文中所揭露的製程可免除針對擴散障壁及其他處理步驟的需要。
圖1為大體上說明用於將金屬膜相對於第二含矽表面選擇性地沈積於基底的第一金屬表面上的製程10的流程圖。在一些實施例中,製程可包括在選擇性沈積步驟14之前的可選反應室鈍化步驟11,以便實現選擇性沈積、改良選擇性,及/或在選擇性沈積製程期間損失選擇性之前增加順次循環的數目。在一些實施例中,反應室鈍化步驟11可增加達成所要選擇性位準的順次循環的數目。可選反應室鈍化步驟11可包含在室表面及可在選擇性沈積步驟14期間曝露於前驅體或反應物的其他位置上提供鈍化材料或鈍化層。反應室鈍化步驟11可限制或防止在後續選擇性沈積步驟14期間將金屬材料沈積於室表面上,藉此縮減或消除藉由選擇性沈積步驟14而產生的反應性副產物的量。在一些實施例中,反應室鈍化步驟11可縮減基底在選擇性沈積步驟14期間的污染,此又可實現選擇性沈積或增加選擇性。
在一些實施例中,鈍化層可包括(例如)SiN。在一些實施例中,鈍化層可包括金屬氧化物且可藉由(例如)氧化存在於室表面上的金屬材料而形成。在一些實施例中,鈍化層可不為純金屬或純矽。
使用本文中所描述的製程的選擇性沈積不需要處理含矽層以阻擋含矽層上的沈積。因此,在一些實施例中,包括矽的第二表面不包括鈍化層或阻擋層,諸如自組裝單層(self-assembled monolayer;SAM),其將防止第二介電表面的實際頂部表面曝露於本文中所描述的沈積製程的化學物。因此,在一些實施例中,將膜選擇性地沈積於基底上的第一金屬表面上,第一金屬表面尚未承受經設計以防止將膜沈積於第二含矽表面上的處理,諸如阻擋處理或鈍化處理。亦即,在一些實施例中,即使阻擋層或鈍化層不阻擋包括矽的第二表面上的沈積,亦可獲得選擇性沈積。代替地,選擇沈積條件,使得將在不需要在沈積之前預處理包括矽的第二表面的情況下發生選擇性沈積製程。
在一些實施例中,可將第二含矽層曝露於經設計以處理第一表面的處理。舉例而言,在一些實施例中,需要鈍化第一金屬表面,且可將包括矽的第二表面曝露於與第一金屬表面的鈍化處理相同的鈍化處理。舉例而言,在Cu的狀況下,可將第一Cu表面及包括矽的第二表面兩者曝露於苯并三唑或另一鈍化化學物。然而,在用以自金屬表面移除鈍化層的第一表面處理步驟之前,不針對包括矽的第二表面進行特定進一步處理或曝露(除其可在樣本輸送期間承受的處理或曝露之外)。詳言之,不需要執行經設計以阻擋將膜沈積於包括矽的第二表面上的處理。
在一些實施例中,在選擇性地沈積膜時,第二介電表面僅包括在低k材料中天然存在的表面基團,且不包括將不在低k材料自身中天然存在的大量官能基或配位體。在一些實施例中,在將使表面基團添加至第二介電表面的第一表面處理之後不執行第二介電表面的活性處理(active treatment)。在一些實施例中,第二介電表面僅包括在低k材料中天然存在的表面基團,包含可在(例如)空氣中輸送基底期間形成的表面基團。
然而,在一些實施例中,可視情況在步驟12處處理第二含矽表面。在一些實施例中,可藉由減少沈積於含矽表面上的材料的量(例如,藉由鈍化含矽表面)而在步驟12處處理含矽表面以增強沈積製程的選擇性。在一些實施例中,處理步驟12意欲復原含矽層且不阻擋含矽層上的沈積。在一些實施例中,步驟12處的第二含矽表面處理可包括將第二表面與處理化學物接觸,舉例而言,可將包括矽的第二表面與包括三甲基(二甲胺基)矽烷的處理化學物接觸。在一些實施例中,可在步驟12開始時或在步驟12之前對基底進行除氣,以便移除(例如)來自基底表面或在含矽材料內部的任何水分。
在一些實施例中,在開始選擇性沈積步驟14之前在步驟13處清潔基底表面。在一些實施例中,第一表面處理步驟13可包括將基底曝露於電漿,例如自NH3 產生的電漿。在一些實施例中,第一表面處理步驟13可包括將基底曝露於氣相處理化學物,例如甲酸。在一些實施例中,第一表面處理步驟13可還原第一金屬表面。在一些實施例中,第一表面處理步驟13可移除可存在於第一金屬表面上的任何原生氧化層。但在一些實施例中,原生氧化層可在第一表面處理步驟13之後仍存在於第一表面上。在一些實施例中,第一表面處理步驟13可移除可存在於第一金屬表面上的任何烴層。在一些實施例中,第一表面處理步驟13可在第一金屬表面上提供活性位點。在一些實施例中,可在步驟13開始時或在步驟13之前對基底進行除氣,以便移除(例如)來自基底表面或在含矽材料內部的任何水分。
在一些實施例中,選擇性沈積製程的步驟14包括使用多個沈積循環將膜選擇性地沈積於包括第一金屬表面及包括矽的第二表面的基底上。循環包括:將基底與包括矽或硼的第一前驅體接觸以相對於包括矽的第二表面在第一金屬表面上方選擇性地形成包括Si或B的第一材料層;以及藉由將基底曝露於包括金屬的第二前驅體而將第一材料轉換為第二金屬材料。選擇性沈積步驟14涉及相對於包括矽的第二表面在第一金屬表面上形成較大量的材料。選擇性可被表達為形成於第一表面上的材料對形成於經組合的第一表面及第二表面上的材料的量的比率。舉例而言,若製程將10奈米的W沈積於第一銅表面上且將1奈米的W沈積於第二氧化矽表面上,則製程將被視為具有90%選擇性。較佳地,本文中所揭露的製程的選擇性高於約80%,更佳地高於90%,甚至更佳地高於95%,且最佳地為約100%。在一些狀況下,選擇性為至少約80%,其對於一些特定應用可為選擇性足夠的。在一些狀況下,選擇性為至少約50%,其對於一些特定應用可為選擇性足夠的。在一些實施例中,使用多個沈積循環以在步驟14處沈積材料。在一些實施例中,經選擇性沈積的膜為金屬層。金屬層可為元素金屬。在一些實施例中,金屬層可包含額外元素,諸如Si、B、N及/或摻雜物。因此,在一些實施例中,金屬層為金屬氮化物或金屬矽化物。如本文中所使用,「金屬的(metallic)」指示膜、反應物或其他材料包括一或多種金屬。
基底可包括各種類型的材料。當製造積體電路時,基底通常包括具有不同化學性質及物理性質的數個薄膜。舉例而言且在無限制的情況下,基底可包括含矽層及金屬層。在一些實施例中,基底可包括金屬碳化物。在一些實施例中,基底可包括導電氧化物。
較佳地,基底具有包括金屬的第一表面,在本文中被稱作第一金屬表面(first metal surface/first metallic surface)。在一些實施例中,第一表面基本上為元素金屬,諸如Cu或Co。在一些實施例中,第一表面包括金屬氮化物。在一些實施例中,第一表面包括過渡金屬。過渡金屬可選自以下群組:Ti、V、Cr、Mn、Nb、Mo、Ru、Rh、Pd、Ag、Au、Hf、Ta、W、Re、Os、Ir及Pt。在一些實施例中,第一表面較佳地包括銅。在一些實施例中,第一表面包括鈷。在一些實施例中,第一表面包括鎢。在一些實施例中,第一表面可包括金屬的原生氧化層,舉例而言,第一表面可包括氧化鎢。在一些實施例中,第一表面可包括接縫、間隙或空間,且選擇性沈積製程封閉或實質上填充第一表面的接縫、間隙或空間。在一些實施例中,第一表面包括貴金屬。貴金屬可選自以下群組:Au、Pt、Ir、Pd、Os、Ag、Re、Rh及Ru。
第二表面較佳地為含矽表面,在本文中被稱作第二含矽表面或包括矽的第二表面。在一些實施例中,含矽表面包括(例如)SiO2 。在一些實施例中,第二表面可包括氧化矽、氮化矽、碳化矽、氮氧化矽、二氧化矽或其混合物。在一些實施例中,包括第二表面的材料為多孔材料。在一些實施例中,多孔材料含有彼此連接的孔隙,而在其他實施例中,孔隙彼此不連接。在一些實施例中,第二表面包括低k材料,被定義為介電值低於約4.0的絕緣體。在一些實施例中,低k材料的介電值低於約3.5、低於約3.0、低於約2.5及低於約2.3。
用於本文中所揭露的製程中的前驅體可在標準條件(室溫及大氣壓力)下為固態、液態或氣態材料,其限制條件為前驅體在被傳導至反應室中且與基底表面接觸之前呈氣相。亦可使用電漿條件。因此,在一些實施例中,電漿可由氣相反應物或前驅體形成。將氣化前驅體「脈衝」至基底上意謂將前驅體蒸氣傳導至室中達有限時段。通常,脈衝時間為約0.05秒至10秒。然而,取決於基底類型及其表面積,脈衝時間可甚至高於10秒。在一些狀況下,脈衝時間可為大約數分鐘。在一些狀況下,為了確保反應的全飽和度,可以多個較短脈衝而非以一個較長脈衝供應前驅體。
前驅體的質量流率亦可由在本領域具有知識者判定。在一個實施例中,對於300毫米晶圓上的沈積,在無限制的情況下,前驅體流率較佳地在約1 sccm與2000 sccm之間。在一些實施例中,流率可在約50 sccm與約1500 sccm之間、在約100 sccm與約1000 sccm之間或在約200 sccm與約500 sccm之間。
反應室中的壓力通常為約0.01毫巴至約50毫巴。在一些實施例中,壓力可在約0.1毫巴與約20毫巴之間,或在約1毫巴與約10毫巴之間。然而,在一些狀況下,壓力將高於或低於此範圍,如可由在本領域具有知識者容易地所判定。 室鈍化
再次參看圖1,在一些實施例中,可需要在步驟14處選擇性地沈積金屬膜之前在步驟11處將執行選擇性沈積製程的反應室進行鈍化。在一些實施例中,反應室鈍化步驟11可實現選擇性沈積、改良選擇性,及/或在選擇性沈積製程(例如,如本文中所描述的金屬膜選擇性沈積製程)期間損失選擇性之前增加循環的數目。
在一些實施例中,用於將膜相對於第二表面(例如含矽表面)選擇性地沈積於基底的第一表面(例如金屬表面)上的選擇性沈積製程可產生可快速地損害第二表面的反應性副產物。反應性副產物可在第二表面上提供活性位點,從而引起選擇性損失。在一些實施例中,可在反應室表面上發生非想要的沈積,藉此相比於主要在基底上發生沈積的選擇性沈積製程導致反應室中的反應性副產物的量增加。為了縮減室表面(例如反應室的內部表面)上的非想要的沈積的量且因此縮減藉由選擇性沈積製程而產生的反應性副產物的量,需要鈍化此等室表面以防禦沈積。
舉例而言,在一些實施例中,W選擇性沈積製程可產生具有式SiFx 的反應性副產物,其中x = 1至4。在反應室尚未被鈍化的一些實施例中,可在室表面上發生非想要的W沈積,藉此產生不良量的SiFx 副產物。在反應室已被鈍化的一些實施例中,可主要在基底的第一表面上發生W沈積,且在非想要的室表面上可不發生W沈積,藉此相對於反應室尚未被鈍化的W選擇性沈積製程導致在選擇性沈積製程期間產生的SiFx 副產物的量縮減。
在一些實施例中,當反應室中不存在晶圓或基底時執行反應室鈍化步驟11。因此,在一些實施例中,不使基底(例如包括第一金屬表面及第二含矽表面的基底)經受反應室鈍化步驟11。在一些實施例中,可使基底在反應室鈍化步驟11之前、期間或之後經受其他處理。
在一些實施例中,可在已在步驟14處執行選擇性沈積製程之後重複反應室鈍化步驟11。在一些實施例中,可在已執行每一個、兩個、三個或更多選擇性沈積步驟14之後重複反應室鈍化步驟11。舉例而言,在一些實施例中,可在已使每1個、5個、10個、20個、50個或更多基底(例如晶圓)經受選擇性沈積步驟14之後重複反應室鈍化步驟11。在一些實施例中,可在已執行選擇性沈積步驟14的某一數目個循環之後重複反應室鈍化步驟11。在一些實施例中,可在每50個、100個、150個或更多選擇性沈積循環之後重複反應室鈍化步驟11。在一些實施例中,基底可留存於反應室中,或可不在反應室鈍化步驟11期間存在於反應室中。
在一些實施例中,反應室鈍化步驟11可包含在室表面及可在選擇性沈積步驟14期間曝露於前驅體或反應物的其他表面上提供鈍化層或鈍化材料。在一些實施例中,將鈍化材料沈積或形成於反應室的內部表面、室的擴散板 (chamber showerhead)及/或室中可在選擇性沈積步驟14期間曝露於前驅體或反應物的任何其他部分上。在一些實施例中,可將鈍化材料沈積於反應室中的不為被期望發生選擇性沈積的基底的任何表面上。在一些實施例中,鈍化材料為與步驟14中選擇性地沈積的材料不同的材料。在一些實施例中,用以沈積鈍化層的部署製程可不為選擇性沈積製程。
在一些實施例中,反應室鈍化步驟11可增加選擇性沈積步驟14的所要選擇性位準被維持的順次循環的數目。在一些實施例中,相較於尚未經受任何反應室鈍化步驟11的反應室,反應室鈍化步驟11可將選擇性沈積步驟14的所要選擇性位準被維持的順次循環的數目增加多於約50%。在一些實施例中,相較於尚未經受任何反應室鈍化步驟11的反應室,反應室鈍化步驟11可將選擇性沈積步驟14的所要選擇性位準被維持的順次循環的數目增加多於約75%、多於約100%、多於約200%、多於約400%或多於約900%。在一些實施例中,相較於尚未經受任何反應室鈍化步驟11的反應室,反應室鈍化步驟11可將選擇性沈積步驟14的所要選擇性位準被維持的順次循環的數目增加多於約20倍。
在一些實施例中,反應室鈍化步驟11可增加選擇性沈積步驟14的所要選擇性位準被維持的順次循環的數目,且可在所要數目個循環之後重複反應室鈍化步驟11,以便允許選擇性沈積步驟14的所要選擇性位準被維持的額外順次循環。亦即,可在所要數目個順次循環之後且在選擇性沈積製程的選擇性已減少至低於所要位準之前執行反應室鈍化步驟11,以便允許選擇性沈積製程的所要選擇性位準被維持的額外順次循環。在一些實施例中,可在選擇性沈積製程的所要數目個順次循環之後將反應室鈍化步驟11重複任何次數,以便維持選擇性沈積步驟14的所要選擇性位準。
在一些實施例中,可在經由反應室鈍化步驟11沈積後續鈍化層之前自反應室的內部表面蝕刻或至少部分地移除先前經沈積的鈍化層。在一些實施例中,可在已使反應室經受兩個或多於兩個、五個或多於五個或十個或多於十個反應室鈍化步驟11之後自反應室的內部表面蝕刻或至少部分地移除先前經沈積的鈍化層。在一些實施例中,不在兩個或多於兩個、五個或多於五個或十個或多於十個反應室鈍化製程之間執行蝕刻或層移除。在一些實施例中,接著可在已自反應室的內部表面蝕刻或至少部分地移除先前經沈積的鈍化層之後使反應室經受反應室鈍化步驟11。
在一些實施例中,反應室鈍化步驟11可增加選擇性沈積步驟14的所要選擇性位準被維持的持續時間。在一些實施例中,相較於尚未經受任何反應室鈍化步驟11的反應室,反應室鈍化步驟11可將選擇性沈積步驟14的所要選擇性位準被維持的持續時間增加多於約50%、多於約75%、多於約100%、多於約200%、多於約400%或多於約900%。在一些實施例中,相較於尚未經受任何反應室鈍化步驟11的反應室,反應室鈍化步驟11可將選擇性沈積步驟14的所要選擇性位準被維持的持續時間增加多於約20倍。
在一些實施例中,反應室鈍化步驟11可增加選擇性沈積步驟14的所要選擇性位準被維持的基底(例如,晶圓)的數目。亦即,反應室鈍化步驟11可增加可被同時執行選擇性沈積同時維持所要選擇性位準的晶圓的數目。在一些實施例中,相較於尚未經受任何反應室鈍化步驟11的反應室,反應室鈍化步驟11可將選擇性沈積步驟14的所要選擇性位準被維持的基底的數目增加多於約2倍、多於約5倍、多於約10倍、多於約20倍或多於約50倍。
在一些實施例中,反應室鈍化步驟11可擴大在需要維護(例如,反應室及/或反應室組件的清潔)之前可在反應室中執行的沈積循環的數目。在一些實施例中,相較於尚未經受任何反應室鈍化步驟11的反應室,反應室鈍化步驟11可將在需要維護之前可在反應室中執行的沈積循環的數目擴大多於約50%、多於約75%、多於約100%、多於約200%、多於約400%、多於約900%或多於約20倍。
在一些實施例中,在選擇性沈積製程期間,可將材料沈積於反應室的內部表面上。此經沈積材料可分層且干擾選擇性沈積,或可提供反應性位點,使得可在選擇性沈積製程期間產生量高得不合意的不良反應副產物。因此,可能有必要週期性地自反應室的內部表面移除經沈積材料。在一些實施例中,反應室鈍化步驟11可擴大在必須執行蝕刻或移除沈積於反應室的內部表面上的不當材料(例如經由原位蝕刻)以獲得或維持所要選擇性位準之前可在反應室中執行的沈積循環的數目。在一些實施例中,相較於尚未經受任何反應室鈍化步驟11的反應室,反應室鈍化步驟11可將在必須執行蝕刻(例如,原位蝕刻)以獲得或維持所要選擇性位準之前可在反應室中執行的沈積循環的數目擴大多於約50%、多於約75%、多於約100%、多於約200%、多於約400%、多於約900%或多於約20倍。
在一些實施例中,在反應室鈍化步驟11期間沈積或形成的鈍化層可包括SiN。在一些實施例中,鈍化層可包括氧化矽、氮化矽、碳化矽、氮氧化矽或其混合物。在一些實施例中,鈍化層可包括金屬氧化物。在一些實施例中,鈍化層可包括除純金屬或純矽之外的任何材料。在一些實施例中,鈍化層不為自組裝單層(SAM)或不為利用與用以形成自組裝單層的分子相似的分子的相似層。
在一些實施例中,可藉由氣相沈積製程而在步驟11處沈積或形成鈍化層。在一些實施例中,用於形成鈍化層的沈積製程可包括以化學方式驅動的氣相沈積製程。亦即,用於形成鈍化層的沈積製程為取決於前驅體的一或多個化學反應的氣相沈積製程,且不為物理氣相沈積製程。舉例而言,用於形成或沈積鈍化層的沈積製程可為化學氣相沈積(chemical vapor deposition;CVD)製程或原子層沈積(atomic layer deposition;ALD)製程。在一些實施例中,可藉由電漿增強式原子層沈積(PEALD)製程或電漿增強式化學氣相沈積(PECVD)製程而形成鈍化層。
在一些實施例中,用於形成鈍化層的沈積製程可包括在1個與10,000個之間的沈積循環、在5個與5,000個之間的沈積循環、在10個與2,500個之間的沈積循環,或在10個與50個之間的沈積循環。在一些實施例中,鈍化層可具有約1奈米至約1000奈米、約5奈米至約500奈米、約10奈米至約250奈米或約40奈米至約150奈米的厚度。然而,在一些實施例中,可能有用的是使鈍化層具有小於1奈米的厚度。在一些實施例中,鈍化層可具有小於約200奈米、小於約100奈米、小於約50奈米及小於約25奈米的厚度。
在一些實施例中,用於形成鈍化層的沈積製程可包括原子層沈積類型製程,其包括一或多個沈積循環,沈積循環包括將反應室表面交替地且依序地曝露或接觸於第一氣相前驅體及第二氣相前驅體。在一些實施例中,可在將反應室表面曝露或接觸於第二氣相前驅體之前自反應室移除第一氣相前驅體及反應副產物(若存在的話)。在一些實施例中,可相似地在隨後將反應室表面曝露或接觸於第一氣相前驅體之前自反應室移除第二氣相前驅體及任何反應副產物。
在一些實施例中,用於形成鈍化層的沈積製程可包括化學氣相沈積類型製程,其中以同時脈衝或重疊脈衝將第一氣相前驅體及第二氣相前驅體傳導至反應室中,其中前驅體在室表面上反應及/或分解以形成鈍化層。
在一些實施例中,用於形成鈍化層的沈積製程可包括電漿增強式化學氣相沈積類型製程,其中以同時脈衝或重疊脈衝將第一氣相前驅體及第二氣相前驅體傳導至反應室中,且其中在反應室中產生電漿。前驅體在電漿中及/或在室表面上反應及/或分解以形成鈍化層。在一些實施例中,可在遠端產生電漿且將電漿引入至反應室中。
在一些實施例中,用於形成包括SiN的鈍化層的沈積製程可為電漿增強式化學氣相沈積製程。在一些實施例中,電漿增強式化學氣相沈積製程可利用氣相矽前驅體及氣相氮前驅體。在一些實施例中,可將矽前驅體及氮前驅體一起或以重疊脈衝提供至反應室中。在一些實施例中,在反應室中產生電漿,且矽前驅體及氮前驅體反應及/或分解以在室表面上形成SiN鈍化層。在一些實施例中,可在遠端產生電漿且將電漿引入至反應室中。
在一些實施例中,用於形成包括矽的鈍化層(諸如SiN)的沈積製程可利用矽前驅體及一或多個額外前驅體,諸如氮前驅體。在一些實施例中,用於形成鈍化層的沈積製程可利用氮前驅體。在一些實施例中,用於鈍化層沈積製程中的矽前驅體可包括矽烷,例如矽烷、二矽烷或三矽烷。在一些實施例中,氮前驅體可原子氮、氮自由基、氮電漿或其組合。在一些實施例中,氮前驅體可更包括原子氫、氫自由基、氫電漿或其組合。在一些實施例中,氮前驅體可包括自N2 產生的電漿。在一些實施例中,氮前驅體可包括自N2 及H2 產生的電漿。在一些實施例中,氮前驅體可包括自N2 及稀有氣體(例如氬)產生的電漿。在一些實施例中,氮前驅體可包括自N2 、H2 及稀有氣體(例如,氬)產生的電漿。在一些實施例中,可在原子層沈積類型反應中將矽前驅體及氮前驅體分離地提供至反應室中,或可在化學氣相沈積反應中將矽前驅體及氮前驅體一起或以重疊脈衝提供至反應室中。
在一些實施例中,用於形成鈍化層(例如,包括矽及氮的鈍化層(諸如SiN))的沈積製程可包括一或多個沈積循環,沈積循環包括將反應室表面交替地且依序地曝露或接觸於第一氣相前驅體、第二氣相前驅體及第三氣相前驅體。在一些實施例中,第一氣相前驅體可包括矽烷;第二氣相前驅體可包括金屬鹵化物;且第三氣相前驅體可包括胺基矽烷。在一些實施例中,第一氣相前驅體可包括二矽烷;第二氣相前驅體可包括WF6 ;且第三氣相前驅體可包括三甲基(二甲胺基)矽烷。
本文中僅出於參考起見而使用術語「第一前驅體、第二前驅體及第三前驅體」,且在本領域具有知識者應理解,可在將反應室表面曝露於第一氣相前驅體、第二氣相前驅體或第三氣相前驅體中的任一者的情況下開始沈積循環。在一些實施例中,第一氣相前驅體可在第二氣相前驅體或第三氣相前驅體之前接觸基底。在一些實施例中,第二氣相前驅體可在第一氣相前驅體之後且在第三氣相前驅體之前接觸基底。在一些實施例中,第三氣相前驅體可在第一氣相前驅體及第二氣相前驅體兩者之後接觸基底。在一些實施例中,第一氣相前驅體、第二氣相前驅體及第三氣相前驅體,彼此接觸基底的順序可以不同。在一些實施例中,可一起或至少以部分重疊的脈衝提供兩個、三個或更多前驅體,而不考慮被稱作第一前驅體、第二前驅體、第三前驅體等等。此外,可將反應室表面與按如由在本領域具有知識者所判定的任何次序的氣相前驅體交替地且依序地接觸。舉例而言,可在給定沈積循環中將室表面與第二氣相前驅體接觸之前將所述表面與第三氣相前驅體接觸。
在一些實施例中,利用第一氣相前驅體、第二氣相前驅體及第三氣相前驅體的鈍化層沈積製程可包括一或多個沈積循環、三個或多於三個沈積循環、五個或多於五個沈積循環或十個或多於十個沈積循環、25或多於25個沈積循環,且在一些情況下少於或等於50個沈積循環。
在一些實施例中,在每一選擇性沈積步驟14之後或在已經受選擇性沈積步驟14的每一基底(例如,晶圓)之後沈積藉由利用第一氣相前驅體、第二氣相前驅體及第三氣相前驅體的鈍化層沈積製程而沈積的鈍化層。亦即,在選擇性沈積製程之後,可自反應室移除基底,且可藉由鈍化層沈積製程而沈積額外鈍化層。在一些實施例中,在已經受選擇性沈積製程的每一基底之後藉由鈍化層沈積製程而沈積額外鈍化層。
在一些實施例中,在已經受選擇性沈積步驟14的多於每兩個基底、多於每四個基底、多於每九個基底或多於每19個基底之後沈積藉由利用第一氣相前驅體、第二氣相前驅體及第三氣相前驅體的鈍化層沈積製程而沈積的鈍化層。
在一些實施例中,可在與如本文中所描述的選擇性沈積製程的反應室壓力及溫度相似或相同的反應室壓力及溫度下執行用於形成鈍化層的沈積製程。在一些實施例中,用於鈍化層沈積製程中的氣相前驅體流率可與用於如本文中所描述的選擇性沈積製程中的前驅體流率相似或相同。
在一些實施例中,可在小於約400℃的溫度下沈積鈍化層。在一些實施例中,可在小於約250℃的溫度下沈積鈍化層。在一些實施例中,可在小於約150℃的溫度下沈積鈍化層。在一些實施例中,可在小於約100℃的溫度下沈積鈍化層。
在一些實施例中,可在(例如)約20℃至約250℃、約30℃至約200℃或約40℃至150℃下沈積鈍化層。在一些實施例中,可在可執行後續選擇性沈積製程的大約相同溫度下沈積鈍化層。
在一些實施例中,可視情況在沈積鈍化層之前清潔被沈積鈍化層的室表面。在一些實施例中,可藉由將室表面曝露於電漿來清潔室表面。舉例而言,在一些實施例中,可藉由包括將反應室曝露於包括氟的自由基(諸如NF3 類自由基)來清潔反應室。
在一些實施例中,可藉由氣相沈積製程(例如,原子層沈積製程、化學氣相沈積製程、電漿增強式原子層沈積製程或電漿增強式化學氣相沈積製程)而形成金屬氧化物鈍化層。在一些實施例中,用於形成鈍化層的沈積製程可包括在1個與10,000個之間的沈積循環、在5個與5,000個之間的沈積循環、在10個與2,500個之間的沈積循環,或在10個與50個之間的沈積循環。
在一些實施例中,鈍化層可包括金屬氧化物。在一些實施例中,鈍化層可包括過渡金屬氧化物。在一些實施例中,鈍化層可包括(例如)氧化鉭(Ta2 O5 )、氧化鈦(TiO2 )、氧化鈮(Nb2 O5 )、氧化鋯(ZrO2 )、氧化鉿(HfO2 )、氧化鎢(WOx )、氧化鉬(MoOx )或氧化釩(VOx )。在一些實施例中,可藉由包括一或多個沈積循環的沈積製程而形成包括過渡金屬氧化物的鈍化層,沈積循環包括將反應室表面交替地且依序地曝露或接觸於第一氣相前驅體及第二氣相前驅體。在一些實施例中,沈積製程可為原子層沈積製程、化學氣相沈積製程、電漿增強式原子層沈積製程或電漿增強式化學氣相沈積製程。在一些實施例中,第一氣相前驅體可包括過渡金屬。在一些實施例中,第一氣相前驅體可包括金屬鹵化物或有機金屬化合物。在一些實施例中,第二氣相前驅體可包括氧。在一些實施例中,第二氣相前驅體可為氧反應物或氧源。在一些實施例中,第二氣相前驅體可包括O3 、H2 O、H2 O2 、氧原子、氧電漿、氧自由基或其組合。
在一些實施例中,可藉由包括一或多個沈積循環的沈積製程而形成包括Al2 O3 的鈍化層,沈積循環包括將反應室表面交替地且依序地曝露或接觸於包括鋁的第一氣相前驅體及包括氧的第二氣相前驅體。在一些實施例中,包括鋁的第一氣相前驅體可包括有機金屬化合物,其包括鋁,例如三甲基鋁(TMA)。在一些實施例中,包括氧的第二氣相前驅體可包括O3 、H2 O、H2 O2 、氧原子、氧電漿、氧自由基或其組合。另外,在一些實施例中,可以如可由在本領域具有知識者容易地判定的任何次序提供第一氣相前驅體及第二氣相前驅體。在一些實施例中,可諸如在化學氣相沈積製程中一起或至少以部分重疊的脈衝提供第一氣相前驅體及第二氣相前驅體。
在一些實施例中,可藉由氣相沈積製程(例如藉由化學氣相沈積(CVD)製程或原子層沈積(ALD)製程)而將金屬材料沈積或形成於室表面上。在一些實施例中,金屬材料可包括銻,例如元素銻。在一些實施例中,可藉由電漿增強式原子層沈積(PEALD)製程而形成鈍化層。在一些實施例中,用於形成鈍化層的沈積製程可包括在1個與10,000個之間的沈積循環、在5個與5,000個之間的沈積循環、在10個與2,500個之間的沈積循環,或在10個與50個之間的沈積循環。
在一些實施例中,接著可氧化金屬材料以形成金屬氧化物鈍化層。在一些實施例中,可藉由將金屬材料曝露於氧反應物而氧化金屬材料。在一些實施例中,氧反應物可包括氧、氧原子、氧自由基、氧電漿或其組合。舉例而言,在一些實施例中,氧反應物可包括O3 、H2 O、H2 O2 、氧原子、氧電漿、氧自由基或其組合。在一些實施例中,可使金屬材料經受包括將金屬材料曝露於氧化劑或氧反應物的至少一個步驟的氧化製程。在一些實施例中,氧化製程可包括在兩個或多於兩個步驟中將金屬材料曝露於兩種或多於兩種氧化劑或氧反應物。在一些實施例中,兩種或多於兩種氧化劑或氧反應物可為不同氧化劑或氧反應物。在一些實施例中,兩個或多於兩個曝露步驟可由淨化或氧化劑移除步驟分離。在一些實施例中,將金屬材料曝露於多於一種氧化劑或氧反應物相比於曝露於一種氧化劑或氧反應物可合意地引起金屬材料的氧化量較大。
在一些實施例中,可藉由氧化已在先前沈積製程期間沈積於室表面上的金屬材料而將鈍化層形成於室表面上。在先前已在反應室中執行選擇性沈積步驟14的一些實施例中,反應室鈍化步驟11可包括氧化在選擇性沈積步驟14期間沈積於室表面上的任何金屬材料以形成金屬氧化物鈍化層。在一些實施例中,可藉由將金屬材料曝露於氧前驅體而氧化金屬材料。在一些實施例中,氧前驅體可包括氧、氧原子、氧自由基、氧電漿或其組合。
舉例而言,可氧化在先前W選擇性沈積製程期間沈積於室表面上的W以形成室鈍化層。在一些實施例中,藉由不用以在反應室中將材料沈積於基底或晶圓上的沈積製程而將金屬材料沈積於室表面上。 含矽表面處理
如圖1所展示且在一些實施例中,可在步驟12處理將被避免沈積的含矽材料。舉例而言,在一些實施例中,可在表面清潔之後且在沈積之前處理含矽材料。在一些實施例中,可藉由減少沈積於含矽表面上的材料的量(例如,藉由鈍化含矽表面)來處理含矽表面以增強沈積製程的選擇性。在一些實施例中,處理意欲復原含矽層且不阻擋含矽層上的沈積。
在一些實施例中,含矽表面為低k表面,其已被除氣以移除自氛圍吸收的水分。
在一些實施例中,含矽材料的處理為介電質復原步驟。可在選擇性沈積之前且在已清潔表面之後(若執行的話)執行不同種類的含矽材料復原步驟。
在一些實施例中,藉由將含矽表面與一或多種矽烷(諸如二矽烷)接觸來處理含矽表面。在一些實施例中,運用三甲基氯矽烷(CH3 )3 SiCl(TMCS)或運用具有式R3-x SiXx 的其他類型的烷基鹵矽烷來處理含矽表面,其中x為1至3且每一R可被獨立地選擇為C1-C5烴,諸如甲基、乙基、丙基或丁基,較佳地為甲基,且X為鹵化物,較佳地為氯化物。美國專利第6,391,785號揭露各種表面改質及處理且其全文併入本文中。在一些實施例中,美國專利第6,391,785號中所揭露的表面改質或處理中的任一者可用於本文中所揭露的製程中。
在一些實施例中,將含矽表面與(例如)三甲基(二甲胺基)矽烷接觸。在一些實施例中,將含矽表面與具有式(RI )3 Si(NRII RIII )的烷基胺基矽烷接觸,其中RI 為直鏈或支鏈C1-C5烷基或直鏈或支鏈C1-C4烷基,RII 為直鏈或支鏈C1-C5烷基、直鏈或支鏈C1-C4烷基或氫,且RIII 為直鏈或支鏈C1-C5烷基或直鏈或支鏈C1-C4烷基。
在一些實施例中,將含矽表面與具有通式(RI )3 SiA的矽烷接觸,其中RI 為直鏈或支鏈C1-C5烷基或直鏈或支鏈C1-C4烷基,且A為與含矽表面反應的任何配位體。亦即,矽烷經由配位體A而與表面鍵結,或配位體A形成至表面的鍵,但接著配位體A可遷移離開表面及/或矽烷。
在一些實施例中,復原化學物是選自矽烷家族且具有化學式Sin H2n+2 (n等於或大於1),或選自環狀矽烷家族且具有化學式Sin H2n (n等於或大於3)。在一些實施例中,復原化學物為包括矽烷、二矽烷或三矽烷的矽源。在一些實施例中,矽烷為二矽烷Si2 H6 或三矽烷Si3 H8 。在一些實施例中,矽源可選自具有式SiHx Ly 的矽烷化合物,其中L為選自包含以下各者的群組的配位體:烷基、烯基、炔基、烷氧化物及胺。在一些狀況下,L為選自以下鹵基團(halide group)的配位體:F、Cl、Br及I。
在一些實施例中,在選擇性沈積之前藉由在約室溫至約150℃或約40℃至約130℃的溫度下將基底曝露於一或多種復原化學物(諸如Si2 H6 或三甲基氯矽烷)而執行含矽表面復原步驟。在一些實施例中,可在高達約400℃、約25℃至約300℃或30℃至約250℃的溫度下執行含矽表面復原步驟。在一些實施例中,以約5 sccm至100 sccm或約30 sccm至60 sccm的流率將復原化學物(諸如Si2 H6 )提供至反應室。在一些實施例中,將復原化學物提供至反應室達約1秒至20秒或約1秒至10秒。在一些實施例中,以脈衝提供復原化學物,諸如三甲基氯矽烷。可提供約1個至20個或約1個至10個脈衝,例如,每一脈衝具有約1秒至10秒的脈衝及淨化時間。在一些實施例中,可在與可執行沈積的反應室分離的第二反應室中進行含矽表面復原步驟。
雖然此步驟被稱為表面復原步驟且所使用的化學物被稱為復原化學物,但本文中出於簡單起見而使用此等名稱且不暗示特定復原功能。因此,在一些實施例中,處理及/或化學物可能不會完全地或甚至部分地復原含矽表面。
若含矽表面受到損害,則亦可在選擇性沈積步驟之後藉由進行表面復原步驟來復原含矽表面。
一些含矽材料可具有多孔結構。為了避免擴散、蝕刻及其他不良製程,可在開始沈積製程之前運用防護基團來密封或封端孔隙。因此,在一些實施例中,可處理多孔含矽材料以在開始選擇性沈積之前運用防護基團來密封孔隙或進行封端。在一些實施例中,在提供金屬反應物之前處理多孔含矽材料。
在一些實施例中,可藉由在含矽表面上形成Si(RI )3 基團來密封孔隙,其中RI 可為直鏈或支鏈C1-C5烷基或直鏈或支鏈C1-C4烷基。在一些實施例中,經由矽烷化(亦即,在含矽表面(例如低k或SiO2 表面)上形成-Si(CH3 )3 基團)來密封孔隙。可在引入金屬氟化物或其他反應物之前藉由矽烷化而部分地避免蝕刻。矽烷化亦可用以阻擋孔隙以避免反應物穿透至含矽材料中。在一些實施例中,經由矽化合物(例如,Cl-Si(CH3 )3 )與含矽材料的Si-OH封端表面的如下反應來完成矽烷化:Si-OH + Cl-Si(CH3 )3 -> Si-O-Si(CH3 )3 + HCl。因此,在一些實施例中,在提供矽化合物之前形成適當表面封端。再者,使用具有較長含碳配位體的矽化合物是可能的。
舉例而言,美國專利第6,759,325號中揭露用於密封孔隙的製程。美國專利第6,759,325號中的密封製程的揭露內容的全文是特此以引用的方式併入。
在一些實施例中,可在沈積之前藉由原子層沈積將有機層形成於含矽材料上以阻擋孔隙且使含矽表面更抵抗金屬氟化物。
在選擇性不完美或需要較高選擇性的一些實施例中,可在選擇性沈積之後處理表面(例如使用等向性選擇性金屬蝕刻),以自絕緣體表面移除材料,而不自金屬表面完全地移除材料。舉例而言,可使用HCl蒸氣或濕式蝕刻。 第一金屬表面處理
如圖1所展示且根據一些實施例,可視情況在步驟13處清潔基底表面。舉例而言,對於第一材料為銅時的實施例,可清潔或還原銅表面,使得純元素銅在基底表面上。在一些實施例中,第一表面處理可移除存在於第一金屬表面上的任何有機材料。舉例而言,第一表面處理可移除存在於第一金屬表面上的鈍化層。舉例而言,第一表面處理可自銅表面移除苯并三唑(BTA)鈍化層。在一些實施例中,第一表面處理可還原基底的第一金屬表面。在一些實施例中,第一表面處理可移除可存在於第一金屬表面上的任何原生氧化層。在一些實施例中,第一表面處理可移除可存在於第一金屬表面上的任何烴層。在一些實施例中,第一表面處理可在第一金屬表面上提供活性位點。可以多種製程中的任一者(例如,使用諸如檸檬酸的化學物或使用電漿)進行第一表面處理。舉例而言,可使用含氫電漿或自由基(諸如H電漿或NH3 電漿)來清潔基底表面。在一些實施例中,將HCl處理用作第一表面處理製程。在一些實施例中,第一表面處理包括將基底曝露於處理反應物,例如甲酸。其他第一表面處理製程亦是可能的。可基於多種因素(諸如材料及沈積條件,包含(例如)基底表面上的材料的類型)來選擇待用於任何特定狀況下的特定第一表面處理製程。
在一些狀況下,鈍化需要選擇性沈積的第一材料,諸如銅。鈍化可為對基底進行有意處理以形成鈍化層的結果,或可由處理條件(諸如在輸送基底期間曝露於氧)引起。
可(例如)在自一個反應空間轉移至另一反應空間之前鈍化基底的表面。在一些實施例中,可使用多種已知鈍化化學物中的任一者來鈍化第一材料的表面以防禦空氣中的氧化。在需要Cu上的選擇性沈積的一些實施例中,可(例如)運用苯并三唑來鈍化Cu表面。可運用本文中所描述的第一表面處理製程來移除此鈍化。
在一些實施例中,第一表面處理包括將基底曝露於處理反應物。在一些實施例中,處理反應物為氣相有機反應物。在一些實施例中,處理反應物可含有至少一個醇基且可較佳地選自由以下各者組成的群組:一級醇、二級醇、三級醇、多元醇、環狀醇、芳族醇,及醇的其他衍生物。
較佳的一級醇具有附接至與另一碳原子鍵結的碳原子的-OH基團,尤其是根據通式(I)的一級醇:
R1 -OH (I)
其中R1 為直鏈或支鏈C1 - C20 烷基或烯基,較佳地為甲基、乙基、丙基、丁基、戊基或己基。較佳的一級醇的實例包含甲醇、乙醇、丙醇、丁醇、2-甲基丙醇及2-甲基丁醇。
較佳的二級醇具有附接至與兩個其他碳原子鍵結的碳原子的-OH基團。詳言之,較佳的二級醇具有通式(II):
(II)
其中每一R1 是獨立地選自直鏈或支鏈C1 - C20 烷基及烯基的群組,較佳地為甲基、乙基、丙基、丁基、戊基或己基。較佳的二級醇的實例包含2-丙醇及2-丁醇。
較佳的三級醇具有附接至與三個其他碳原子鍵結的碳原子的-OH基團。詳言之,較佳的三級醇具有通式(III):
(III)
其中每一R1 是獨立地選自直鏈或支鏈C1 - C20 烷基及烯基的群組,較佳地為甲基、乙基、丙基、丁基、戊基或己基。較佳的三級醇的實例為第三丁醇。
較佳的多元醇(諸如二醇及三醇)具有如上文所描述的一級醇基、二級醇基及/或三級醇基。較佳的多元醇的實例為乙二醇及丙三醇。
較佳的環狀醇具有附接至為1個至10個碳原子(更佳地為5個至6個碳原子)的部分的至少一個碳原子的-OH基團。
較佳的芳族醇具有附接至苯環或附接至側鏈中的碳原子的至少一個-OH基團。
含有至少一個醛基(-CHO)的較佳的處理反應物是選自由以下各者組成的群組:具有通式(V)的化合物、具有通式(VI)的烷二醛化合物,及醛的其他衍生物。
因此,在一個實施例中,較佳的處理反應物為具有通式(V)的醛:
R3 -CHO (V)
其中R3 是選自由氫及直鏈或支鏈C1 - C20 烷基及烯基組成的群組,較佳地為甲基、乙基、丙基、丁基、戊基或己基。更佳地,R3 是選自由甲基或乙基組成的群組。根據式(V)的較佳化合物的實例為甲醛、乙醛及丁醛。
在另一實施例中,較佳的處理反應物為具有通式(VI)的醛:
OHC-R4 -CHO (VI)
其中R4 為直鏈或支鏈C1 -C20 飽和或不飽和烴。替代地,醛基可彼此直接鍵結(R4 不存在)。
含有至少一個-COOH基團的較佳的處理反應物是較佳地選自由以下各者組成的群組:通式(VII)的化合物、多羧酸,及羧酸的其他衍生物。
因此,在一個實施例中,較佳的處理反應物為具有通式(VII)的羧酸:
R5 -COOH (VII)
其中R5 為氫或直鏈或支鏈C1 -C20 烷基或烯基,較佳地為甲基、乙基、丙基、丁基、戊基或己基,更佳地為甲基或乙基。在一些實施例中,R5 為直鏈或支鏈C1 -C3 烷基或烯基。根據式(VII)的較佳化合物的實例為甲酸、丙酸及乙酸,最佳地為甲酸(HCOOH)。
在一些實施例中,第一表面處理是如名為「表面鈍化移除(REMOVAL OF SURFACE PASSIVATION)」的美國專利申請案第14/628799號中所描述的製程,所述專利申請案的全文是特此以引用的方式併入。
在一些實施例中,使基底的第一金屬表面經受包括將基底曝露於電漿的第一表面處理。此第一表面處理可(例如)移除存在於第一金屬表面(諸如Cu表面)上的鈍化層。
在一些實施例中,第一表面處理包括將基底曝露於僅由Ar組成的電漿。在一些實施例中,含Ar及H電漿用於第一表面處理中。在一些實施例中,含Ar及H及N電漿用於第一表面處理中。可注意,代替Ar,其他稀有氣體(諸如He、Ne、Kr或Xe)可用於實質上相同條件中。在一些實施例中,可使用多於一種類型的電漿。舉例而言,可提供含Ar電漿、含Ar及H電漿以及含Ar、H及N電漿中的一或多者。在一些實施例中,順次地提供所有三種類型的電漿。
在一些實施例中,自H2 產生的電漿可用於第一表面處理中。在一些實施例中,自乙醇產生的電漿可用於第一表面處理中。在一些實施例中,自含有H2 及乙醇兩者的源產生的電漿可用於第一表面處理中。在一些實施例中,舉例而言,在第一金屬表面為Cu表面的情況下,自H2 、乙醇或H2 及乙醇產生的電漿較佳地用於第一表面處理中。
在一些實施例中,自NH3 產生的電漿可用於第一表面處理中。在一些實施例中,自NH3 及H2 產生的電漿可用於第一表面處理中。在一些實施例中,舉例而言,在第一金屬表面為Co表面的情況下,自NH3 及H2 產生的電漿較佳地用於第一表面處理中。在一些實施例中,可自包括NH3 及H2 的氣體產生電漿,其中NH3 對H2 的比率為約1:100至約1:1,較佳地為約1:5至約1:20。在一些實施例中,NH3 對H2 的比率可為約1:19、約1:9,或約1:5。
在一些實施例中,第一表面處理可包括將基底曝露於第一處理反應物,此後曝露於第二處理反應物。在一些實施例中,第一處理反應物可包括O3 、原子氧、氧自由基或氧電漿。在一些實施例中,第二處理反應物可包括原子氫、氫自由基或氫電漿。在一些實施例中,可在引入第二處理反應物之前自反應室移除第一處理反應物。在一些實施例中,曝露於第一處理反應物可在第一反應室中發生,且將基底曝露於第二處理反應物可在第二反應室中發生。
在一些實施例中,第一處理反應物可移除可存在於第一金屬表面上的任何有機鈍化層或烴,而第二處理反應物可還原第一金屬表面。舉例而言,在第一金屬表面為Co表面的一些實施例中,曝露於O3 可自Co表面移除天然存在的烴層,而隨後曝露於H自由基可還原Co表面。
在利用含Ar電漿的一些實施例中,可提供(例如)約1 sccm至約3000 sccm、更佳地為約300 sccm至約1500 sccm且最佳地為約1000 sccm至約1300 sccm的Ar。在利用含H電漿的一些實施例中,可提供(例如)約1 sccm至約500 sccm、更佳地為約10 sccm至約200 sccm且最佳地為約30 sccm至約100 sccm的H2 。在利用含N電漿的一些實施例中,可提供(例如)大約處於約1 sccm至約500 sccm、更佳地為約5 sccm至約200 sccm且最佳地為約5 sccm至約30 sccm的N2 或NH3 。相似條件可用於其他類型的電漿,例如乙醇或含O電漿。
在一些實施例中,可在小於約1500瓦特(例如約1瓦特至約1000瓦特、約1瓦特至約500瓦特或約1瓦特至約200瓦特或更小)的功率下產生電漿。在一些實施例中,提供電漿或處理反應物達小於約200秒,例如約180秒或小於180秒、約60秒或小於60秒,或約30秒或小於30秒。在一些實施例中,將基底曝露於電漿或反應物可為連續的,或被分裂成若干脈衝。必要脈衝的數目是由用以達到如由在本領域具有知識者所判定的所需總曝露時間的脈衝中的每一者的長度判定。
表面處理期間的溫度可為(例如)約室溫至約400℃、約100℃至約400℃,或約100℃至約130℃。在一些實施例中,可使基底經受除氣,以便移除(例如)來自含矽材料內部的基底表面的水分。在一些實施例中,可在使基底經受第一表面處理之前對基底進行除氣。
在一些實施例中,選擇用於表面第一表面處理的條件,使得避免或最小化含矽表面的蝕刻。 選擇性沈積 第一前驅體
在一些實施例中,將第一前驅體提供至基底,使得將層相對於基底的第二含矽表面選擇性地形成於基底的第一金屬表面上。在一些實施例中,第一前驅體較佳地包括矽或硼。在一些實施例中,將0.05奈米至4奈米厚的Si層或B層形成於基底的金屬表面上。在一些實施例中,將0.1奈米至2奈米厚的Si層或B層形成於基底的金屬表面上。在一些實施例中,可使用小於1奈米的Si或B。在不受理論約束的情況下,咸信,相較於第二表面的反應性,基底上的金屬表面可催化或輔助第一前驅體的吸附或分解。在一些實施例中,矽或硼在金屬表面上的形成是自限性的,使得在曝露於反應物後就形成至多一單層。在一些實施例中,矽源化學物或硼源化學物可在銅表面或金屬表面上分解。
在一些實施例中,矽源化學物是選自矽烷家族Sin H2n+2 (n等於或大於1)或環狀矽烷家族Sin H2n (n等於或大於3)。在一些實施例中,矽源包括矽烷或二矽烷。最佳地,矽烷為二矽烷Si2 H6 或三矽烷Si3 H8 。在一些實施例中,矽源可選自具有式SiHx Ly 的矽烷化合物,其中L為選自包含以下各者的群組的配位體:烷基、烯基、炔基、烷氧化物及胺。在一些狀況下,L為選自以下鹵基團的配位體:F、Cl、Br及I。
在一些實施例中,第一前驅體包括硼。在一些實施例中,第一前驅體為二硼烷(B2 H6 )。二硼烷與一些矽烷類化合物具有相似性質。舉例而言,二硼烷相比於二矽烷具有較低分解溫度,但其熱穩定性與三矽烷(silcore)的熱穩定性相似。
亦可使用包括硼的其他前驅體。大量硼化合物的可用性使有可能選擇具有所要性質的硼化合物。此外,有可能使用多於一種硼化合物。較佳地,使用以下硼化合物中的一或多者:
根據式I或式II的硼烷。
Bn Hn+x , (I)
其中n為1至10的整數,較佳地為2至6,且x為偶整數,較佳地為4、6或8。
Bn Hm (II)
其中n為1至10的整數,較佳地為2至6,且m為不同於n的整數,為1至10,較佳地為2至6。
在根據式I的以上硼烷之中,實例包含巢式硼烷(nido -borane)(Bn Hn+4 )、蛛式硼烷(arachno -borane)(Bn Hn+6 )及絨式硼烷(hyph -borane)(Bn Hn+8 )。在根據式II的硼烷之中,實例包含聯式硼烷(conjuncto -borane)(Bn Hm )。再者,可使用諸如(CH3 CH2 )3 N-BH3 的硼烷錯合物。
硼烷鹵化物,尤其是氟化物、溴化物及氯化物。合適化合物的實例為B2 H5 Br。另外實例包括具有高硼/鹵化物比率的鹵化硼,諸如B2 F4 、B2 Cl4 及B2 Br4 。亦有可能使用硼烷鹵化物錯合物。
根據式III的鹵代硼烷。
Bn Xn (III)
其中X為Cl或Br,且當X為Cl時,n為4或為8至12的整數,或當X為Br時,n為7至10的整數。
根據式IV的碳硼烷
C2 Bn Hn+x (IV)
其中n為1至10的整數,較佳地為2至6,且x為偶整數,較佳地為2、4或6。
根據式IV的碳硼烷的實例包含閉式碳硼烷(closo- carborane)(C2 Bn Hn+2 )、巢式碳硼烷(nido- carborane)(C2 Bn Hn+4 )及蛛式碳硼烷(arachno- carborane)(C2 Bn Hn+6 )。
根據式V的胺-硼烷加合物。
R3 NBX3 (V)
其中R為直鏈或支鏈C1至C10,較佳地為C1至C4烷基或H,且X為直鏈或支鏈C1至C10,較佳地為C1至C4烷基、H或鹵素。
根據式VI的胺基硼烷,其中B上的取代基中的一或多者為胺基。
R2 N (VI)
其中R為直鏈或支鏈C1至C10,較佳地為C1至C4烷基或經取代或未經取代的芳基。
合適胺基硼烷的實例為(CH3 )2 NB(CH3 )2
環狀硼氮炔(-BH-NH-)3 及其揮發性衍生物。
烷基硼或烷基硼烷,其中烷基通常為直鏈或支鏈C1至C10烷基,較佳地為C2至C4烷基。
在一些實施例中,第一前驅體包括鍺。在一些實施例中,鍺源化學物是選自鍺烷家族Gen H2n+2 (n等於或大於1)或環狀鍺烷家族Gen H2n (n等於或大於3)。在一些較佳實施例中,鍺源包括鍺烷GeH4 。在一些實施例中,鍺源可選自具有式GeHx Ly 的鍺烷化合物,其中L為選自包含以下各者的群組的配位體:烷基、烯基、炔基、烷氧化物及胺。在一些狀況下,L為選自以下鹵基團的配位體:F、Cl、Br及I。 金屬源化學物
較佳地,第二反應物包括金屬。在一些實施例中,金屬為過渡金屬。過渡金屬可選自以下各者的群組:Ti、V、Cr、Mn、Nb、Mo、Ru、Rh、Pd、Ag、Hf、Ta、W、Re、Os、Ir及Pt。在一些實施例中,第二反應物包括W、Ta、Nb、Ti、Mo或V。在一些實施例中,第二反應物較佳地包括鎢。
在一些實施例中,第二反應物包括貴金屬。貴金屬可選自以下群組:Au、Pt、Ir、Pd、Os、Ag、Rh及Ru。
在一些實施例中,第二反應物包括金屬鹵化物(F、Cl、Br、I)。在一些較佳實施例中,第二反應物包括過渡金屬鹵化物。在一些實施例中,第二反應物較佳地包括氟。在一些實施例中,第二反應物包括WF6 、TaF5 、NbF5 、TiF4 、MoFx 、VFx 。在一些實施例中,第二反應物包括WF6
第二反應物可用以在基底上形成多種不同材料。在一些實施例中,第二反應物與基底上的第一反應物反應以在基底上形成金屬材料。上文針對第二反應物所揭露的金屬中的任一者可呈沈積於基底上的膜。
在一些實施例中,可形成元素金屬膜,例如W膜。在一些實施例中,可形成金屬氮化物膜。在一些實施例中,可形成金屬矽化物膜。
在一些實施例中,首先經由基底表面上的Si或B與第二反應物的反應來形成金屬或元素金屬膜,且稍後經由進一步處理將金屬或元素金屬膜轉換為對應金屬矽化物或金屬氮化物。舉例而言,可將第一金屬或元素金屬膜曝露於第三反應物以將其轉換為金屬矽化物或金屬氮化物。
在一些實施例中,可進行金屬材料的進一步處理以摻雜金屬材料或將金屬材料轉換為金屬氮化物或金屬矽化物。舉例而言,在一些實施例中,可使用電漿或NH3 處理將材料轉換為對應金屬氮化物。在一些實施例中,可藉由使用不同處理且取決於起始金屬材料而將導電金屬材料轉換為電阻較大的材料或轉換為介電材料。
在一些實施例中,可在提供下一反應物之前提供一種反應物的多個脈衝。在一些實施例中,可在提供下一反應物之前移除任何過多反應物。在一些實施例中,可在提供下一反應物之前淨化處理室(process chamber)。
在一些實施例中,可憑藉惰性載氣將氣相前驅體提供至反應空間。移除過多反應物可包含排空反應空間的一些內容物,或運用氦、氮或任何其他惰性氣體來淨化反應空間。在一些實施例中,淨化可包括切斷反應性氣體的流動,同時繼續使惰性載氣流動至反應空間。 沈積溫度
在一些實施例中,選擇溫度以促進選擇性沈積。若第一表面上的每表面積或體積的經沈積材料的量(例如at/cm2 或at/cm3 )大於第二表面上的每表面積或體積的經沈積材料的量,則沈積通常被定義為選擇性的。可藉由量測每一層的厚度來判定沈積於表面上的材料的量。在一些狀況下,歸因於非連續膜,厚度量測可能是不可能的。在一些狀況下,可藉由量測每表面積或體積的經沈積原子來判定選擇性。如上文所提及,選擇性可被表達為形成於第一表面上的材料對形成於經組合的第一表面及第二表面上的材料的量的比率。較佳地,選擇性高於約70%、高於約80%,更佳地高於90%,甚至更佳地高於95%,且最佳地為約100%。在一些狀況下,高於80%的選擇性對於某些應用可能是可接受的。在一些狀況下,高於50%的選擇性對於某些應用可能是可接受的。
在一些實施例中,選擇沈積溫度,使得選擇性高於約90%。在一些實施例中,選擇沈積溫度,使得達成約100%的選擇性。
在一些實施例中,選擇沈積溫度,使得包括矽或硼的第一前驅體在第一金屬表面上形成含有矽或硼的層。在一些實施例中,第一前驅體不在包括矽的第二表面上形成層,或在第二表面上形成不到整個層。
所利用的特定溫度可部分地取決於連同基底上的第一表面或金屬及第二表面或介電質一起而選擇的矽前驅體或硼前驅體。較佳地,矽源或硼源形成於第一金屬表面上而非形成於包括矽的第二表面上以形成包括矽或硼的層。較佳地,包括矽或硼的層約為單層或小於單層。在一些狀況下,可形成多於單層的矽或硼。在一些實施例中,將厚度為約0.05奈米至約4奈米的矽層或硼層形成於基底的金屬表面上。在一些實施例中,較佳地,將厚度為約0.1奈米至約2奈米的矽層或硼層形成於基底的金屬表面上。在一些實施例中,矽或硼在金屬表面上的形成是自限性的。在一些實施例中,藉由分解來形成包括矽或硼的層。
在一些狀況下,矽層或硼層可在較高溫度下形成於金屬表面及含矽表面兩者上。在此等情形下,使用較低溫度是較佳的,此是因為相比於包括矽的表面,矽或硼可在較低溫度下形成於金屬表面上。因此,可選擇溫度,使得矽前驅體相對於第二表面或含矽表面優先地與第一表面或金屬表面相互作用。
在一些實施例中,選擇沈積溫度以達成所要選擇性位準。舉例而言,可選擇溫度,使得將含矽或硼前驅體對低k材料的吸收限於為達成所要選擇性位準所必要的量。
可基於矽源或硼源及所使用的特定基底表面(例如,含矽表面及銅表面)來選擇沈積溫度。
在一些實施例中,沈積溫度較佳地小於200℃,更佳地小於約175℃,更佳地小於約150℃,最佳地小於約110℃。在一些狀況下,可使用小於約100℃的溫度。在一些實施例中,用於在使用二矽烷及WF6 所沈積的具有小於約5奈米的厚度(例如,經沈積W厚度)的膜中具有超過50%的選擇性的選擇性沈積的沈積溫度範圍為約30℃至約200℃。在一些實施例中,可使用約30℃至約110℃的沈積溫度範圍來達成合意位準的均一性及選擇性。在一些實施例中,可使用約40℃至約110℃的沈積溫度範圍來達成合意位準的均一性及選擇性。在一些實施例中,可使用小於約100℃的沈積溫度範圍來達成合意位準的均一性及選擇性。在此等溫度範圍中,在本領域具有知識者可最佳化製程以針對使用特定反應器及特定前驅體所沈積的膜達成所要或可接受的均一性及選擇性。
在一些實施例中,在相同溫度下及在同一反應空間中提供含矽或硼前驅體及第二金屬前驅體。在一些實施例中,在第一沈積溫度下提供矽前驅體且在第二沈積溫度下提供第二金屬反應物。實務上,此可意謂在第一反應空間中提供第一反應物且在第二反應空間中提供第二金屬反應物。
在使用二矽烷且在銅或鈷表面上使用WF6 來沈積鎢的一些實施例中,可達成相對於包括矽的表面的大於約80%、較佳地大於約90%的選擇性,其中沈積溫度為約30℃至約110℃。用於三矽烷的沈積溫度可甚至低於用於二矽烷的沈積溫度。在上文所提及的實施例中,經沈積膜可為(例如而不限於)鎢膜。
在一些實施例中,經選擇性沈積的膜的厚度小於約10奈米、小於約5奈米、為約4奈米或小於4奈米,或在一些實施例中為約1奈米至約4奈米。然而,在一些狀況下達成(例如)大於50%、更佳地大於80%的所要選擇性位準,其中經選擇性沈積的膜的厚度超過約10奈米。
在一些實施例中,將厚度為約10奈米或小於10奈米的W膜選擇性地沈積於基底表面上的Co的Cu上方,其中相對於含矽材料,選擇性大於50%。
在一些實施例中,將厚度為約5奈米或小於5奈米的W膜選擇性地沈積於基底表面上的Cu或Co上方,其中相對於含矽材料,選擇性大於約80%。
在一些實施例中,將厚度為約3奈米或小於3奈米的W膜選擇性地沈積於基底表面上的Cu或Co上方,其中相對於含矽材料,選擇性大於約90%。
若較低選擇性是較佳的,則溫度可稍微高於用於達成大於90%選擇性的製程的溫度。
在一些實施例中,選擇沈積條件及/或反應物,使得避免或最小化含矽表面的蝕刻。舉例而言,在較高溫度下,金屬氟化物可開始氟化可存在於第二表面上的任何Si-OH基團,且在一些狀況下,金屬氟化物可蝕刻含矽表面。因此,在一些實施例中,選擇沈積溫度,使得避免或消除含矽表面的蝕刻。
提供第二反應物期間的基底溫度可與提供含矽或硼反應物期間的溫度相同。在其他實施例中,可使用不同溫度。
在將WF6 用作第二反應物且將二矽烷用作第一反應物的實施例中,可使用約30℃至約110℃的溫度。
在一些實施例中,當提供第二反應物以增加金屬反應物的轉換時,可增加基底的溫度。舉例而言,當將TaF5 及NbF5 用作第二反應物時,可使用較高溫度。舉例而言,當使用TaF5 時,溫度可超過約300℃。當使用NbF5 時,溫度可高於約250℃。此可藉由使用用於第二材料的較高反應溫度或為在本領域具有知識者所知的其他手段來加熱基底而完成。 例示性製程流程
圖2為根據某些實施例的大體上說明用於將金屬膜相對於第二含矽表面選擇性地沈積於基底的第一金屬表面上的製程20的流程圖。首先在步驟21處使將執行選擇性沈積製程的反應室經受可選反應器鈍化製程,以在與後續選擇性沈積製程的位置直接連接的任何室表面上沈積SiN鈍化層。提供包括第一金屬表面(諸如Co表面)及包括矽的第二表面(諸如SiO2 表面)的基底,且視情況對基底進行除氣。在一些實施例中,可在步驟22處使基底經受可選含矽表面處理,例如以鈍化SiO2 表面。接著可在步驟23處使基底經受可選第一表面處理。如上文所描述,在一些實施例中,第一表面處理可包括將基底曝露於電漿,例如自NH3 、H2 或所述兩者的組合產生的電漿。
在一些實施例中,電漿處理步驟23可還原第一Co表面。在一些實施例中,電漿處理可移除存在於第一Co表面上的原生氧化層。在一些實施例中,電漿處理可移除可存在於第一Co表面上的鈍化層或烴層,例如苯并三唑層。
在一些實施例中,可在與在步驟21處鈍化的反應室不同的反應室中執行步驟22及步驟23。亦即,可在與將執行後續選擇性沈積製程的反應室不同的反應室中執行步驟22及步驟23。此外,在一些實施例中,反應室鈍化步驟21可與步驟22及步驟23中的一或多者同時進行。
在一些實施例中,視情況在可選步驟23之後在惰性氛圍中進一步退火基底表面。在高於步驟22、步驟23或後繼選擇性沈積步驟25至選擇性沈積步驟27期間的溫度的溫度下執行退火。用於退火製程的溫度較佳地為約150℃至約400℃、約150℃至約300℃,或約200℃至約275℃,且在一些狀況下為約250℃。在一些實施例中,可視情況在NH3 環境中進一步退火基底表面,以便在存在於第一Co表面上的任何鈷氧化物上產生NHx -表面封端。
接下來,在步驟24處,將基底轉移至視情況在步驟21處鈍化的室中,且在步驟25處,將矽源或硼源提供至基底,使得將含矽或硼物種沈積於Co表面上。在一些實施例中,矽源為二矽烷。在一些實施例中,可使用矽前驅體在Co表面上形成矽但確實在SiO2 表面上形成矽的沈積溫度而使二矽烷相對於SiO2 表面在Co表面上選擇性地分解。舉例而言,沈積可為約30℃至約110℃。在一些實施例中,矽源或硼源以自限性方式與Co表面反應。咸信,相對於SiO2 表面上的形成,Co表面可促進矽的形成。
在一些實施例中,在每一沈積循環中將厚度為約0.05奈米至約4奈米的包括矽或硼的層形成於基底的Co表面上。在一些實施例中,在每一循環中將厚度為約0.1奈米至約2奈米的包括矽或硼的層形成於基底的Co表面上。在較佳實施例中,包括矽或硼的層在金屬表面上的形成是自限性的。因此,在每一循環中形成包括矽或硼的至多一單層。
在將含矽或硼層形成於Co層上之後,在步驟26處使用第二反應物(諸如金屬鹵化物,例如WF6 )以將包括矽或硼的層轉換為包括來自第二反應物的對應金屬(諸如鎢)的層。在一些實施例中,將能夠與Si層或B層反應的WF6 、TaF5 、NbF5 或其他化合物引入至基底表面以形成金屬層或金屬矽化物。在一些實施例中,可在步驟27處重複矽前驅體或硼前驅體(例如二矽烷)及第二反應物(諸如金屬鹵化物)脈衝,直至形成具有所要厚度的金屬層。在一些實施例中,金屬層為元素金屬,例如W。在一些實施例中,金屬層可包含額外元素,諸如Si、B、N及其他摻雜物。在一些實施例中,進一步處理金屬層以形成不同材料。舉例而言,可使用第三反應物來處理元素金屬層以形成金屬氮化物或金屬矽化物。
沈積循環可被定義為提供矽前驅體或硼前驅體及提供第二金屬反應物,亦即,步驟25及步驟26。在一些實施例中,不在沈積循環中提供其他反應物。在一些實施例中,重複沈積循環以形成具有所要厚度的W層。在一些實施例中,在每一循環中形成厚度為約0.05奈米至約4奈米的W層。在一些實施例中,較佳地,在每一循環中形成厚度為約0.1奈米至約2奈米的W層。在一些實施例中,W層具有約1奈米至2奈米的厚度。在其他實施例中,經沈積W層的厚度高於約2奈米,在一些狀況下高於約30奈米,且在一些狀況下高於約50奈米。在較佳實施例中,層具有小於10奈米的厚度。
在一些實施例中,將沈積循環重複10次或多於10次。在一些實施例中,將沈積循環重複至少50次。在一些實施例中,將沈積循環重複約100次或多於100次。可基於W層的所要厚度來選擇循環的數目。
在一些實施例中,除包括矽或硼的前驅體及第二金屬反應物之外,不提供其他反應物。
在一些實施例中,包括第一表面的材料(諸如鈷)在選擇性沈積循環期間未轉換或反應以形成另一化合物。
在一些實施例中,在完成一或多個沈積循環之後,可在步驟28處執行半沈積循環(half deposition cycle)。舉例而言,可提供矽前驅體脈衝或硼前驅體脈衝或替代地提供第二金屬反應物。在一些實施例中,在一或多個沈積循環之後,提供矽前驅體脈衝或硼前驅體脈衝。當提供矽前驅體脈衝或硼前驅體脈衝(或其他金屬反應物)時,所形成的材料可在曝露於空氣或含氧氛圍時形成氧化矽或氧化硼(或金屬氧化物)的犧牲層。犧牲層可防止氧化矽層或氧化硼層下方的金屬材料在曝露於反應器外部的空氣或含氧氛圍時氧化。可在進一步處理步驟中移除所形成的氧化矽層或氧化硼層,例如,運用本文中所描述的金屬源化學物的單一脈衝,較佳地運用WF6 、TaF5 、NbF5 、TiF4 、MoFx 或VFx ,且更佳地運用WF6
在一些實施例中,在單一反應室中(例如,在單一製程模組中)執行整個製程流程。然而,在其他實施例中,在兩個或多於兩個反應室中執行各種步驟。舉例而言,在一些實施例中,在第一反應室中執行第一表面處理及含矽表面處理(若使用的話),而可在第二不同反應室中執行選擇性沈積。在一些實施例中,亦可處理第二不同反應室以在其中形成鈍化層。若需要或期望可選熱退火步驟,則接著可將基底輸送至執行熱退火(若使用的話)及選擇性沈積的第二反應室。在一些實施例中,在第二反應室中執行退火步驟,且將基底輸送回至第一反應室或輸送至執行選擇性沈積的第三反應室。在一些實施例中,在第一反應室中執行第一表面處理及含矽表面處理(若使用的話),且在第二不同反應室中執行選擇性沈積,而在第一表面處理與沈積步驟之間無熱退火步驟。視需要,可在輸送之前冷卻基底達一時段。在一些實施例中,在範圍為真空至約2氣壓或約0.1托至約760托或約1托至約760托的壓力下執行冷卻達約0分鐘至30分鐘,或約0分鐘至10分鐘。舉例而言,可在真空下或在存在N2 (及可能一些O2 )的情況下在約1托至1000托下輸送基底。
圖3為根據某些其他實施例的大體上說明用於將金屬膜相對於第二含矽表面選擇性地沈積於基底的第一金屬表面上的製程30的流程圖。首先在步驟31處使將執行選擇性沈積製程的反應室經受可選反應器鈍化製程。提供包括第一金屬表面(較佳地為Cu表面)及包括矽的第二表面(諸如SiO2 表面)的基底,且視情況對基底進行除氣。在一些實施例中,可在步驟32處使基底經受可選含矽表面處理,例如以鈍化SiO2 表面。接著可在步驟33處使基底經受可選第一表面處理。如上文所描述,在一些實施例中,表面第一表面處理可包括將基底曝露於一或多種第一表面處理反應物。
在一些實施例中,步驟33可還原第一金屬表面。在一些實施例中,步驟33可移除存在於第一金屬表面上的原生氧化層。在一些實施例中,步驟33可移除可存在於第一金屬表面上的鈍化層或烴層,舉例而言,步驟33可移除存在於Cu表面上的苯并三唑層。在一些實施例中,可能已在Cu表面上沈積鈍化層(例如苯并三唑層)以防護Cu表面在其他處理步驟(例如化學機械平坦化)期間氧化。然而,必須在選擇性沈積製程之前移除此鈍化層。
在一些實施例中,步驟33包括將基底曝露於處理反應物。在一些實施例中,處理反應物為氣相有機反應物。在一些實施例中,處理反應物可含有至少一個醇基且可較佳地選自由以下各者組成的群組:一級醇、二級醇、三級醇、多元醇、環狀醇、芳族醇,及醇的其他衍生物。在一些實施例中,處理反應物可包括甲酸或HCl。
舉例而言,步驟33期間的溫度可為約室溫至約400℃、約100℃至約400℃、約100℃至約130℃,或約30℃至約110℃。
在一些實施例中,可在與在步驟31處鈍化的反應室不同的反應室中執行步驟32及步驟33。亦即,可在與將執行後續選擇性沈積製程的反應室不同的反應室中執行步驟32及步驟33。此外,在一些實施例中,反應室鈍化步驟31可與步驟32及步驟33中的一或多者同時進行。
在一些實施例中,視情況在可選步驟33之後在惰性氛圍中進一步退火基底表面。在高於步驟32、步驟33或後繼選擇性沈積步驟35至選擇性沈積步驟37期間的溫度的溫度下執行退火。用於退火製程的溫度較佳地為約150℃至約400℃、約150℃至約300℃,或約200℃至約275℃,且在一些狀況下為約250℃。在一些實施例中,可視情況在NH3 環境中進一步退火基底表面,以便在存在於Cu表面上的金屬氧化物上產生NHx -表面封端。
接下來,在步驟34處,將基底轉移至視情況在步驟31處鈍化的室中,且在步驟35處,將矽源或硼源提供至基底,使得將含矽或硼物種沈積於Cu表面上。在一些實施例中,矽源為二矽烷。在一些實施例中,可使用矽前驅體在Cu表面上形成矽但確實在SiO2 表面上形成矽的沈積溫度而使二矽烷相對於含矽表面在Cu表面上選擇性地分解。在一些實施例中,矽源或硼源以自限性方式與Cu表面反應。咸信,相對於SiO2 表面上的形成,Cu表面可促進矽的形成。
在一些實施例中,在每一沈積循環中將厚度為約0.05奈米至約4奈米的包括矽或硼的層形成於基底的Cu表面上。在一些實施例中,在每一循環中將厚度為約0.1奈米至約2奈米的包括矽或硼的層形成於基底的Cu表面上。在較佳實施例中,包括矽或硼的層在Cu表面上的形成是自限性的。因此,在每一循環中形成包括矽或硼的至多一單層。
在將含矽或硼層形成於Cu表面上之後,在步驟36處使用第二反應物(諸如金屬鹵化物)以將包括矽或硼的層轉換為包括來自第二反應物的對應金屬(諸如金屬鹵化物中的金屬)的層。在一些實施例中,將能夠與Si層或B層反應的WF6 、TaF5 、NbF5 或其他化合物引入至基底表面以形成金屬層或金屬矽化物。在一些實施例中,可在步驟37處重複矽前驅體或硼前驅體(例如二矽烷)及第二反應物(諸如金屬鹵化物)脈衝,直至形成具有所要厚度的金屬層。在一些實施例中,金屬層為元素金屬,例如W。在一些實施例中,金屬層可包含額外元素,諸如Si、B、N及其他摻雜物。在一些實施例中,進一步處理金屬層以形成不同材料。舉例而言,可使用第三反應物來處理元素金屬層以形成金屬氮化物或金屬矽化物。
沈積循環可被定義為提供矽前驅體或硼前驅體及提供第二金屬反應物,亦即,步驟35及步驟36。在一些實施例中,不在沈積循環中提供其他反應物。在一些實施例中,重複沈積循環以形成具有所要厚度的金屬層。在一些實施例中,在每一循環中形成厚度為約0.05奈米至約4奈米的金屬層。在一些實施例中,較佳地,在每一循環中形成厚度為約0.1奈米至約2奈米的金屬層。在一些實施例中,金屬層具有約1奈米至2奈米的厚度。在其他實施例中,經沈積金屬層的厚度高於約2奈米,在一些狀況下高於約30奈米,且在一些狀況下高於約50奈米。在較佳實施例中,層具有小於10奈米的厚度。
在一些實施例中,將沈積循環重複10次或多於10次。在一些實施例中,將沈積循環重複至少50次。在一些實施例中,將沈積循環重複約100次或多於100次。可基於金屬層的所要厚度來選擇循環的數目。
在一些實施例中,除包括矽或硼的前驅體及第二金屬反應物之外,不提供其他反應物。
在一些實施例中,包括第一表面的材料(諸如銅)在選擇性沈積循環期間未轉換或反應以形成另一化合物。
在一些實施例中,在完成一或多個沈積循環之後,可在步驟38處執行半沈積循環。舉例而言,可提供矽前驅體脈衝或硼前驅體脈衝或替代地提供第二金屬反應物。在一些實施例中,在一或多個沈積循環之後,提供矽前驅體脈衝或硼前驅體脈衝。當提供矽前驅體脈衝或硼前驅體脈衝(或其他金屬反應物)時,所形成的材料可在曝露於空氣或含氧氛圍時形成氧化矽或氧化硼(或金屬氧化物)的犧牲層。犧牲層可防止氧化矽層或氧化硼層下方的金屬材料在曝露於反應器外部的空氣或含氧氛圍時氧化。可在進一步處理步驟中移除所形成的氧化矽層或氧化硼層,例如,運用本文中所描述的金屬源化學物的單一脈衝,較佳地運用WF6 、TaF5 、NbF5 、TiF4 、MoFx 或VFx ,且更佳地運用WF6
在一些實施例中,在單一反應室中(例如,在單一製程模組中)執行整個製程流程。然而,在其他實施例中,在兩個或多於兩個反應室中執行各種步驟。舉例而言,在一些實施例中,在第一反應室中執行第一表面處理及含矽表面處理(若使用的話),而可在第二不同反應室中執行選擇性沈積。在一些實施例中,亦可處理第二不同反應室以在其中形成鈍化層。若需要或期望可選熱退火步驟,則接著可將基底輸送至執行熱退火(若使用的話)及選擇性沈積的第二反應室。在一些實施例中,在第二反應室中執行退火步驟,且將基底輸送回至第一反應室或輸送至執行選擇性沈積的第三反應室。在一些實施例中,在第一反應室中執行第一表面處理及含矽表面處理(若使用的話),且在第二不同反應室中執行選擇性沈積,而在第一表面處理與沈積步驟之間無熱退火步驟。視需要,可在輸送之前冷卻基底達一時段。在一些實施例中,在範圍為真空至約2氣壓或約0.1托至約760托或約1托至約760托的壓力下執行冷卻達約0分鐘至30分鐘,或約0分鐘至10分鐘。舉例而言,可在真空下或在存在N2 (及可能一些O2 )的情況下在約1托至1000托下輸送基底。
圖4為根據一些實施例的大體上展示例示性反應室鈍化製程40的流程圖。在一些實施例中,反應室鈍化製程可實現選擇性沈積、改良選擇性,及/或在選擇性沈積製程期間損失選擇性之前增加循環的數目。
在步驟41處提供將執行選擇性沈積製程(例如W選擇性沈積製程)的反應室。反應室內不具備晶圓或基底。在一些實施例中,可能已在反應室內的晶圓上執行選擇性沈積製程,接著在步驟41處移除晶圓,使得反應室內不存在晶圓。在一些實施例中,可使將在反應室中經受選擇性沈積製程的晶圓在反應室鈍化製程之前、期間或之後經受其他處理。舉例而言,可使晶圓在反應室鈍化製程期間在第二不同反應室中經受表面第一表面處理。
在一些實施例中,在步驟42處將鈍化層沈積或形成於反應室的內部表面及可在選擇性沈積製程期間曝露於前驅體或反應物的任何其他位置上。在一些實施例中,將鈍化層沈積或形成於反應室的內部表面、室的擴散板 (chamber showerhead)及/或室中可連接至將發生選擇性沈積製程的空間的任何其他部分上。在一些實施例中,可將鈍化層沈積於反應室中的不為基底的任何表面上。
在一些實施例中,可藉由氣相沈積製程(例如,電漿增強式原子層沈積製程)而形成鈍化層,例如SiN層。在一些實施例中,可藉由包括一或多個鈍化層沈積循環的製程而形成SiN層,鈍化層沈積循環包括將反應室交替地且依序地曝露於第一矽前驅體及第二氮前驅體。可視情況重複鈍化層沈積循環,直至已形成具有所要厚度的SiN鈍化層。
在一些實施例中,用於鈍化層沈積製程中的矽前驅體可包括矽烷,例如二矽烷。在一些實施例中,氮前驅體可原子氮、氮自由基、氮電漿或其組合。在一些實施例中,氮前驅體可更包括原子氫、氫自由基、氫電漿或其組合。在一些實施例中,氮前驅體可包括自N2 產生的電漿。在一些實施例中,氮前驅體可包括自N2 及H2 產生的電漿。在一些實施例中,氮前驅體可包括自N2 及稀有氣體(例如氬)產生的電漿。在一些實施例中,氮前驅體可包括自N2 、H2 及稀有氣體(例如,氬)產生的電漿。
在一些實施例中,在步驟42處形成鈍化層之後,在步驟43處將晶圓轉移至反應室中。接著可在步驟44處執行選擇性沈積製程,例如W選擇性沈積製程及任何其他所要製程。在一些實施例中,在選擇性沈積製程之後,接著可在步驟45處將存在於反應室中的任何晶圓轉移出反應室。在一些實施例中,可視情況在步驟46處重複反應室鈍化製程。在一些實施例中,可將晶圓轉移至反應室中,且可在視情況重複反應室鈍化製程之前再次執行另一選擇性沈積製程。亦即,在一些實施例中,可在已使每1個、5個、10個、20個、50個或更多晶圓經受選擇性沈積製程之後重複反應室鈍化製程。在一些實施例中,可在已執行選擇性沈積製程的某一數目個循環之後重複反應室鈍化製程。在一些實施例中,可在每50個、100個、150個或更多選擇性沈積循環之後重複反應室鈍化製程。
儘管已論述某些實施例及實例,但在本領域具有知識者應理解,申請專利範圍的範疇超出特定揭露的實施例而延伸至其他替代實施例及/或用途及明顯修改以及其等效者。
10、20、30‧‧‧製程
11‧‧‧反應室鈍化步驟
12、22、24、32、34、41、42、43、44、45、46‧‧‧步驟
13、23‧‧‧第一表面處理步驟
14、25、26、27、35、36、37‧‧‧選擇性沈積步驟
21、31‧‧‧反應室鈍化步驟
33‧‧‧第一Cu表面處理步驟
40‧‧‧反應室鈍化製程
圖1為大體上說明用於將金屬膜相對於第二含矽表面選擇性地沈積於基底的第一金屬表面上的製程的流程圖。 圖2為根據某些實施例的說明用於將金屬膜相對於第二含矽表面選擇性地沈積於基底的第一金屬表面上的製程的流程圖。 圖3為根據某些其他實施例的說明用於將金屬膜相對於第二含矽表面選擇性地沈積於基底的第一金屬表面上的製程的流程圖。 圖4為大體上說明用於在反應室中執行選擇性沈積製程之前將反應室進行鈍化的步驟的流程圖。

Claims (43)

  1. 一種用於在反應室中進行選擇性沈積製程之前將所述反應室進行鈍化的製程,所述製程包括‧‧‧ 提供不包括晶圓的反應室; 將鈍化層沈積於所述反應室的內部表面上,其中所述內部表面可在後續選擇性沈積製程期間曝露於前驅體; 將包括第一金屬表面及包括矽的第二表面的至少一個晶圓提供至所述反應室中; 在所述反應室內對所述至少一個晶圓執行選擇性沈積製程,其中所述選擇性沈積製程包括至少一個選擇性沈積循環。
  2. 如申請專利範圍第1項所述的用於在反應室中進行選擇性沈積製程之前將所述反應室進行鈍化的製程,其中所述製程更包括在已執行數個選擇性沈積循環之後重複所述沈積鈍化層步驟。
  3. 如申請專利範圍第1項所述的用於在反應室中進行選擇性沈積製程之前將所述反應室進行鈍化的製程,更包括在將鈍化層沈積於所述反應室的內部表面上之前使所述反應室的內部表面經受蝕刻製程。
  4. 如申請專利範圍第1項所述的用於在反應室中進行選擇性沈積製程之前將所述反應室進行鈍化的製程,其中在所述將所述鈍化層沈積於所述反應室的所述內部表面上期間,所述反應室中不存在基底或晶圓。
  5. 如申請專利範圍第1項所述的用於在反應室中進行選擇性沈積製程之前將所述反應室進行鈍化的製程,其中藉由氣相沈積製程而沈積所述鈍化層。
  6. 如申請專利範圍第5項所述的用於在反應室中進行選擇性沈積製程之前將所述反應室進行鈍化的製程,其中藉由原子層沈積(ALD)製程而沈積所述鈍化層。
  7. 如申請專利範圍第6項所述的用於在反應室中進行選擇性沈積製程之前將所述反應室進行鈍化的製程,其中藉由電漿增強式原子層沈積(PEALD)製程而沈積所述鈍化層。
  8. 如申請專利範圍第5項所述的用於在反應室中進行選擇性沈積製程之前將所述反應室進行鈍化的製程,其中藉由化學氣相沈積(CVD)製程而沈積所述鈍化層。
  9. 如申請專利範圍第8項所述的用於在反應室中進行選擇性沈積製程之前將所述反應室進行鈍化的製程,其中藉由電漿增強式化學氣相沈積(PECVD)製程而沈積所述鈍化層。
  10. 如申請專利範圍第7項所述的用於在反應室中進行選擇性沈積製程之前將所述反應室進行鈍化的製程,其中藉由將所述反應室交替地且依序地曝露於包括二矽烷的第一前驅體及包括原子氮、氮自由基或氮電漿及原子氫、氫自由基或氫電漿的第二前驅體而形成所述鈍化層。
  11. 如申請專利範圍第1項所述的用於在反應室中進行選擇性沈積製程之前將所述反應室進行鈍化的製程,其中所述鈍化層包括SiN。
  12. 如申請專利範圍第1項所述的用於在反應室中進行選擇性沈積製程之前將所述反應室進行鈍化的製程,其中所述鈍化層不包括純金屬或純矽。
  13. 如申請專利範圍第1項所述的用於在反應室中進行選擇性沈積製程之前將所述反應室進行鈍化的製程,其中藉由氧化沈積於所述反應室的內部表面上的金屬而形成所述鈍化層。
  14. 如申請專利範圍第13項所述的用於在反應室中進行選擇性沈積製程之前將所述反應室進行鈍化的製程,其中藉由選擇性沈積製程將所述金屬沈積於所述反應室的所述內部表面上。
  15. 如申請專利範圍第14項所述的用於在反應室中進行選擇性沈積製程之前將所述反應室進行鈍化的製程,其中所述金屬包括鎢。
  16. 如申請專利範圍第1項所述的用於在反應室中進行選擇性沈積製程之前將所述反應室進行鈍化的製程,其中所述鈍化層具有約5奈米至約500奈米的厚度。
  17. 如申請專利範圍第1項所述的用於在反應室中進行選擇性沈積製程之前將所述反應室進行鈍化的製程,其中所述選擇性沈積製程具有大於約50%的選擇性。
  18. 如申請專利範圍第1項所述的用於在反應室中進行選擇性沈積製程之前將所述反應室進行鈍化的製程,其中所述選擇性沈積製程具有大於約90%的選擇性。
  19. 一種用於在反應室中進行選擇性沈積製程之前將所述反應室進行鈍化的製程,所述製程包括: 提供不包括晶圓的反應室; 將鈍化層沈積於所述反應室的內部表面上,其中所述內部表面可在後續選擇性沈積製程期間曝露於前驅體; 將包括第一金屬表面及包括矽的第二表面的至少一個晶圓提供至所述反應室中; 在所述反應室內對所述至少一個晶圓執行選擇性沈積製程,其中所述選擇性沈積製程包括至少一個選擇性沈積循環;以及 在所述選擇性沈積製程期間間歇地重複所述沈積鈍化層步驟。
  20. 一種用於將膜選擇性地沈積於基底上的製程,所述基底包括第一金屬表面及包括矽的第二表面,所述製程包括: 將執行選擇性沈積製程的反應室進行鈍化; 使所述基底經受包括將所述基底曝露於處理反應物的第一表面處理; 在所述第一表面處理之後,在所述反應室中執行一或多個選擇性沈積循環,每一循環包括; 將所述基底與包括矽或硼的第一前驅體接觸以相對於包括矽的所述第二表面在所述第一金屬表面上選擇性地形成包括Si或B的第一材料層;以及 藉由將所述第一材料曝露於包括金屬的第二前驅體而將所述第一金屬表面上的所述第一材料轉換為第二金屬材料; 其中以大於約50%的選擇性將所述第二金屬材料相對於包括矽的所述第二表面沈積於所述基底的所述第一金屬表面上。
  21. 如申請專利範圍第20項所述的用於將膜選擇性地沈積於基底上的製程,其中所述第一金屬表面包括銅。
  22. 如申請專利範圍第20項所述的用於將膜選擇性地沈積於基底上的製程,其中所述第一金屬表面包括鈷。
  23. 如申請專利範圍第20項所述的用於將膜選擇性地沈積於基底上的製程,其中所述第一金屬表面包括鎢、鎢的原生氧化層,或氧化鎢。
  24. 如申請專利範圍第20項所述的用於將膜選擇性地沈積於基底上的製程,其中包括矽的所述第二表面包括SiO2
  25. 如申請專利範圍第20項所述的用於將膜選擇性地沈積於基底上的製程,其中所述第二金屬材料包括鎢。
  26. 如申請專利範圍第20項所述的用於將膜選擇性地沈積於基底上的製程,其中鈍化所述反應室包括將鈍化層沈積於所述反應室中的可在所述選擇性沈積循環中的一或多者期間曝露於所述第一前驅體或所述第二前驅體的表面上。
  27. 如申請專利範圍第26項所述的用於將膜選擇性地沈積於基底上的製程,其中藉由氣相沈積製程而形成所述鈍化層。
  28. 如申請專利範圍第27項所述的用於將膜選擇性地沈積於基底上的製程,其中藉由化學氣相沈積(CVD)製程而形成所述鈍化層。
  29. 如申請專利範圍第27項所述的用於將膜選擇性地沈積於基底上的製程,其中藉由原子層沈積(ALD)製程而形成所述鈍化層。
  30. 如申請專利範圍第28項所述的用於將膜選擇性地沈積於基底上的製程,其中藉由將第一氣相矽前驅體及第二氣相氮前驅體傳導至所述反應室中而形成所述鈍化層。
  31. 如申請專利範圍第29項所述的用於將膜選擇性地沈積於基底上的製程,其中藉由將所述反應室交替地且依序地曝露於包括二矽烷的第一鈍化層前驅體及包括氮的第二鈍化層前驅體而形成所述鈍化層。
  32. 如申請專利範圍第29項所述的用於將膜選擇性地沈積於基底上的製程,其中藉由將所述反應室交替地且依序地曝露於包括二矽烷的第一鈍化層前驅體、包括金屬鹵化物的第二鈍化層前驅體及包括胺基矽烷的第三鈍化層前驅體而形成所述鈍化層。
  33. 如申請專利範圍第26項所述的用於將膜選擇性地沈積於基底上的製程,其中所述鈍化層包括SiN。
  34. 如申請專利範圍第20項所述的用於將膜選擇性地沈積於基底上的製程,其中所述處理反應物包括甲酸。
  35. 如申請專利範圍第23項所述的用於將膜選擇性地沈積於基底上的製程,其中所述處理反應物包括NH3
  36. 如申請專利範圍第20項所述的用於將膜選擇性地沈積於基底上的製程,其中在約30℃至約110℃的溫度下執行所述第一表面處理。
  37. 如申請專利範圍第20項所述的用於將膜選擇性地沈積於基底上的製程,其中所述第一前驅體包括矽烷。
  38. 如申請專利範圍第37項所述的用於將膜選擇性地沈積於基底上的製程,其中所述第一前驅體包括二矽烷。
  39. 如申請專利範圍第20項所述的用於將膜選擇性地沈積於基底上的製程,其中所述第二前驅體包括金屬鹵化物。
  40. 如申請專利範圍第39項所述的用於將膜選擇性地沈積於基底上的製程,其中所述第二前驅體包括WF6
  41. 如申請專利範圍第20項所述的用於將膜選擇性地沈積於基底上的製程,更包括在使所述基底經受第一表面處理之前使所述基底經受第二表面處理。
  42. 如申請專利範圍第41項所述的用於將膜選擇性地沈積於基底上的製程,其中所述第二表面處理包括將所述基底曝露於第二表面處理反應物,其中所述第二表面處理反應物鈍化所述第二表面。
  43. 如申請專利範圍第20項所述的用於將膜選擇性地沈積於基底上的製程,其中以大於約90%的選擇性將所述第二金屬材料相對於包括矽的所述第二表面沈積於所述基底的所述第一金屬表面上。
TW106118780A 2016-06-08 2017-06-07 將薄膜選擇性地沈積於基底上的製程 TWI718308B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/177,195 US9803277B1 (en) 2016-06-08 2016-06-08 Reaction chamber passivation and selective deposition of metallic films
US15/177,195 2016-06-08

Publications (2)

Publication Number Publication Date
TW201809346A true TW201809346A (zh) 2018-03-16
TWI718308B TWI718308B (zh) 2021-02-11

Family

ID=60143221

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106118780A TWI718308B (zh) 2016-06-08 2017-06-07 將薄膜選擇性地沈積於基底上的製程

Country Status (3)

Country Link
US (4) US9803277B1 (zh)
KR (1) KR102208442B1 (zh)
TW (1) TWI718308B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI805171B (zh) * 2021-01-05 2023-06-11 南韓商Egtm股份有限公司 選擇性形成薄膜的方法

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) * 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
KR102684628B1 (ko) 2017-05-16 2024-07-15 에이에스엠 아이피 홀딩 비.브이. 유전체 상에 옥사이드의 선택적 peald
US10586707B2 (en) 2017-05-26 2020-03-10 Applied Materials, Inc. Selective deposition of metal silicides
TWI772459B (zh) 2017-07-14 2022-08-01 荷蘭商Asm Ip控股公司 用於製備自組裝單層的方法
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
CN110622284B (zh) * 2017-09-12 2023-07-28 应用材料公司 通过化学蚀刻去除选择性沉积缺陷
WO2019120358A1 (de) * 2017-12-21 2019-06-27 centrotherm international AG Verfahren zum betrieb einer abscheideanlage
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
CN113196451A (zh) * 2018-10-19 2021-07-30 朗姆研究公司 用于半导体处理的室部件的原位保护性涂层
JP7503547B2 (ja) * 2018-11-13 2024-06-20 アプライド マテリアルズ インコーポレイテッド 金属シリサイドの選択的堆積及び酸化物の選択的除去
JP7321730B2 (ja) * 2019-03-14 2023-08-07 キオクシア株式会社 半導体装置の製造方法
JP6860605B2 (ja) * 2019-03-18 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP6960953B2 (ja) * 2019-03-20 2021-11-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
DE102019109987A1 (de) * 2019-04-16 2020-10-22 Aixtron Se Verfahren zum Konditionieren einer Substratbehandlungseinrichtung und eine diesbezügliche Vorrichtung
KR20220024997A (ko) * 2019-06-26 2022-03-03 램 리써치 코포레이션 인 시츄 패시베이션을 통한 챔버-축적 확장
KR102619482B1 (ko) * 2019-10-25 2024-01-02 에이에스엠 아이피 홀딩 비.브이. 막 증착 공정에서의 정상 펄스 프로파일의 변형
TW202124749A (zh) * 2019-10-25 2021-07-01 美商應用材料股份有限公司 極紫外遮罩毛坯之缺陷減少的方法
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
WO2021091835A1 (en) 2019-11-08 2021-05-14 Applied Materials, Inc. Methods to reduce material surface roughness
JP7118099B2 (ja) * 2020-01-15 2022-08-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
US11515154B2 (en) * 2020-10-27 2022-11-29 Applied Materials, Inc. Selective deposition of a passivation film
CN116065139A (zh) * 2021-11-02 2023-05-05 东京毅力科创株式会社 成膜方法和成膜装置

Family Cites Families (232)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
AU6785900A (en) 1999-08-19 2001-03-13 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
JP4382219B2 (ja) * 1999-10-29 2009-12-09 日本電気株式会社 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6426015B1 (en) * 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP4703810B2 (ja) * 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
EP1282911B1 (en) 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
WO2002045167A2 (en) 2000-11-30 2002-06-06 Asm International N.V. Thin films for magnetic devices
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
KR102220703B1 (ko) 2002-11-15 2021-02-26 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US6802945B2 (en) * 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
EP1623454A2 (en) 2003-05-09 2006-02-08 ASM America, Inc. Reactor surface passivation through chemical deactivation
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
KR20050103811A (ko) * 2004-04-27 2005-11-01 삼성에스디아이 주식회사 플라즈마 증착 공정에 의해 형성된 박막트랜지스터
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US8187678B2 (en) 2006-02-13 2012-05-29 Stc.Unm Ultra-thin microporous/hybrid materials
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
CN101460657A (zh) 2006-06-02 2009-06-17 乔治洛德方法研究和开发液化空气有限公司 基于新型钛、锆和铪前体的高k介电膜的形成方法及其用于半导体制造的用途
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
CN103147062A (zh) 2007-09-14 2013-06-12 西格玛-奥吉奇有限责任公司 采用单环戊二烯基三烷氧基铪和锆前体通过原子层沉积制备薄膜的方法
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
KR20100098380A (ko) 2007-11-06 2010-09-06 에이치시에프 파트너스, 엘.피. 원자 층 증착 공정
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
WO2010009297A2 (en) 2008-07-16 2010-01-21 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a doping layer mask
WO2010025262A2 (en) 2008-08-27 2010-03-04 Applied Materials, Inc. Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
JP2012510706A (ja) 2008-12-01 2012-05-10 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー 有機電子デバイス用のアノード
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
RU2012107435A (ru) 2009-07-31 2013-09-10 Акцо Нобель Кемикалз Интернэшнл Б.В. Способ получения подложки с покрытием, подложка с покрытием и ее применение
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
WO2011160004A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
US8716130B2 (en) 2010-07-01 2014-05-06 Tokyo Electron Limited Method of manufacturing semiconductor device
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
JP5562434B2 (ja) 2010-11-19 2014-07-30 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
TWI541938B (zh) 2011-06-03 2016-07-11 諾菲勒斯系統公司 用於互連的含金屬及矽覆蓋層
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI606136B (zh) 2011-11-04 2017-11-21 Asm國際股份有限公司 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US9136110B2 (en) 2013-03-15 2015-09-15 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
DE112013007031B4 (de) 2013-06-28 2022-02-24 Intel Corporation Auf selektiv epitaktisch gezüchteten III-V-Materialien basierende Vorrichtungen
US9017526B2 (en) * 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
US9562188B2 (en) 2013-09-20 2017-02-07 Baker Hughes Incorporated Composites for use in stimulation and sand control operations
CN105556644B (zh) 2013-09-27 2019-04-19 英特尔公司 通过包括限制材料层在相邻区域上方的侵蚀的选择性的化学反应来在小区域上方形成材料层
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
EP3084815A4 (en) 2013-12-19 2018-01-03 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
CN106164332B (zh) 2014-03-27 2019-03-19 英特尔公司 用于光辅助金属原子层沉积(ald)和化学气相沉积(cvd)的前体和工艺设计
EP3123497A4 (en) 2014-03-28 2017-11-01 Intel Corporation Selective epitaxially grown iii-v materials based devices
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US9816180B2 (en) 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
WO2016204772A1 (en) 2015-06-18 2016-12-22 Intel Corporation Inherently selective precursors for deposition of second or third row transition metal thin films
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) * 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9805974B1 (en) * 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
KR102684628B1 (ko) 2017-05-16 2024-07-15 에이에스엠 아이피 홀딩 비.브이. 유전체 상에 옥사이드의 선택적 peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI805171B (zh) * 2021-01-05 2023-06-11 南韓商Egtm股份有限公司 選擇性形成薄膜的方法

Also Published As

Publication number Publication date
US20190055643A1 (en) 2019-02-21
KR102208442B1 (ko) 2021-01-28
US20180080121A1 (en) 2018-03-22
TWI718308B (zh) 2021-02-11
US10480064B2 (en) 2019-11-19
KR20170138954A (ko) 2017-12-18
US20200291511A1 (en) 2020-09-17
US10793946B1 (en) 2020-10-06
US9803277B1 (en) 2017-10-31
US10041166B2 (en) 2018-08-07

Similar Documents

Publication Publication Date Title
US10793946B1 (en) Reaction chamber passivation and selective deposition of metallic films
TWI709655B (zh) 金屬膜的選擇性沈積
US10014212B2 (en) Selective deposition of metallic films
US11056385B2 (en) Selective formation of metallic films on metallic surfaces
US10049924B2 (en) Selective formation of metallic films on metallic surfaces
TWI819806B (zh) 基材上選擇性沉積金屬薄膜之方法
TWI729285B (zh) 金屬薄膜的選擇性沈積
US20180073136A1 (en) Selective deposition