KR960043053A - 열 처리 장치 - Google Patents

열 처리 장치 Download PDF

Info

Publication number
KR960043053A
KR960043053A KR1019960015258A KR19960015258A KR960043053A KR 960043053 A KR960043053 A KR 960043053A KR 1019960015258 A KR1019960015258 A KR 1019960015258A KR 19960015258 A KR19960015258 A KR 19960015258A KR 960043053 A KR960043053 A KR 960043053A
Authority
KR
South Korea
Prior art keywords
pin
support pin
support
processed
heating
Prior art date
Application number
KR1019960015258A
Other languages
English (en)
Other versions
KR100244727B1 (ko
Inventor
오사무 히로세
키요히사 타테야마
Original Assignee
이노우에 아키라
도쿄에레쿠토론 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 이노우에 아키라, 도쿄에레쿠토론 가부시키가이샤 filed Critical 이노우에 아키라
Publication of KR960043053A publication Critical patent/KR960043053A/ko
Application granted granted Critical
Publication of KR100244727B1 publication Critical patent/KR100244727B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/001General methods for coating; Devices therefor
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Nonlinear Science (AREA)
  • Optics & Photonics (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Liquid Crystal (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Jigs For Machine Tools (AREA)

Abstract

본 발명은 피처리체를 재치하는 재치대와, 이 재치대를 통하여 피처리체를 가열하는 가열수단과, 재치대를 관통하여 피처리체를 지지하는 지지핀을 포함하며, 재치대는 지지핀의 수평이동을 허용하는 크기의 관통공을 가지며, 지지핀은 관통공 내에 수평 이동 가능한 열 처리 장치이다.
또한, 본 발명은 처리실 내에 배치되며 피처리체를 재치하는 재치대와, 이 재치대를 통하여 피처리체를 가열 하는 가열 수단과, 재치대와의 사이에 처리 공간을 형성하도록 처리실에 배치된 커버 부재와, 처리 공간을 구획하고 개폐가능한 셔터 부재와, 커버 부재와 셔터 부재와의 사이에 설치된 간격 설정용 부재를 포함하는 열 처리 장치이다.

Description

열 처리 장치
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제2도는 본 발명의 열 처리 장치를 적용한 LCD 기판의 도포. 현상 처리 시스템의 사시도, 제3도는 본 발명의 열 처리 장치의 일예를 나타내는 개략도.

Claims (20)

  1. 피처리체를 재치하는 재치대와, 상기 재치대를 통하여 상기 피처리체를 가열하는 가열수단과, 상기 재치대를 관통하여 상기 피처리체를 지지하는 지지핀을 구비하며, 상기 재치대는 상기 지지핀의 수평이동을 허용하는 크기의 관통공을 가지며, 상기 지지핀은 상기 관통공 내에 있어서 수평 이동 가능하도록 한 것을 특징으로 하는 열 처리 장치.
  2. 제1항에 있어서, 상기 지지핀은, 핀 본체와, 상기 핀 본체의 단부에 장착되는 내식성을 가지는 핀 보조체에 의해 구성되어 있는 것을 특징으로 하는 열 처리 장치.
  3. 제2항에 있어서, 상기 핀 본체는 스텐레스 강철로 구성되는 것을 특징으로 하는 장치.
  4. 제2항에 있어서, 상기 핀 보조체는, PEEK, 불소 고무, 및 불소 수지로 이루어지는 군으로부터 선택된 재료로 구성되는 것을 특징으로 하는 장치.
  5. 제1항에 있어서, 상기 재치대상에 설치된 상기 관통공의 적어도 내주면에 코팅 막이 형성되어 있는 것을 특징으로 하는 장치.
  6. 제5항에 있어서, 상기 코팅 막은 불소 수지, 및 그 소결체로 이루어지는 군으로부터 선택된 재료로 구성되는 것을 특징으로 하는 장치.
  7. 제1항에 있어서, 상기 지지핀은, 상기 지지핀을 지지하는 지지 부재와의 사이에 슬라이딩 가능하게 설치 된 다리부재를 가지는 것을 특징으로 하는 장치.
  8. 제7항에 있어서, 상기 지지핀 및 상기 다리 부재의 길이에 대한 상기 다리 부재의 폭의 비가 0.2 이상인 것을 특징으로 하는 장치.
  9. 제1항에 있어서, 상기 재치대는, 상기 피처리체와 접촉하는 면 위에 스페이서 부재를 가지는 것을 특징으로 하는 장치.
  10. 제1항에 있어서, 상기 지지핀을 승강시키는 핀 승강 수단을 더욱 구비하는 것을 특징으로 하는 장치.
  11. 처리실 내에 배치되어 피처리체를 재치하는 재치대와, 상기 재치대를 통하여 상기 피처리체를 가열하는 가열 수단과, 상기 재치대와의 사이에 처리 공간을 형성하도록 상기 처리실에 배치된 커버 부재와, 상기 처리 공간을 구획하고 개폐가능한 셔터 부재와 상기 커버 부재와 상기 셔터 부재와의 사이에 설치된 간격 설정용 부재를 구비하는 열 처리 장치.
  12. 제1항에 있어서, 상기 셔터 부재를 승강시키는 셔터 부재 승강 수단을 더욱 구비하는 것을 특징으로 하는 장치.
  13. 제11항에 있어서, 상기 간격 설정용 부재가 복수의 돌기인 것을 특징으로 하는 장치.
  14. 피처리체를 재치하는 재치대와, 상기 재치대를 통하여 상기 피처리체를 가열하는 가열수단과, 상기 재치대를 관통하여 상기 피처리체를 지지하는 지지핀과, 상기 재치대와의 사이에 처리 공간을 형성하도록 상기 처리실에 배치된 커버 부재와, 상기 처리 공간을 구획하고 개폐가능한 셔터 부재와, 상기 커버 부재와 상기 셔터 부재와의 사이에 설치된 간격 설정용 부재를 구비하고, 상기 재치대는 상기 지지핀의 수평이동을 허용하는 크기의 관통공을 가지며, 상기 지지핀은 상기 관통공 내에 있어서 수평 이동 가능하도록 한 것을 특징으로 하는 열 처리 장치.
  15. 제14항에 있어서, 상기 지지핀은, 핀 본체와, 상기 핀 본체의 단부에 장착되는 내식성을 가지는 핀 보조체에 의해 구성되어 있는 것을 특징으로 하는 장치.
  16. 제14항에 있어서, 상기 재치대상에 설치된 상기 관통공의 적어도 내주면에 코팅 막이 형성되어 있는 것을 특징으로 하는 장치.
  17. 제1항에 있어서, 상기 지지핀은, 상기 지지핀을 지지하는 지지 부재와의 사이에 슬라이딩 가능하게 설치된 다리부재를 가지는 것을 특징으로 하는 장치.
  18. 제7항에 있어서, 상기 지지핀 및 상기 다리 부재의 길이에 대한 상기 다리 부재의 폭의 비가 0.2 이상인 것을 특징으로 하는 장치.
  19. 제14항에 있어서, 상기 지지핀을 승강시키는 핀 승강 수단을 더욱 구비하는 것을 특징으로 하는 장치.
  20. 제14항에 있어서, 상기 셔터 부재를 승강시키는 셔터 부재 승강 수단을 더욱 구비하는 것을 특징으로 하는 장치.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019960015258A 1995-05-12 1996-05-09 열처리장치 KR100244727B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP95-138740 1995-05-12
JP7138740A JP3028462B2 (ja) 1995-05-12 1995-05-12 熱処理装置

Publications (2)

Publication Number Publication Date
KR960043053A true KR960043053A (ko) 1996-12-21
KR100244727B1 KR100244727B1 (ko) 2000-02-15

Family

ID=15229074

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019960015258A KR100244727B1 (ko) 1995-05-12 1996-05-09 열처리장치

Country Status (4)

Country Link
US (2) US5834737A (ko)
JP (1) JP3028462B2 (ko)
KR (1) KR100244727B1 (ko)
TW (2) TW542401U (ko)

Families Citing this family (298)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3249765B2 (ja) 1997-05-07 2002-01-21 東京エレクトロン株式会社 基板処理装置
JPH11145025A (ja) * 1997-11-10 1999-05-28 Tokyo Ohka Kogyo Co Ltd ベーク装置
JP3363368B2 (ja) * 1998-01-16 2003-01-08 東京エレクトロン株式会社 熱処理装置
JP3456890B2 (ja) * 1998-01-16 2003-10-14 東京エレクトロン株式会社 基板処理装置
JP3555734B2 (ja) * 1998-03-24 2004-08-18 大日本スクリーン製造株式会社 基板加熱処理装置
US6146504A (en) * 1998-05-21 2000-11-14 Applied Materials, Inc. Substrate support and lift apparatus and method
US6146463A (en) * 1998-06-12 2000-11-14 Applied Materials, Inc. Apparatus and method for aligning a substrate on a support member
JP2000058423A (ja) 1998-08-12 2000-02-25 Toshiba Corp 熱処理方法及び熱処理装置
US6248671B1 (en) 1998-08-19 2001-06-19 Micron Technology, Inc. Semiconductor processing apparatuses, and methods of forming antireflective coating materials over substrates
JP3555743B2 (ja) * 1998-09-21 2004-08-18 大日本スクリーン製造株式会社 基板熱処理装置
US6087632A (en) * 1999-01-11 2000-07-11 Tokyo Electron Limited Heat processing device with hot plate and associated reflector
JP4343326B2 (ja) 1999-05-14 2009-10-14 キヤノン株式会社 基板搬送装置および露光装置
JP3356115B2 (ja) * 1999-05-20 2002-12-09 ウシオ電機株式会社 レジスト硬化装置
KR100700764B1 (ko) 1999-09-03 2007-03-27 동경 엘렉트론 주식회사 기판처리장치 및 기판처리방법
JP3668400B2 (ja) * 1999-11-10 2005-07-06 日本電信電話株式会社 乾燥装置
KR100629255B1 (ko) * 1999-11-12 2006-09-29 삼성전자주식회사 반도체 포토 공정용 베이크 장치
JP2001237053A (ja) * 1999-12-14 2001-08-31 Ibiden Co Ltd 半導体製造・検査装置用セラミックヒータおよび支持ピン
US6343905B1 (en) * 1999-12-17 2002-02-05 Nanometrics Incorporated Edge gripped substrate lift mechanism
FI118474B (fi) * 1999-12-28 2007-11-30 Asm Int Laite ohutkalvojen valmistamiseksi
TW507312B (en) * 2000-02-04 2002-10-21 Philips Electron Optics Bv Particle-optical apparatus, and object carrier therefor
JP4024980B2 (ja) * 2000-02-21 2007-12-19 東京エレクトロン株式会社 加熱処理方法及び加熱処理装置
EP1204299A1 (en) * 2000-04-29 2002-05-08 Ibiden Co., Ltd. Ceramic heater and method of controlling temperature of the ceramic heater
JP3741604B2 (ja) 2000-11-27 2006-02-01 東京エレクトロン株式会社 熱処理装置および熱処理方法
US6497403B2 (en) 2000-12-28 2002-12-24 Memc Electronic Materials, Inc. Semiconductor wafer holder
JP4618912B2 (ja) * 2001-03-12 2011-01-26 Okiセミコンダクタ株式会社 被処理体の加熱処理装置及びその排気方法
US20030034617A1 (en) * 2001-08-14 2003-02-20 Applied Materials, Inc. Wafer chuck with plunger
WO2004030411A1 (ja) * 2002-09-27 2004-04-08 Sumitomo Electric Industries, Ltd. ウエハー保持体及び半導体製造装置
CN100430803C (zh) * 2003-01-30 2008-11-05 日本写真印刷株式会社 加热装置
EP1458019A3 (de) * 2003-03-13 2005-12-28 VenTec Gesellschaft für Venturekapital und Unternehmensberatung Mobiler transportabler elektrostatischer Substrathalter
JP2003332193A (ja) * 2003-03-20 2003-11-21 Tokyo Electron Ltd 基板処理装置
TW594856B (en) * 2003-07-22 2004-06-21 Chunghwa Picture Tubes Ltd Downward mechanism for supporting pins
JP4522139B2 (ja) * 2003-09-19 2010-08-11 大日本スクリーン製造株式会社 基板処理ユニット、基板載置状態検出方法および基板処理装置
KR100526923B1 (ko) * 2004-01-05 2005-11-09 삼성전자주식회사 반도체 제조설비의 리프트핀 및 그 제조방법
US20050160992A1 (en) * 2004-01-28 2005-07-28 Applied Materials, Inc. Substrate gripping apparatus
US20060016398A1 (en) * 2004-05-28 2006-01-26 Laurent Dubost Supporting and lifting device for substrates in vacuum
US8365682B2 (en) * 2004-06-01 2013-02-05 Applied Materials, Inc. Methods and apparatus for supporting substrates
CN100358092C (zh) * 2004-06-14 2007-12-26 中华映管股份有限公司 支撑顶针的下拉机构
KR100574058B1 (ko) * 2004-08-20 2006-04-27 삼성전자주식회사 웨이퍼 베이크 장치
KR100966430B1 (ko) * 2004-08-31 2010-06-28 엘지디스플레이 주식회사 기판지지핀을 구비하는 소프트 베이크 장치 및 이를이용한 소프트 베이킹 방법
US7547181B2 (en) * 2004-11-15 2009-06-16 Dainippon Screen Mfg. Co., Ltd. Substrate position correcting method and apparatus using either substrate radius or center of rotation correction adjustment sum
KR101087240B1 (ko) 2004-12-13 2011-11-29 엘지디스플레이 주식회사 평판디스플레이용 소성장치
US7255747B2 (en) 2004-12-22 2007-08-14 Sokudo Co., Ltd. Coat/develop module with independent stations
US7651306B2 (en) 2004-12-22 2010-01-26 Applied Materials, Inc. Cartesian robot cluster tool architecture
US7699021B2 (en) 2004-12-22 2010-04-20 Sokudo Co., Ltd. Cluster tool substrate throughput optimization
US7819079B2 (en) 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
US20060156987A1 (en) * 2005-01-18 2006-07-20 Chien-Hsing Lai Lift pin mechanism and substrate carrying device of a process chamber
US20060236941A1 (en) * 2005-04-20 2006-10-26 Applied Materials, Inc. Passive wafer support for particle free wafer acceleration
JP4836512B2 (ja) * 2005-07-29 2011-12-14 東京エレクトロン株式会社 基板昇降装置および基板処理装置
JP4906375B2 (ja) * 2006-03-20 2012-03-28 東京応化工業株式会社 基板支持部材
JP2007329008A (ja) * 2006-06-07 2007-12-20 Tokyo Electron Ltd 熱板及びその製造方法
JP5073230B2 (ja) * 2006-06-20 2012-11-14 東京応化工業株式会社 支持ピン
JP4901323B2 (ja) * 2006-06-20 2012-03-21 東京応化工業株式会社 基板処理装置
JP4443541B2 (ja) * 2006-08-17 2010-03-31 株式会社日立製作所 乾燥装置
KR100867191B1 (ko) * 2006-11-02 2008-11-06 주식회사 유진테크 기판처리장치 및 기판처리방법
JP4788610B2 (ja) * 2007-01-17 2011-10-05 東京エレクトロン株式会社 加熱装置、塗布、現像装置、加熱方法及び記憶媒体
JP4912227B2 (ja) * 2007-06-14 2012-04-11 東京応化工業株式会社 加熱処理装置
KR100920015B1 (ko) * 2007-10-10 2009-10-05 주식회사 베셀 엘시디 글라스 핫 플레이트 챔버의 교체형 보호 내부커버장치
US8801857B2 (en) * 2008-10-31 2014-08-12 Asm America, Inc. Self-centering susceptor ring assembly
US8338756B2 (en) * 2008-12-08 2012-12-25 Duke Manufacturing Co. Rethermalizing apparatus
JP2011035199A (ja) * 2009-08-03 2011-02-17 Tokyo Electron Ltd 基板載置機構およびそれを用いた基板処理装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10242890B2 (en) * 2011-08-08 2019-03-26 Applied Materials, Inc. Substrate support with heater
TWI575103B (zh) * 2011-10-13 2017-03-21 愛發科股份有限公司 真空處理裝置
KR20140089374A (ko) * 2011-10-31 2014-07-14 테라다인 인코퍼레이티드 대상물의 온도 제어
KR20130107964A (ko) * 2012-03-23 2013-10-02 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101432152B1 (ko) * 2012-11-13 2014-08-22 삼성디스플레이 주식회사 기판 지지 모듈
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9809491B2 (en) * 2013-09-09 2017-11-07 Shenzhen China Star Optoelectronics Technology Co., Ltd. Device and method for baking substrate
US9957615B2 (en) * 2013-09-13 2018-05-01 Applied Materials, Inc. Apparatus to improve substrate temperature uniformity
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10892180B2 (en) * 2014-06-02 2021-01-12 Applied Materials, Inc. Lift pin assembly
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR101764418B1 (ko) 2016-01-06 2017-08-02 (주)신산이엔지 기판 열처리용 내열 지지유닛
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6847610B2 (ja) * 2016-09-14 2021-03-24 株式会社Screenホールディングス 熱処理装置
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP6803296B2 (ja) * 2017-05-29 2020-12-23 株式会社Screenホールディングス 露光装置および基板処理装置
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR20190029365A (ko) 2017-09-12 2019-03-20 삼성전자주식회사 리프트 핀 조립체, 이를 갖는 기판 지지 유닛 및 기판 처리 장치
US11955362B2 (en) * 2017-09-13 2024-04-09 Applied Materials, Inc. Substrate support for reduced damage substrate backside
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) * 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
CN109534686A (zh) * 2018-12-04 2019-03-29 武汉华星光电半导体显示技术有限公司 烘烤箱
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
USD914620S1 (en) 2019-01-17 2021-03-30 Asm Ip Holding B.V. Vented susceptor
USD920936S1 (en) 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
CN111446185A (zh) 2019-01-17 2020-07-24 Asm Ip 控股有限公司 通风基座
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
CN113439235A (zh) * 2019-02-28 2021-09-24 东京毅力科创株式会社 基片处理装置、基片处理方法和存储介质
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
TW202110587A (zh) 2019-05-22 2021-03-16 荷蘭商Asm Ip 控股公司 工件基座主體及用於沖洗工件基座的方法
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
JP2021128958A (ja) * 2020-02-10 2021-09-02 株式会社Screenホールディングス 熱処理装置および熱処理システム
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117075451B (zh) * 2023-09-14 2024-02-20 南方华创半导体(无锡)有限公司 一种涂胶显影机的加热装置及其加热方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59222922A (ja) * 1983-06-01 1984-12-14 Nippon Telegr & Teleph Corp <Ntt> 気相成長装置
US4705951A (en) * 1986-04-17 1987-11-10 Varian Associates, Inc. Wafer processing system
US4790258A (en) * 1987-04-03 1988-12-13 Tegal Corporation Magnetically coupled wafer lift pins
KR890015053A (ko) * 1988-03-25 1989-10-28 최근선 액정표시 소자 제조방법
JPH02290013A (ja) * 1989-04-28 1990-11-29 Tokyo Electron Ltd 温度処理方法
US5016332A (en) * 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5665167A (en) * 1993-02-16 1997-09-09 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus having a workpiece-side electrode grounding circuit
US5366002A (en) * 1993-05-05 1994-11-22 Applied Materials, Inc. Apparatus and method to ensure heat transfer to and from an entire substrate during semiconductor processing
US5669977A (en) * 1995-12-22 1997-09-23 Lam Research Corporation Shape memory alloy lift pins for semiconductor processing equipment

Also Published As

Publication number Publication date
JP3028462B2 (ja) 2000-04-04
JPH08313855A (ja) 1996-11-29
KR100244727B1 (ko) 2000-02-15
TW542401U (en) 2003-07-11
US6104002A (en) 2000-08-15
TW426219U (en) 2001-03-11
US5834737A (en) 1998-11-10

Similar Documents

Publication Publication Date Title
KR960043053A (ko) 열 처리 장치
KR970003396A (ko) 진공처리장치
KR950031560A (ko) 표면처리장치 및 표면처리방법
KR890015375A (ko) 에픽텍셜 반응로
KR840008086A (ko) 물체의 가열처리 방법 및 그 방법에 사용되는 가열처리 장치
KR970013106A (ko) 매엽식 열처리장치
DE3785090T2 (de) Opto-lithographische Vorrichtung mit einem verstellbaren Linsensystem und Kontrollverfahren für die Abbildungseigenschaften eines Linsensystems in einer solchen Vorrichtung.
DE69110313D1 (de) Eidruckvorrichtung.
ATE133249T1 (de) Aufdampf-heizvorrichtung
ATE276638T1 (de) Heizplatteneinheit
SE9101794L (sv) Uppvaermningsbart golv
DE69504617T2 (de) Oberflächenbehandlungsmittel für Hochtemperatur feuerfeste Materialien und Behandlungsverfahren damit
KR890001166A (ko) 표면 처리장치
KR920017728A (ko) 피복장치
KR940001263A (ko) 성막장치
KR870002279A (ko) 야금용 용기에 장치된 가스 순환블록(block)의 조립방법
KR900003683A (ko) 상 발생 장치
UA87370C2 (ru) Устройство и способ для термического удаления покрытий и/или загрязнений
KR930000402A (ko) 가열로내에 있어서의 유리물품의 지지장치
DE69003227T2 (de) Vorrichtung zum Stranggiessen von dünnen Metallbändern zwischen zwei Walzen.
KR950033563A (ko) 박막 형성장치
BG49510A3 (en) Device for stabilization the heating rods
KR890017018A (ko) 용융금속의 열처리 장치
DK1039433T3 (da) Mærkningsindretning
ATE331064T1 (de) Bügeltisch mit gleichmässiger wärmeverteilung

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20131031

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20141103

Year of fee payment: 16

LAPS Lapse due to unpaid annual fee