KR970003396A - 진공처리장치 - Google Patents

진공처리장치 Download PDF

Info

Publication number
KR970003396A
KR970003396A KR1019960020198A KR19960020198A KR970003396A KR 970003396 A KR970003396 A KR 970003396A KR 1019960020198 A KR1019960020198 A KR 1019960020198A KR 19960020198 A KR19960020198 A KR 19960020198A KR 970003396 A KR970003396 A KR 970003396A
Authority
KR
South Korea
Prior art keywords
vacuum
vacuum processing
cooling
processing apparatus
processing
Prior art date
Application number
KR1019960020198A
Other languages
English (en)
Other versions
KR100256512B1 (ko
Inventor
스스무 가토
히로후미 야마구치
Original Assignee
이노우에 아키라
도쿄 일렉트론 가부시키가이샤
이노우에 야스오
텔 바리안 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 이노우에 아키라, 도쿄 일렉트론 가부시키가이샤, 이노우에 야스오, 텔 바리안 가부시키가이샤 filed Critical 이노우에 아키라
Publication of KR970003396A publication Critical patent/KR970003396A/ko
Application granted granted Critical
Publication of KR100256512B1 publication Critical patent/KR100256512B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

진공예비챔버의 처리체를 냉각하기 위한 냉각테이블에는 물체와 테이블 사이의 미리 결정된 갭으로 물체를 지지하는지 지지부재가 갖추어져 있기 때문에, 처리체는 냉각테이블과 직접 접촉하지 않고서 냉각될 수 있다. 따라서, 처리체와 냉각테이블 사이의 면접촉에 기인하는 화학반응이 일어나지 않게 되고, 물체가 오염되지 않게 된다. 물체가 고속냉각에 의해 미소진동을 받게 되고, 다소 휘게 된다고 해도, 냉각테이블과 접촉하지 않기 때문에, 파티클이 생성되지 않게 된다. 또한, 비처리체를 예열하기 위한 예열장치가 냉각테이블 위에 제공된다. 따라서, 진공예비챔버는 처리체를 냉각할 뿐만 아니라 비처리체를 예열하는데 이용될 수 있고, 따라서 드로우풋이 향상된다.

Description

진공처리장치
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도는 본 발명의 제1실시예에 따른 진공처리장치의 진공예비챔버를 나타낸 단면도, 제2도는 제1도의 진공예비챔버의 냉각테이블의 주요부를 확대하여 나타낸 단면도.

Claims (7)

  1. 미리 결정된 처리로 처리체를 처리하기 위한 다수의 진공처리챔버를 갖춘 진공처리장치에 있어서, 진공처리챔버로 실질적으로 진공상태의 물체를 전달하고, 진공처리챔버로부터 실질적으로 대기압력으로 처리체를 받기 위한 진공예비챔버와, 진공예비챔버의 처리체를 냉각하기 위한 냉각테이블 및, 물체와 테이블 사이의 미리 결정된 갭으로 냉각테이블 위에 물체를 지지하기 위해 배열된 지지부재를 구비하여 구성된 것을 특징으로 하는 진공처리장치.
  2. 제1항에 있어서, 상기 각 지지부재가 물체를 지지하기 위해 냉각테이블상에 형성된 돌기부를 포함하는 것을 특징으로 하는 진공처리장치.
  3. 제1항에 있어서, 상기 각 지지부재가 물체의 정반대 이동을 방지하기 위한 조절부를 포함하는 것을 특징으로 하는 진공처리장치.
  4. 제1항에 있어서, 상기 지지부재가 수정으로 형성된 것을 특징으로 하는 진공처리장치.
  5. 미리 결정된 처리로 처리체를 처리하기 위한 다수의 진공처리챔버를 갖춘 진공처리장치에 있어서, 진공처리챔버로 실질적으로 진공상태의 물체를 전달하고, 진공처리챔버로부터 실질적으로 대기압력으로 처리체를 받기 위한 진공예비챔버와, 진공예비챔버의 처리체를 냉각하기 위한 냉각테이블 및, 비처리체를 예열하기 위해 냉각테이블위에 배열된 예열수단을 구비하여 구성된 것을 특징으로 하는 진공처리장치.
  6. 제5항에 있어서, 진공예비챔버의 비처리체를 지지하기 위한 제1링형 지지부와 진공예비챔버의 처리체를 지지하기 위한 제2링형 지지부를 더 구비하여 구성된 것을 특징으로 하는 진공처리장치.
  7. 제6항에 있어서, 상기 각 제1 및 제2링형 지지부가 물체와 지지부 사이의 미리 결정된 갭으로 물체를 지지하기 위해 배열된 돌기부를 포함하는 것을 특징으로 하는 진공처리장치.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019960020198A 1995-06-09 1996-06-07 진공처리장치 KR100256512B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP16814295A JP3288200B2 (ja) 1995-06-09 1995-06-09 真空処理装置
JP95-168142 1995-06-09

Publications (2)

Publication Number Publication Date
KR970003396A true KR970003396A (ko) 1997-01-28
KR100256512B1 KR100256512B1 (ko) 2000-05-15

Family

ID=15862616

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019960020198A KR100256512B1 (ko) 1995-06-09 1996-06-07 진공처리장치

Country Status (4)

Country Link
US (1) US5609689A (ko)
JP (1) JP3288200B2 (ko)
KR (1) KR100256512B1 (ko)
TW (1) TW300315B (ko)

Families Citing this family (131)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100244041B1 (ko) * 1995-08-05 2000-02-01 엔도 마코토 기판처리장치
US5830272A (en) * 1995-11-07 1998-11-03 Sputtered Films, Inc. System for and method of providing a controlled deposition on wafers
US20060052757A1 (en) * 1996-06-04 2006-03-09 Vance Products Incorporated, D/B/A Cook Urological Incorporated Implantable medical device with analgesic or anesthetic
KR0183912B1 (ko) * 1996-08-08 1999-05-01 김광호 다중 반응 챔버에 연결된 펌핑 설비 및 이를 사용하는 방법
US6602348B1 (en) * 1996-09-17 2003-08-05 Applied Materials, Inc. Substrate cooldown chamber
US5961269A (en) * 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US6432203B1 (en) * 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
US5879461A (en) * 1997-04-21 1999-03-09 Brooks Automation, Inc. Metered gas control in a substrate processing apparatus
US6575737B1 (en) * 1997-06-04 2003-06-10 Applied Materials, Inc. Method and apparatus for improved substrate handling
US5960158A (en) 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US5882413A (en) * 1997-07-11 1999-03-16 Brooks Automation, Inc. Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer
US6079928A (en) * 1997-08-08 2000-06-27 Brooks Automation, Inc. Dual plate gas assisted heater module
US6530732B1 (en) * 1997-08-12 2003-03-11 Brooks Automation, Inc. Single substrate load lock with offset cool module and buffer chamber
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
JP2002504744A (ja) * 1997-11-28 2002-02-12 マットソン テクノロジイ インコーポレイテッド 真空処理を行う非加工物を、低汚染かつ高処理能力で取扱うためのシステムおよび方法
US6257827B1 (en) * 1997-12-01 2001-07-10 Brooks Automation Inc. Apparatus and method for transporting substrates
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6270306B1 (en) * 1998-01-14 2001-08-07 Applied Materials, Inc. Wafer aligner in center of front end frame of vacuum system
US6082951A (en) * 1998-01-23 2000-07-04 Applied Materials, Inc. Wafer cassette load station
JP4404481B2 (ja) 1998-02-18 2010-01-27 アプライド マテリアルズ インコーポレイテッド 真空処理システム、ウェーハハンドラーおよびエンドエフェクタ
US5930456A (en) 1998-05-14 1999-07-27 Ag Associates Heating device for semiconductor wafers
US5970214A (en) 1998-05-14 1999-10-19 Ag Associates Heating device for semiconductor wafers
US6086362A (en) 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6517303B1 (en) 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6215897B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
US6176668B1 (en) 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6231289B1 (en) 1998-08-08 2001-05-15 Brooks Automation, Inc. Dual plate gas assisted heater module
US6210484B1 (en) 1998-09-09 2001-04-03 Steag Rtp Systems, Inc. Heating device containing a multi-lamp cone for heating semiconductor wafers
KR100634642B1 (ko) * 1998-11-20 2006-10-16 스티그 알티피 시스템즈, 인코포레이티드 반도체 웨이퍼의 급속 가열 및 냉각 장치
US6771895B2 (en) * 1999-01-06 2004-08-03 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
US6092981A (en) * 1999-03-11 2000-07-25 Applied Materials, Inc. Modular substrate cassette
JP2000286200A (ja) * 1999-03-31 2000-10-13 Kokusai Electric Co Ltd 熱処理方法および熱処理装置
US6440261B1 (en) * 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
US6486444B1 (en) * 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
US6402508B2 (en) * 1999-12-09 2002-06-11 Tokyo Electron Limited Heat and cooling treatment apparatus and substrate processing system
US6949143B1 (en) 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
JP3998386B2 (ja) * 2000-01-26 2007-10-24 三菱電機株式会社 液晶表示装置の製造装置および液晶表示装置の製造方法
US6780250B2 (en) * 2000-01-28 2004-08-24 Texas Instruments Incorporated System and method for integrated oxide removal and processing of a semiconductor wafer
KR100462237B1 (ko) * 2000-02-28 2004-12-17 주성엔지니어링(주) 기판 냉각장치를 가지는 반도체 소자 제조용 클러스터 장비
US6488778B1 (en) * 2000-03-16 2002-12-03 International Business Machines Corporation Apparatus and method for controlling wafer environment between thermal clean and thermal processing
US7037797B1 (en) 2000-03-17 2006-05-02 Mattson Technology, Inc. Localized heating and cooling of substrates
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
KR20030032034A (ko) * 2000-09-15 2003-04-23 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 두 개의 이중 슬롯 로드록
US6599368B1 (en) * 2000-10-05 2003-07-29 Applied Materials, Inc. System architecture of semiconductor manufacturing equipment
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) * 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
US6998579B2 (en) 2000-12-29 2006-02-14 Applied Materials, Inc. Chamber for uniform substrate heating
KR100378259B1 (ko) * 2001-01-20 2003-03-29 주승기 결정질 활성층을 포함하는 박막트랜지스터 제작 방법 및장치
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US20040026036A1 (en) * 2001-02-23 2004-02-12 Hitachi Kokusai Electric Inc. Substrate processing apparatus and substrate processing method
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) * 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6810886B2 (en) * 2001-05-24 2004-11-02 Applied Materials, Inc. Chamber cleaning via rapid thermal process during a cleaning period
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6672864B2 (en) 2001-08-31 2004-01-06 Applied Materials, Inc. Method and apparatus for processing substrates in a system having high and low pressure areas
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US7006888B2 (en) 2002-01-14 2006-02-28 Applied Materials, Inc. Semiconductor wafer preheating
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6899507B2 (en) * 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6797067B1 (en) * 2002-05-17 2004-09-28 Macronix International Co., Ltd. Implanter tool process parameter auto pre-setup system
WO2003100836A1 (en) * 2002-05-21 2003-12-04 Asm America, Inc. Reduced cross-contamination between chambers in a semiconductor processing tool
KR100485709B1 (ko) * 2002-08-14 2005-04-27 주성엔지니어링(주) 액정표시장치용 기판제조를 위한 챔버
WO2004019368A2 (en) * 2002-08-26 2004-03-04 Tokyo Electron Limited Reduced volume plasma reactor
JP4348921B2 (ja) * 2002-09-25 2009-10-21 東京エレクトロン株式会社 被処理体の搬送方法
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
ES2303915T3 (es) * 2003-01-02 2008-09-01 Loma Linda University Medical Center Gestion de la configuracion y sistema de recuperacion para un sistema terapeutico de rayos protonicos.
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US7010388B2 (en) * 2003-05-22 2006-03-07 Axcelis Technologies, Inc. Work-piece treatment system having load lock and buffer
WO2004113585A2 (en) * 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
US20050221603A1 (en) * 2003-06-23 2005-10-06 Applied Materials, Inc. System architecture of semiconductor manufacturing equipment
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US8696298B2 (en) * 2003-11-10 2014-04-15 Brooks Automation, Inc. Semiconductor manufacturing process modules
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US8313277B2 (en) 2003-11-10 2012-11-20 Brooks Automation, Inc. Semiconductor manufacturing process modules
US8029226B2 (en) 2003-11-10 2011-10-04 Brooks Automation, Inc. Semiconductor manufacturing systems
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US20050217799A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Wafer heater assembly
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
TWI278416B (en) * 2004-12-09 2007-04-11 Au Optronics Corp Cassette stocker
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
US20060273815A1 (en) * 2005-06-06 2006-12-07 Applied Materials, Inc. Substrate support with integrated prober drive
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
JP2008100805A (ja) * 2006-10-18 2008-05-01 Ihi Corp 基板保管庫
US7378618B1 (en) 2006-12-14 2008-05-27 Applied Materials, Inc. Rapid conductive cooling using a secondary process plane
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US20080206022A1 (en) * 2007-02-27 2008-08-28 Smith John M Mult-axis robot arms in substrate vacuum processing tool
US20080206036A1 (en) * 2007-02-27 2008-08-28 Smith John M Magnetic media processing tool with storage bays and multi-axis robot arms
US20080219811A1 (en) * 2007-03-05 2008-09-11 Van Der Meulen Peter Semiconductor manufacturing process modules
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
US20090016853A1 (en) * 2007-07-09 2009-01-15 Woo Sik Yoo In-line wafer robotic processing system
JP5084420B2 (ja) * 2007-09-21 2012-11-28 東京エレクトロン株式会社 ロードロック装置および真空処理システム
US7972961B2 (en) * 2008-10-09 2011-07-05 Asm Japan K.K. Purge step-controlled sequence of processing semiconductor wafers
US8216380B2 (en) * 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8287648B2 (en) 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
JP5511536B2 (ja) * 2010-06-17 2014-06-04 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US8616821B2 (en) * 2010-08-26 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated apparatus to assure wafer quality and manufacturability
KR20180045316A (ko) * 2016-10-25 2018-05-04 삼성전자주식회사 설비 전방 단부 모듈 및 이를 포함하는 반도체 제조 장치
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
TW202230583A (zh) * 2020-12-22 2022-08-01 日商東京威力科創股份有限公司 基板處理系統及微粒去除方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0622213B2 (ja) * 1983-11-28 1994-03-23 株式会社日立製作所 試料の温度制御方法及び装置
JPH05182930A (ja) * 1991-11-29 1993-07-23 Nichiden Mach Ltd ウェーハ冷却装置
JPH05160030A (ja) * 1991-12-03 1993-06-25 Kokusai Electric Co Ltd 基板冷却装置
US5516732A (en) * 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
ES2090893T3 (es) * 1993-01-28 1996-10-16 Applied Materials Inc Aparato de tratamiento en vacio que tiene una capacidad de produccion mejorada.

Also Published As

Publication number Publication date
KR100256512B1 (ko) 2000-05-15
TW300315B (ko) 1997-03-11
JPH08339948A (ja) 1996-12-24
JP3288200B2 (ja) 2002-06-04
US5609689A (en) 1997-03-11

Similar Documents

Publication Publication Date Title
KR970003396A (ko) 진공처리장치
KR920003435A (ko) 판상체 지지테이블 및 그것을 이용한 처리장치
KR960035872A (ko) 플라즈마처리방법 및 장치
KR890001157A (ko) 웨이퍼 표면처리 장치
KR970013106A (ko) 매엽식 열처리장치
KR890001166A (ko) 표면 처리장치
KR940016554A (ko) 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
KR960015761A (ko) 플라즈마처리장치
KR920003428A (ko) 처리방법 및 장치
KR950027985A (ko) 플라스마 에칭장치
KR910012328A (ko) 플라즈마 처리장치
ES2054357T3 (es) Aparato y metodo para tratar substratos planos bajo una presion reducida.
KR890015375A (ko) 에픽텍셜 반응로
KR870010942A (ko) 플라즈마표면처리장치 및 방법
KR900017100A (ko) 반도체 웨이퍼 후면 처리방법
KR940022750A (ko) 반도체 웨이퍼 냉각방법 및 장치
KR970018244A (ko) 열처리방법
KR940020489A (ko) 기판 냉각장치 및 기판 열처리장치
KR890013729A (ko) 반응성 이온 에칭장치
KR900005854A (ko) 플라즈마 처리방법 및 장치
KR970063434A (ko) 차폐체, 열처리장치 및 열처리방법
KR950006969A (ko) 성막장치 및 성막방법
KR940001263A (ko) 성막장치
KR960015760A (ko) 순수 환상 가스 방전 전극
KR920001643A (ko) 처리장치

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20040205

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee