KR20160098986A - 워드라인에 대한 텅스텐 적용들 - Google Patents

워드라인에 대한 텅스텐 적용들 Download PDF

Info

Publication number
KR20160098986A
KR20160098986A KR1020160015652A KR20160015652A KR20160098986A KR 20160098986 A KR20160098986 A KR 20160098986A KR 1020160015652 A KR1020160015652 A KR 1020160015652A KR 20160015652 A KR20160015652 A KR 20160015652A KR 20160098986 A KR20160098986 A KR 20160098986A
Authority
KR
South Korea
Prior art keywords
tungsten
film
layer
reducing agent
pulsing
Prior art date
Application number
KR1020160015652A
Other languages
English (en)
Inventor
마이클 다넥
한나 밤놀커
라아쉬나 후마윤
주웬 가오
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160098986A publication Critical patent/KR20160098986A/ko
Priority to KR1020230191607A priority Critical patent/KR20240005648A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H01L27/10891
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01009Fluorine [F]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01032Germanium [Ge]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/34DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Composite Materials (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)

Abstract

메모리 디바이스들 내에 텅스텐 워드라인들의 형성을 위한 방법들 및 관련된 장치가 본 명세서에 개시된다. 또한 불소-프리 텅스텐 (FFW) 의 디포지션을 위한 방법들 및 관련된 장치가 본 명세서에 개시된다. 다양한 실시예들에 따라, 방법들은 텅스텐 클로라이드 (WClx) 전구체들 및 붕소 (B)-함유 환원제, 실리콘 (Si)-함유 환원제, 또는 게르마늄 (Ge)-함유 환원제를 사용하는 멀티-컴포넌트 텅스텐 막들의 디포지션을 수반한다.

Description

워드라인에 대한 텅스텐 적용들{TUNGSTEN FOR WORDLINE APPLICATIONS}
CVD (chemical vapor deposition) 기법들을 사용하는 텅스텐 (W) 막 증착은 반도체 제조 프로세스들의 필수적인 부분이다. 예를 들어, 텅스텐 막들은 수평적 상호 접속부들, 인접한 금속층들 간의 비아들, 및 제 1 금속층과 실리콘 기판 상의 디바이스들 간의 콘택트들의 형태로 저 저항률 전기적 접속부들로서 사용될 수도 있다. 텅스텐 증착 프로세스의 예에서, 배리어층이 유전체 기판 상에 증착되고, 이어서 텅스텐 막의 얇은 핵생성층이 증착된다. 그 후, 나머지 텅스텐 막이 벌크층으로서 핵생성층 상에 증착된다. 관습적으로, 텅스텐 벌크층은 CVD 프로세스에서 수소 (H2) 를 사용한 텅스텐 헥사플루오라이드 (WF6) 의 환원에 의해 형성된다.
텅스텐 막들은 또한 DRAM (dynamic random access memory) 을 위한 bWL (buried wordline) 아키텍처들의 형태를 포함하는, 다양한 메모리 애플리케이션들에 사용될 수도 있다. bWL 증착의 예에서, 텅스텐층은 WF6를 사용하는 CVD 프로세스에 의해 TiN/W 바이레이어를 형성하도록 티타늄 나이트라이드 (TiN) 층 상에 증착될 수도 있다.
기판 상에 텅스텐을 증착하는 방법들이 본 명세서에 개시된다. 일부 실시예들에서, 방법들은 환원제를 펄싱하는 단계로서, 환원제는 붕소 (B) 함유 환원제, 실리콘 (Si) 함유 환원제 또는 게르마늄 (Ge) 함유 환원제인, 환원제를 펄싱하는 단계; 및 텅스텐 클로라이드 전구체를 펄싱하는 단계를 포함하고, 텅스텐 클로라이드 전구체는 기판 상에 B, Si, 및 Ge 중 하나 이상을 포함하는 멀티-컴포넌트 텅스텐 함유 막을 형성하도록 환원제 및 이의 생성물에 의해 환원된다.
일부 실시예들에서 방법들은 3차 텅스텐 함유 막을 형성하도록 제 3 반응물질을 펄싱하는 단계를 수반한다. 제 3 반응물질은 3차 텅스텐 함유 막이 텅스텐, 실리콘, 게르마늄, 및 붕소 중 하나, 그리고 탄소 및 질소 중 하나를 포함하도록 질소 함유 또는 탄소 함유 반응물질일 수도 있다. 실리콘, 게르마늄, 붕소, 탄소, 및 질소 중 둘 이상을 포함하는 4차 및 보다 높은 차수의 막들이 형성될 수도 있다. 일부 실시예들에서, 멀티-컴포넌트 막은 2차 막이다.
일부 실시예들에서, 멀티-컴포넌트 텅스텐 함유 막은 워드라인 (wordline) 에 대한 확산 배리어이다. 일부 실시예들에서, 멀티-컴포넌트 텅스텐 함유 막은 금속 게이트에 대한 일함수층이다.
일부 실시예들에서, 텅스텐 클로라이드 펄스 동안 기판 온도는 적어도 400 ℃이다. 일부 실시예들에서, 텅스텐 클로라이드 펄스 동안 기판 온도는 적어도 450 ℃이다. 일부 실시예들에서, 텅스텐 클로라이드 펄스 동안 기판 온도는 적어도 500 ℃이다. 일부 실시예들에서, 텅스텐 클로라이드 펄스 동안 기판 온도는 적어도 550 ℃이다.
일부 실시예들에서, 텅스텐 클로라이드 펄스 및 환원제 펄스 동안 기판 온도는 적어도 400 ℃이다. 일부 실시예들에서, 텅스텐 클로라이드 펄스 및 환원제 펄스 동안 기판 온도는 적어도 450 ℃이다. 일부 실시예들에서, 텅스텐 클로라이드 펄스 및 환원제 펄스 동안 기판 온도는 적어도 500 ℃이다. 일부 실시예들에서, 텅스텐 클로라이드 펄스 및 환원제 펄스 동안 기판 온도는 적어도 550 ℃이다.
방법은 멀티-컴포넌트 텅스텐 함유 막 상에 벌크 텅스텐 (W) 층을 증착하는 단계를 더 포함할 수도 있다. 일부 실시예들에서, 벌크 W 층은 텅스텐 클로라이드 전구체와 환원제 사이의 CVD 반응에 의해 증착된다.
일부 실시예들에서 벌크층은 중간층 없이 멀티-컴포넌트 텅스텐 함유 막 바로 위에 증착될 수도 있다.
다양한 실시예들에 따라, 멀티-컴포넌트 텅스텐 함유 막은 옥사이드 막 또는 나이트라이드 막과 같은 절연막 바로 위에 증착된다. 일부 실시예들에서, 방법은 기판 상에 B, Si, 또는 Ge 층을 형성하기 위해 환원제의 분해를 수반한다.
또한 메모리 구조체들이 제공된다. 일부 실시예들에서, 메모리 구조체는 B, Si, 및 Ge 중 하나 이상을 포함하는 멀티-컴포넌트 텅스텐 함유 막의 라이너층; 및 텅스텐 워드라인을 포함할 수도 있다. 일부 실시예들에서, 메모리 구조체는 B, Si, 및 Ge 중 하나 이상을 포함하는 멀티-컴포넌트 텅스텐 함유 막의 일함수층; 및 금속 게이트를 포함할 수도 있다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.
도 1은 실리콘 기판 내에 bWL을 포함하는 DRAM 아키텍처의 개략적인 예를 도시한다.
도 2a는 텅스텐 워드라인들을 포함하는 3차원 NAND 구조의 개략적인 예를 도시한다.
도 2b는 텅스텐 워드라인을 포함하는 부분적으로 제조된 3D NAND 구조의 3차원 피처들의 2차원 묘사이다.
도 2c는 텅스텐 함유 일함수층을 포함하는 수직 NAND 구조의 개략적인 예를 도시한다.
도 3a는 텅스텐 함유 2차 막 또는 3차 막을 형성하기 위해 사용될 수도 있는 방법의 예를 도시한다.
도 3b는 피처를 충진하기 위해 사용될 수도 있는 방법의 예를 도시한다.
도 4는 본 발명의 실시예들에 따른 텅스텐 증착 프로세스들을 수행하기에 적합한 프로세싱 시스템의 블록도이다.
이하의 기술에서, 제시된 실시예들의 전체적인 이해를 제공하기 위해 다수의 구체적인 상세들이 언급된다. 개시된 실시예들은 이들 구체적인 상세들 일부 또는 전부가 없이도 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 구체적인 실시예들과 함께 기술되지만, 이는 개시된 실시예들로 제한하도록 의도되지 않는다는 것이 이해될 것이다.
반도체 디바이스 제조는 종종 예를 들어 상호 접속부들을 형성하기 위해 트렌치들 또는 비아들 내에 텅스텐 막들의 증착을 수반한다. 텅스텐 막들을 증착하는 종래의 방법들에서, 핵생성 텅스텐층이 먼저 비아 또는 콘택트 내로 증착된다. 일반적으로, 핵생성층은 그 위에 후속하는 벌크 재료의 형성을 용이하게 하도록 역할을 하는 얇은 컨포멀한 층이다. 텅스텐 핵생성층은 피처의 측벽들 및 하단부를 컨포멀하게 코팅하도록 증착될 수도 있다. 아래에 놓인 피처 하단부 및 측벽들을 따르는 것은 고품질 증착을 지원하기 위해 중요할 수 있다. 핵생성층들은 종종 ALD (atomic layer deposition) 또는 PNL (pulsed nucleation layer) 방법들을 사용하여 증착된다.
PNL 기법에서, 반응물질의 펄스들은 순차적으로 주입되고 통상적으로, 반응물질들 사이에서 퍼지 가스의 펄싱으로 인해 반응 챔버로부터 퍼지된다. 제 1 반응물질은 기판 상에 흡착될 수 있고, 다음 반응물질과의 반응에 사용가능할 수 있다. 프로세스는 목표된 두께가 달성될 때까지 순환적 방식으로 반복된다. PNL은 ALD 기법들과 유사하다. PNL은 대체로 보다 높은 동작 압력 범위 (1 Torr 초과) 및 사이클 당 보다 높은 성장 레이트 (사이클 당 1 모노레이어 초과 막 성장) 로 ALD와 구별된다. PNL 증착 동안 챔버 압력은 약 1 Torr 내지 약 400 Torr의 범위일 수도 있다. 본 명세서에 제공된 기술의 맥락에서, PNL은 일반적으로 반도체 기판 상에서의 반응을 위해 반응물질들을 순차적으로 첨가하는 임의의 순환적 프로세스를 구현한다. 따라서, 개념은 종래에 ALD로서 참조된 기법들을 구현한다. 개시된 실시예들의 맥락에서, CVD는 반응물질들이 증기-상 반응을 위해 반응기로 함께 도입되는 프로세스들을 구현한다. PNL 및 ALD 프로세스들은 CVD 프로세스들과 구별되고 그 반대도 된다.
텅스텐 핵생성층이 증착된 후, 벌크 텅스텐은 통상적으로 수소 (H2) 와 같은 환원제를 사용하여 텅스텐 헥사플루오라이드 (WF6) 를 환원시킴으로써 CVD 프로세스에 의해 증착된다.
종래의 텅스텐의 증착은 불소 함유 전구체 WF6의 사용을 수반하였다. 그러나, WF6의 사용은 증착된 텅스텐 막 내로 불소의 포함을 다소 발생시킨다. 디바이스들이 축소됨에 따라, 피처들은 보다 작아지고 전자이동 (electromigration) 에 부정적인 영향을 줄 뿐만 아니라 이온 확산이 보다 두드러져, 디바이스 고장을 유발한다. 불소의 존재는 인접한 컴포넌트들 내로의 전자이동 및/또는 불소 확산을 유발할 수 있어서, 디바이스의 성능을 감소시킨다. 따라서 미량의 불소를 포함하는 텅스텐 막들은 집적 및 신뢰성 문제들뿐만 아니라 아래에 놓인 막들과 관련된 디바이스 성능 문제들을 제기할 수 있다.
메모리 디바이스들 내에 텅스텐 워드라인들의 형성을 위한 방법들 및 관련된 장치가 본 명세서에 개시된다. 또한 불소-프리 텅스텐 (FFW) 의 증착을 위한 방법들 및 관련된 장치가 본 명세서에 개시된다. 도 1은 실리콘 기판 (9) 내에 bWL (11) 을 포함하는 DRAM 아키텍처의 개략적인 예를 도시한다. bWL은 실리콘 기판 (9) 내의 에칭된 트렌치 내에 형성된다. 트렌치를 라이닝하는 것은 컨포멀한 배리어층 (12) 및 컨포멀한 배리어층 (12) 과 실리콘 기판 (9) 사이에 배치된 절연층 (13) 이다. 도 1의 예에서, 절연층 (13) 은 실리콘 옥사이드 또는 실리콘 나이트라이드 재료와 같은 고-k 유전체 재료로부터 형성된, 게이트 옥사이드층일 수도 있다.
본 명세서에 개시된 일부 실시예들에서, 컨포멀한 배리어층 (12) 은 텅스텐 함유층이다. 종래의 텅스텐 (W) 워드라인 아키텍처들에서, 티타늄 나이트라이드 (TiN) 는 배리어로서 사용된다. 그러나, TiN/W 워드라인 충진은 저항률 스케일링에 의해 제한되고; TiN이 상대적으로 높은 저항률을 갖기 때문에, 치수들이 감소하고 TiN 컨포멀 층들이 트렌치의 보다 큰 체적 분율을 차지함에 따라, 저항이 증가한다. 다양한 실시예들에 따라서, 본 명세서에 개시된 텅스텐 bWL들은 TiN 및 다른 비-W 배리어층들이 없다.
컨포멀한 배리어층 (12) 은 붕소, 실리콘, 및 게르마늄 중 하나 이상을 포함할 수도 있다. 일부 실시예들에서, 컨포멀한 배리어층 (12) 은 WBx, WSix, 및 WGex와 같은 2차 화합물이고, 여기서 x는 0보다 큰 수이다. 일부 실시예들에서, 컨포멀한 배리어층 (12) 은 탄소 또는 질소를 포함할 수도 있다. 일부 실시예들에서, 컨포멀한 배리어층 (12) 은 붕소, 실리콘, 및 게르마늄 중 하나 이상, 및 탄소 및 나이트라이드 중 하나 또는 양자를 포함할 수도 있다. 일부 실시예들에서, 컨포멀한 배리어층 (12) 은 WBxNy, WSixNy, WGexNy, WSixCy, WBxCy, WGexCy, 등과 같은 3차 화합물이고, 여기서 x 및 y는 0보다 큰 수들이다. WBxGeyNz, WGexCyNz, 등을 포함하는 예들과 함께, Si, B, Ge, N 및 C를 함유하는 4차 및 보다 높은 차수의 화합물들이 또한 사용될 수도 있고, 여기서 x, y 및 z는 0보다 큰 수들이다.
도 2a는 3D NAND 구조 (23) 의 워드라인 (21) 의 개략적인 예를 도시한다. 도 2b에서, 텅스텐 충진 후, 부분적으로 제조된 3D NAND 구조의 3D 피처들의 2D 묘사는 워드라인 (21) 및 컨포멀한 배리어층 (22) 을 포함하는 것으로 도시된다. 도 2b는 단면도가 아닌 평면도로 보일 수 있는 협착들을 나타내는 도면에 도시된 필라 협착들 (24) 을 갖는 충진된 영역의 단면도이다. 컨포멀한 배리어층 (22) 은 도 1의 컨포멀한 배리어층 (12) 에 대해 상기 기술된 바와 같은 텅스텐 함유층일 수도 있다. 텅스텐 함유 막은 후속하는 CVD W 증착을 위해 배리어층 및 핵생성층으로서 역할을 할 수도 있다.
일부 실시예들에서 상기 3D NAND 구조와 같은 3D 메모리 구조체의 금속 게이트를 위한 일함수층을 포함하는, 금속 게이트의 텅스텐 함유 일함수층이 제공된다.
도 2c는 기판 (30) 상에 형성된 금속 게이트들 (31) 을 포함하는 VNAND 구조 (32) 의 개략적인 예를 도시되고, 금속 게이트 (31) 및 연관된 막 스택의 확대도가 또한 도시된다. VNAND 구조 (32) 는 반도전 채널 (33), 터널 유전체층 (35), 전하 저장층 (37), 일함수층 (39), 차단 유전체 (41), 및 금속 게이트 (31) 를 포함한다.
도 2a 내지 도 2c의 예들에 도시된 구조들을 포함하는 메모리 구조체들 내의 일함수층들의 예들은 WBx, WSix, 및 WGex와 같은 2차 텅스텐 함유 화합물의 막들을 포함하고, 여기서 x는 0보다 큰 수이다. 일부 실시예들에서, 일함수층은 탄소 또는 질소를 포함할 수도 있다. 일부 실시예들에서, 일함수층은 붕소, 실리콘, 및 게르마늄 중 하나 이상, 및 탄소 및 나이트라이드 중 하나 또는 양자를 포함할 수도 있다. 일부 실시예들에서, 일함수층은 WBxNy, WSixNy, WGexNy, WSixCy, WBxCy, WGexCy, 등과 같은 3차 화합물이다. Si, B, Ge, N 및 C를 함유하는 4차 및 보다 높은 차수의 화합물들이 또한 사용될 수도 있다. 텅스텐 함유 막은 후속하는 CVD W 증착을 위한 일함수층 및 핵생성층으로서 역할을 할 수도 있다. 일함수층은 게이트 옥사이드와 같은 유전체 재료 상에 증착될 수도 있다.
일부 실시예들에서, 도 2a 내지 도 2c를 참조하여 기술된 메모리 구조체들은 TiN 확산 배리어들 또는 TiN 일함수층들을 포함하지 않는다.
도 3a는 텅스텐 함유 2차 또는 3차 막을 형성하기 위해 사용될 수도 있는 방법의 예를 도시한다. 먼저, 기판이 환원제 펄스에 노출된다 (302). 일부 실시예들에서 기판은 부분적으로 제조된 메모리 디바이스일 수도 있다. 일부 실시예들에서, 환원제 펄스에 노출된 표면은 상부에 막이 형성되는 유전체이다. 다양한 실시예들에 따라, 막은 도전 표면 및 반도전 표면을 포함하는 다른 타입들의 표면들 상에 형성될 수도 있다.
블록 302에서 채용된 환원제는 후속 동작에서 채용된 텅스텐 함유 전구체를 환원할 뿐만 아니라 절연막 내에 포함될 화합물을 제공할 것이다. 이러한 환원제들의 예들은 붕소 함유 환원제, 실리콘 함유 환원제, 및 게르마늄 함유 환원제를 포함한다. 붕소 함유 환원제들의 예들은 BnHn +4, BnHn +6, BnHn +8, BnHm과 같은 보란들을 포함하고, 여기서 n은 1 내지 10의 정수이고, m은 n과 상이한 정수이다. 특정한 예들에서, 디보란이 채용될 수도 있다. 다른 붕소 함유 화합물들, 예를 들어, 알킬 보란들, 알킬 붕소, 아미노 보란들 (CH3)2NB(CH2)2, 및 C2BnHn +2와 같은 카보란들이 또한 사용될 수도 있다. 실리콘 함유 화합물들의 예들은 SiH4 및 Si2H6과 같은 실란들을 포함한다. 게르마늄 함유 화합물들의 예들은 GenHn +4, GenHn +6, GenHn +8, 및 GenHm과 같은 게르만들을 포함하고, 여기서 n은 1 내지 10의 정수이고, m은 n과 상이한 정수이다. 다른 게르마늄 함유 화합물들, 예를 들어, 알킬 게르만들, 알킬 게르마늄, 아미노게르만들 및 카보게르만들이 또한 사용될 수도 있다.
다양한 실시예들에 따라, 블록 302는 열적으로 분해된 원소적 붕소, 실리콘 또는 게르마늄의 얇은 층의 기판의 표면 상으로의 흡착을 수반할 수도 있다. 일부 실시예들에서, 블록 302는 기판 표면 상으로의 전구체 분자의 흡착을 수반할 수도 있다.
다음에, 기판이 놓인 챔버는 선택가능하게 퍼지될 수도 있다 (304). 퍼지 펄스 또는 배기는 존재한다면, 임의이 부산물 및 흡착되지 않은 전구체를 제거하도록 채용될 수 있다. 이어서 텅스텐 클로라이드 전구체가 펄싱된다 (306). 텅스텐 클로라이드 전구체들은 WCl2, WCl4, WCl5 및 WCl6, 뿐만 아니라 이들의 혼합물들을 포함한다. 일부 실시예들에서, 텅스텐 클로라이드 전구체는 텅스텐 헥사클로라이드 (WCl6), 텅스텐 펜타클로라이드 (WCl5) 또는 이들의 혼합물이다. 선택가능한 퍼지 (307) 가 블록 306 후에 또한 수행될 수도 있다. 텅스텐 전구체는 멀티-컴포넌트 막을 형성하도록 환원제 (또는 환원제의 분해물 또는 반응 생성물) 에 의해 환원된다.
증착 사이클은 통상적으로 텅스텐 함유층의 일부를 증착할 것이다. 블록 307 후에, 증착 사이클은 WBx, WSix, 및 WGex과 같은 텅스텐 함유 2차 막인 증착된 막을 갖고 일부 구현예들에서 종료될 수도 있고, 여기서 x는 0보다 크다. 이러한 실시예들에서, 프로세스는 목표된 두께가 증착될 때까지 302 내지 307의 사이클을 반복하는 블록 312로 진행할 수도 있다. 예시적인 성장 레이트들은 사이클 당 약 100 Å일 수도 있다.
일부 실시예들에서, 프로세스는 선택가능하게 제 3 반응물질을 도입하는 것으로 (308) 진행할 것이다. 제 3 반응물질은 일반적으로, 탄소 또는 질소와 같은, 막 내로 도입될 원소를 함유할 것이다. 질소 함유 반응물질들의 예들은 N2, NH3, 및 N2H4를 포함한다. 탄소 함유 반응물질들의 예들은 CH4 및 C2H2를 포함한다. 선택가능한 퍼지 (309) 가 이어질 수도 있다. 이어서 프로세스는 증착 사이클을 반복하는 블록 312로 진행할 수도 있다.
질소 또는 탄소를 포함하는 3차 막들의 예들은 상기에 주어졌다. 일부 실시예들에서, 막은 질소 및 탄소 양자를 포함할 수도 있다 (예를 들어, WSiCN).
다양한 실시예들에 따라, 멀티-컴포넌트 텅스텐 막은 다음의 원자%를 가질 수도 있다: 약 5 % 내지 90 %의 W, 약 5 % 내지 60 %의 B/Ge/Si, 약 5 % 내지 80 %의 C/N. 일부 실시예들에서, 멀티-컴포넌트 막들은 다음의 원자%를 갖는다: 약 15 % 내지 약 80 %의 W; 약 15 % 내지 약 50 %의 B/Ge/Si; 그리고 약 20 % 내지 50 %의 C/N. 다양한 실시예들에 따라, 멀티-컴포넌트 텅스텐 막은 적어도 50 % 텅스텐이다.
다양한 실시예들에 따라, 증착은 상대적으로 높은 온도, 예를 들어, 450 ℃ 내지 600 ℃를 포함하는, 400 ℃ 내지 650 ℃에서 수행되고, 그리고 일부 실시예들에서 약 500 ℃ 보다 보다 높다. 이는 텅스텐 클로라이드 환원을 용이하게 하고 또한 B, Si, 또는 Ge로 하여금 2차 막 내로의 포함되게 한다. 범위의 하한 (low end) 은 대체로 텅스텐 클로라이드 화합물이 적정한 반응 레이트들에서 환원될 수 있는 온도, 대체로 텅스텐 플루오라이드 환원보다 보다 높은 온도로 제한된다. 범위의 상한 (high end) 은 열 예산 고려사항들에 의해 제한될 수도 있다. 일부 실시예들에서, 블록들 302, 306, 및 308 중 임의의 하나 이상의 블록들은 나머지 다른 블록들과 상이한 온도에서 수행될 수도 있다. 환원제 펄싱이 후속하는 텅스텐 클로라이드 펄싱보다 보다 낮은 온도에서 수행되는 프로세스의 예들은 본 명세서에 참조로서 인용된 미국 특허 출원 제 14/703,732 호에 기술된다. 유사한 온도 제어가 도 3a의 실시예들에서 채용될 수도 있다. 특정한 실시예들에서, 블록 302로부터 블록 306으로의 전이 및 블록 306으로부터 블록 308로의 전이는 멀티-스테이션 챔버에서 일 증착 스테이션에서 또 다른 증착 스테이션으로 기판을 이동시키는 것을 수반한다. 여전히, 또한 블록 302, 블록 306, 및 블록 308 각각은 동일한 멀티-챔버 챔버의 상이한 스테이션에서 수행될 수도 있다.
일부 실시예들에서, 2차 또는 3차 막의 일함수와 같은 전기적 속성들은 질소 또는 탄소를 도입함으로써 튜닝될 수도 있다. 유사하게, 환원제의 양은 막 내에 포함되는 B, Si, 또는 Ge의 양을 튜닝하도록 (도즈 용량 및/또는 퍼스 시간을 조절함으로써) 조절될 수도 있다. 여전히 또한, 블록들 302, 306 및 308 중 어느 하나 또는 두 블록은 2차 또는 3차 막들의 텅스텐 및 다른 컴포넌트들의 상대적인 양들, 및 따라서 이들의 물리적, 전기적, 및 화학적 속성들을 튜닝하기 위해 사이클 당 1회보다 많이 수행될 수도 있다. 3차 WBN 막을 형성하기 위한 상이한 사이클들의 예들은 본 명세서에 참조로서 인용된 미국 공개 공보 제 20140027664 호에 기술된다. 일부 실시예들에서, 증착된 텅스텐 함유 막은 약 4.5 내지 4.8 eV의 유효 일함수를 갖는다.
도 3b는 피처를 충진하기 위해 사용될 수도 있는 방법의 예를 도시한다. 먼저, 텅스텐 함유 멀티-컴포넌트층이 증착된다 (352). 멀티-컴포넌트층은 W, B, Si, 및 Ge 중 하나 이상, 및 선택가능하게 C 및 N 중 하나 이상을 포함할 수도 있다. 예들은 WBx, WSix, WGex, WBxNy, WSixNy, WGexNy, WSixCy, WBxCy, 및 WGexCy를 포함하고, 여기서 x 및 y는 0보다 크다. 막은 도 3a에 대해 상기 기술된 바와 같이 증착될 수도 있다.
다음에, 텅스텐 함유 멀티-컴포넌트층은 CVD에 의해 W 금속을 증착하기 위해 텅스텐 클로라이드 전구체에 노출될 수도 있다. 블록 352에 대조적으로, CVD-증착된 W는 일반적으로 순수 텅스텐, 즉, 미량의 불순물들도 포함하지 않는다. 일부 실시예들에서, 막은 적어도 95 % W이다. 블록 352에서 증착된 층은 블록 354에서 CVD W 증착을 위한 핵생성층으로서 기능할 수 있다. 블록 354는 텅스텐 비아들 및 bWL들과 같은 수직적 피처들, 및 VNAND 워드라인들과 같은 수평적 피처들을 포함하는, 기판 상의 피처를 충진할 수도 있다. 상기에 나타낸 바와 같이, 도 3a 및 도 3b에 대해 수행된 방법들은 대체로 불소 함유 전구체들을 포함하지 않는다.
예시적인 기판 온도들은 450 ℃만큼 낮고 CVD 반응 동안 650 ℃만큼 높을 수도 있다. 특정한 실시예들에서, 텅스텐 클로라이드 전구체는 WCl5 또는 WCl6이다. 특정한 실시예들에서, 환원제는 수소 가스이지만, 실란들, 보란들, 및 게르만들을 포함하는 다른 환원제들이 사용될 수도 있다. 일부 실시예들에서, CVD는 저온 스테이지 및 고온 스테이지와 같은, 다양한 스테이지들에서 구현될 수도 있다. 특정한 실시예들에서, CVD 동작은 하나 이상의 반응물질 플로우들이 방향전환되는 기간들에 의해 분리되는, 연속적이고 동시적인 반응물질들의 플로우의 복수의 기간들을 갖는, 복수의 스테이지들에서 발생할 수도 있다.
불활성 캐리어 가스는, 블록들 352 및 354에서, 미리 혼합될 수도 있거나 미리 혼합되지 않을 수도 있는, 하나 이상의 반응물질 스트림들을 전달하기 위해 사용될 수도 있다. 다양한 실시예들에서, 전구체들은 캐리어 가스로서 아르곤을 사용하여 도입된다. 다른 캐리어 가스들이 적절하게 사용될 수도 있다. 아르곤과 같은 불활성 가스 또는 질소와 같은 다른 가스, 또는 이들의 조합이 환원 가스들 또는 WCl5 또는 WCl6 가스들과 동시에 백그라운드 가스로서 제공될 수도 있다. 일부 실시예들에서, 백그라운드 가스 플로우는 연속적이고, 즉, 블록들 352 및 354 내내 스위치온 및 스위치오프되지 않는다.
PNL 또는 ALD 프로세스들과 달리, 블록 354는 일반적으로 목표된 양이 증착될 때까지 반응물질들을 연속적으로 도입하는 것을 수반할 수도 있다. 특정한 실시예들에서, CVD 동작은 하나 이상의 방향전환된 반응물질 플로우들의 기간들에 의해 분리된 연속적이고 동시적인 반응물질들의 플로우의 복수의 기간들을 갖는, 복수의 스테이지들에서 발생할 수도 있다. 플로우들은 또한 약 1 초 내지 약 2 초의 펄싱 시간 동안 펄싱될 수도 있다. 일부 실시예들에서, 반응물질들은 약 400 초 내지 약 600 초의 시간 동안 연속적으로 흐른다. CVD 증착 동안 챔버 압력의 예시적인 범위들은 약 10 Torr 내지 약 500 Torr, 또는 40 Torr의 범위일 수도 있다.
특정한 실시예들에서, 블록 352로부터 블록 354로의 전이는 멀티-스테이션 챔버에서 일 증착 스테이션으로부터 또 다른 증착 스테이션으로 기판을 이동시키는 것을 수반한다.
장치
임의의 적합한 챔버는 개시된 실시예들을 구현하도록 사용될 수도 있다. 예시적인 증착 장치들은 다양한 시스템들, 예를 들어, California, Fremont 소재의 Lam Research Corp.로부터 입수가능한 ALTUS® 및 ALTUS® Max, 또는 임의의 다양한 다른 상업적으로 입수가능한 프로세싱 시스템들을 포함한다. 프로세스는 복수의 증착 스테이션들 상에서 병렬로 수행될 수 있다.
일부 실시예들에서, 텅스텐 핵생성 프로세스는 단일 증착 챔버 내에 위치된 2, 5, 또는 훨씬 보다 많은 증착 스테이션들 중 하나의 증착 스테이션인 제 1 스테이션에서 수행된다. 일부 실시예들에서, 핵생성 프로세스를 위한 다양한 단계들이 증착 챔버의 2개의 상이한 스테이션들에서 수행된다. 예를 들어, 기판은 기판 표면에서 국부화된 분위기를 생성하는 개별 가스 공급 시스템을 사용하여 제 1 스테이션에서 디보란 (B2H6) 에 노출될 수도 있고, 이어서, 기판은 핵생성층을 증착하기 위해, 텅스텐 헥사클로라이드 (WCl6) 와 같은 전구체에 노출되도록 제 2 스테이션으로 이송될 수도 있다. 일부 실시예들에서, 이어서 기판은 디보란으로의 제 2 노출을 위해 제 1 스테이션으로 다시 이송되거나 제 3 반응물질 노출을 위해 제 3 스테이션으로 이송될 수도 있다. 이어서 기판은 텅스텐 핵생성을 완료하기 위해 WCl6 (또는 다른 텅스텐 클로라이드) 로의 노출을 위해 제 2 스테이션으로 이송될 수도 있고, 동일한 스테이션 또는 상이한 스테이션에서 벌크 텅스텐 증착을 진행할 수도 있다. 이어서 하나 이상의 스테이션들이 상기 기술된 바와 같이 CVD를 수행하기 위해 사용될 수 있다.
도 4는 본 발명의 실시예들에 따른 텅스텐 증착 프로세스들을 수행하기에 적합한 프로세싱 시스템의 블록도이다. 시스템 (400) 은 이송 모듈 (403) 을 포함한다. 이송 모듈 (403) 은 프로세싱될 기판들이 다양한 반응기 모듈들 사이에서 이동됨에 따라 프로세싱될 기판들의 오염 리스크를 최소화하기 위해 클린, 가압된 분위기를 제공한다. 이송 모듈 (403) 상에, 본 발명의 실시예들에 따라, PNL 증착 뿐만 아니라 CVD 증착으로 참조될 수도 있는, 핵생성층 증착을 수행할 수 있는 멀티-스테이션 반응기 (409) 가 장착된다. 챔버 (409) 는 이들 동작들을 순차적으로 수행할 수도 있는 복수의 스테이션들 (411, 413, 415, 및 417) 을 포함할 수도 있다. 예를 들어, 챔버 (409) 는 스테이션들 (411 및 413) 이 PNL 증착을 수행하고, 스테이션들 (413 및 415) 이 CVD를 수행하도록 구성될 수 있다. 증착 스테이션 각각은 가열된 웨이퍼 페데스탈 및 샤워헤드, 확산 플레이트 또는 다른 가스 유입부를 포함할 수도 있다.
또한 플라즈마 또는 화학적 (비플라즈마) 사전 세정을 수행할 수 있는 단일-스테이션 또는 복수-스테이션 모듈들 (407) 중 하나 이상이 이송 모듈 (403) 상에 장착될 수도 있다. 모듈은 또한 다양한 다른 처리들, 예를 들어, 환원제 소킹 (soaking) 을 위해 사용될 수도 있다. 시스템 (400) 은 또한, 웨이퍼들이 프로세싱 전 및 프로세싱 후에 저장되는, 하나 이상의 (이 경우 2개의) 웨이퍼 소스 모듈들 (401) 을 포함한다. 대기 이송 챔버 (419) 내의 대기 로봇 (미도시) 은 먼저 소스 모듈들 (401) 로부터 로드록들 (421) 로 웨이퍼들을 제거한다. 이송 모듈 (403) 내의 웨이퍼 이송 디바이스 (일반적으로 로봇 암 유닛) 는 로드록들 (421) 로부터 이송 모듈 (403) 상에 장착된 모듈들로 그리고 모듈들 중에서 웨이퍼들을 이동시킨다.
특정한 실시예들에서, 증착 동안 프로세스 조건들을 제어하기 위해 시스템 제어기 (429) 가 채용된다. 제어기는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 접속부들, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
제어기는 증착 장치의 모든 액티비티들을 제어할 수도 있다. 시스템 제어기는 타이밍, 가스들의 혼합물, 챔버 압력, 챔버 온도, 웨이퍼 온도, 사용된다면 RF (radio frequency) 전력 레벨들, 웨이퍼 척 또는 페데스탈 위치, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는, 시스템 제어 소프트웨어를 실행한다. 제어기와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 일부 실시예들에서 채용될 수도 있다.
통상적으로 제어기와 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등의 사용자 입력 디바이스들을 포함할 수도 있다.
시스템 제어 로직은 임의의 적합한 방식으로 구성될 수도 있다. 일반적으로, 시스템 제어 로직은 하드웨어 및/또는 소프트웨어로 설계되거나 구성될 수 있다. 드라이브 회로를 제어하기 위한 인스트럭션들이 하드코딩되거나 소프트웨어로 제공될 수도 있다. 인스트럭션들은 “프로그래밍”에 의해 제공될 수도 있다. 이러한 프로그래밍은 디지털 신호 프로세서들 내의 하드코딩된 로직, ASIC (application specific integrated circuits), 및 하드웨어로 구현된 구체적인 알고리즘들을 갖는 다른 디바이스들을 포함하는 임의의 형태의 로직을 포함하는 것으로 이해된다. 프로그래밍은 또한 범용 프로세서 상에서 실행될 수도 있는 소프트웨어 또는 펌웨어 인스트럭션들을 포함하는 것으로 이해된다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다. 대안적으로, 제어 로직은 제어기 내에 하드코딩될 수도 있다. ASICs (Applications Specific Integrated Circuits), 프로그래밍가능한 로직 디바이스들 (예를 들어, field-programmable gate arrays, 또는 FPGAs) 등이 이들 목적들을 위해 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 그 위치에서 기능적으로 비슷한 하드코딩된 로직이 사용될 수도 있다.
증착 및 프로세스 시퀀스의 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 관례적인 컴퓨터 판독가능한 프로그래밍 언어: 예를 들어, 어셈블리 언어, C, C++, Pascal, Fortran 또는 기타로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램에서 식별된 태스크들을 수행하도록 프로세서에 의해서 실행된다.
제어기 파라미터들은 예를 들어, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, RF 전력 레벨들 및 저주파수 RF 주파수와 같은 플라즈마 조건들, 냉각 가스 압력, 및 챔버 벽 온도들과 같은 프로세스 조건들과 관련된다. 이들 파라미터들은 레시피의 형태로 사용자에게 제공되고, 사용자 인터페이스를 활용하여 입력될 수도 있다.
프로세스를 모니터링하기 위한 신호들이 시스템 제어기의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해서 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 디포지션 장치의 아날로그 출력 접속부 및 디지털 출력 접속부 상에 출력된다.
시스템 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들은 본 발명의 증착 프로세스들을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 히터 제어 코드, 및 플라즈마 제어 코드를 포함한다.
기판 포지셔닝 프로그램은 페데스탈 또는 척 상에 기판을 로딩하고 가스 유입부 및/또는 타깃과 같은 챔버의 다른 부분들과 기판 사이의 간격을 제어하도록 사용된 챔버 컴포넌트들을 제어하기 위한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하고 선택가능하게 챔버 내의 압력을 안정화하기 위해 증착 전에 챔버 내로 가스를 유동시키기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 챔버의 배기 시스템 내의 쓰로틀 밸브를 조정함으로써 챔버 내의 압력을 제어하기 위한 코드를 포함할 수도 있다. 히터 제어 프로그램은 기판을 가열하기 위해 사용된 히팅 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 헬륨과 같은 열 전달 가스의 웨이퍼 척으로의 전달을 제어할 수도 있다.
증착 동안 모니터링될 수도 있는 챔버 센서들의 예들은 질량 유량 제어기들, 압력계들과 같은 압력 센서들, 및 페데스탈 또는 척 내에 위치된 써모커플들을 포함할 수도 있다. 적절하게 프로그램된 피드백 및 제어 알고리즘들은 목표된 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터와 함께 사용될 수도 있다. 전술한 바는 단일-챔버 또는 멀티-챔버 반도체 프로세싱 툴에서 본 발명의 실시예들의 구현예를 기술한다.
전술한 바는 단일-챔버 또는 멀티-챔버 반도체 프로세싱 툴에서 개시된 실시예들의 구현예를 기술한다. 본 명세서에 기술된 장치 및 프로세스는, 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들, 등의 제조 또는 제작을 위해, 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비 내에서 사용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 통상적으로, 단계 각각이 다수의 가능한 툴들을 사용하여 제공되는 이하의 단계들: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 단계; (2) 핫 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 그 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계의 일부 또는 전부를 포함한다.
결론
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시예들은 예시적이고 제한하지 않는 것으로 간주되고, 실시예들은 본 명세서에 제공된 상세들로 제한되지 않는다.

Claims (19)

  1. 기판 상에 텅스텐을 증착하는 방법에 있어서,
    상기 방법은,
    환원제를 펄싱하는 단계로서, 상기 환원제는 붕소 (B) 함유 환원제, 실리콘 (Si) 함유 환원제 또는 게르마늄 (Ge) 함유 환원제인, 상기 환원제를 펄싱하는 단계; 및
    텅스텐 클로라이드 전구체를 펄싱하는 단계를 포함하고,
    상기 텅스텐 클로라이드 전구체는 상기 기판 상에 B, Si, 및 Ge 중 하나 이상을 포함하는 멀티-컴포넌트 텅스텐 함유 막을 형성하도록 상기 환원제 또는 이의 생성물에 의해 환원되는, 기판 상에 텅스텐을 증착하는 방법.
  2. 제 1 항에 있어서,
    3차 텅스텐 함유 막을 형성하도록 제 3 반응물질을 펄싱하는 단계를 더 포함하는, 기판 상에 텅스텐을 증착하는 방법.
  3. 제 1 항에 있어서,
    상기 멀티-컴포넌트 막은 2차 막인, 기판 상에 텅스텐을 증착하는 방법.
  4. 제 1 항에 있어서,
    상기 멀티-컴포넌트 텅스텐 함유 막은 워드라인 (wordline) 에 대한 확산 배리어인, 기판 상에 텅스텐을 증착하는 방법.
  5. 제 1 항에 있어서,
    상기 멀티-컴포넌트 텅스텐 함유 막은 금속 게이트에 대한 일함수층인, 기판 상에 텅스텐을 증착하는 방법.
  6. 제 1 항에 있어서,
    상기 텅스텐 클로라이드 펄스 동안 상기 기판 온도는 적어도 400 ℃인, 기판 상에 텅스텐을 증착하는 방법.
  7. 제 1 항에 있어서,
    상기 텅스텐 클로라이드 펄스 동안 상기 기판 온도는 적어도 450 ℃인, 기판 상에 텅스텐을 증착하는 방법.
  8. 제 1 항에 있어서,
    상기 텅스텐 클로라이드 펄스 동안 상기 기판 온도는 적어도 500 ℃인, 기판 상에 텅스텐을 증착하는 방법.
  9. 제 1 항에 있어서,
    상기 환원제 펄싱 및 상기 텅스텐 클로라이드 펄싱 동안 상기 기판 온도는 적어도 400 ℃인, 기판 상에 텅스텐을 증착하는 방법.
  10. 제 1 항에 있어서,
    상기 환원제 펄싱 및 상기 텅스텐 클로라이드 펄싱 동안 상기 기판 온도는 적어도 450 ℃인, 기판 상에 텅스텐을 증착하는 방법.
  11. 제 1 항에 있어서,
    상기 환원제 펄싱 및 상기 텅스텐 클로라이드 펄싱 동안 상기 기판 온도는 적어도 500 ℃인, 기판 상에 텅스텐을 증착하는 방법.
  12. 제 1 항에 있어서,
    상기 멀티-컴포넌트 텅스텐 함유 막 상에 벌크 텅스텐 (W) 층을 증착하는 단계를 더 포함하는, 기판 상에 텅스텐을 증착하는 방법.
  13. 제 12 항에 있어서,
    상기 벌크 W 층은 상기 텅스텐 클로라이드 전구체와 상기 환원제 간의 CVD (chemical vapor deposition) 반응에 의해 증착되는, 기판 상에 텅스텐을 증착하는 방법.
  14. 제 12 항에 있어서,
    상기 벌크 W 층은 중간층 없이 상기 멀티-컴포넌트 텅스텐 함유 막 바로 위에 증착되는, 기판 상에 텅스텐을 증착하는 방법.
  15. 제 1 항에 있어서,
    상기 멀티-컴포넌트 텅스텐 함유 막은 절연막 바로 위에 증착되는, 기판 상에 텅스텐을 증착하는 방법.
  16. 제 15 항에 있어서,
    상기 절연막은 옥사이드 막 또는 나이트라이드 막인, 기판 상에 텅스텐을 증착하는 방법.
  17. 제 1 항에 있어서,
    상기 방법은 상기 기판 상에 B, Si, 또는 Ge 층을 형성하기 위해 상기 환원제의 분해를 더 포함하는, 기판 상에 텅스텐을 증착하는 방법.
  18. B, Si, 및 Ge 중 하나 이상을 포함하는 멀티-컴포넌트 텅스텐 함유 막의 라이너층; 및
    텅스텐 워드라인을 포함하는, 메모리 구조체.
  19. B, Si, 및 Ge 중 하나 이상을 포함하는 멀티-컴포넌트 텅스텐 함유 막의 일함수층; 및
    금속 게이트를 포함하는, 메모리 구조체.
KR1020160015652A 2015-02-11 2016-02-11 워드라인에 대한 텅스텐 적용들 KR20160098986A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230191607A KR20240005648A (ko) 2015-02-11 2023-12-26 워드라인에 대한 텅스텐 적용들

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562115012P 2015-02-11 2015-02-11
US62/115,012 2015-02-11

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230191607A Division KR20240005648A (ko) 2015-02-11 2023-12-26 워드라인에 대한 텅스텐 적용들

Publications (1)

Publication Number Publication Date
KR20160098986A true KR20160098986A (ko) 2016-08-19

Family

ID=56566178

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020160015652A KR20160098986A (ko) 2015-02-11 2016-02-11 워드라인에 대한 텅스텐 적용들
KR1020230191607A KR20240005648A (ko) 2015-02-11 2023-12-26 워드라인에 대한 텅스텐 적용들

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020230191607A KR20240005648A (ko) 2015-02-11 2023-12-26 워드라인에 대한 텅스텐 적용들

Country Status (4)

Country Link
US (2) US9953984B2 (ko)
KR (2) KR20160098986A (ko)
CN (1) CN105870119B (ko)
TW (1) TWI704251B (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020106649A1 (en) * 2018-11-19 2020-05-28 Lam Research Corporation Molybdenum templates for tungsten
US10777453B2 (en) 2017-04-10 2020-09-15 Lam Research Corporation Low resistivity films containing molybdenum
US11355345B2 (en) 2016-08-16 2022-06-07 Lam Research Corporation Method for preventing line bending during metal fill process
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US11821071B2 (en) 2019-03-11 2023-11-21 Lam Research Corporation Precursors for deposition of molybdenum-containing films
US11970776B2 (en) 2019-01-28 2024-04-30 Lam Research Corporation Atomic layer deposition of metal films

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
WO2013148880A1 (en) 2012-03-27 2013-10-03 Novellus Systems, Inc. Tungsten feature fill
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
JP6710089B2 (ja) * 2016-04-04 2020-06-17 東京エレクトロン株式会社 タングステン膜の成膜方法
US10269569B2 (en) 2016-11-29 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
KR20180063947A (ko) * 2016-12-02 2018-06-14 삼성전자주식회사 반도체 메모리 소자
KR102535437B1 (ko) * 2017-01-25 2023-05-24 우미코레 아게 운트 코 카게 금속 할로겐화물의 환원 방법
US10283404B2 (en) 2017-03-30 2019-05-07 Lam Research Corporation Selective deposition of WCN barrier/adhesion layer for interconnect
US10460987B2 (en) * 2017-05-09 2019-10-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package device with integrated antenna and manufacturing method thereof
CN108962891B (zh) 2017-05-18 2019-11-19 联华电子股份有限公司 用以避免列锤击问题的半导体结构及其制作方法
CN108962892B (zh) * 2017-05-26 2021-02-26 联华电子股份有限公司 半导体元件及其制作方法
US10199267B2 (en) * 2017-06-30 2019-02-05 Lam Research Corporation Tungsten nitride barrier layer deposition
JP2020047706A (ja) * 2018-09-18 2020-03-26 キオクシア株式会社 半導体装置およびその製造方法
JP2022513479A (ja) 2018-12-14 2022-02-08 ラム リサーチ コーポレーション 3d nand構造上の原子層堆積
US10847367B2 (en) 2018-12-28 2020-11-24 Micron Technology, Inc. Methods of forming tungsten structures
WO2021030836A1 (en) * 2019-08-12 2021-02-18 Lam Research Corporation Tungsten deposition
US11244903B2 (en) * 2019-12-30 2022-02-08 Micron Technology, Inc. Tungsten structures and methods of forming the structures
JP2022045700A (ja) * 2020-09-09 2022-03-22 キオクシア株式会社 半導体装置およびその製造方法
US11676861B1 (en) * 2022-01-19 2023-06-13 Nanya Technology Corporation Method for fabricating semiconductor device
TWI817445B (zh) * 2022-01-19 2023-10-01 南亞科技股份有限公司 導電特徵及半導體元件的製備方法

Family Cites Families (266)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
JPS5629648A (en) 1979-08-16 1981-03-25 Toshiba Tungaloy Co Ltd High hardness sintered body
JPS61224313A (ja) 1985-03-29 1986-10-06 Hitachi Ltd 気相薄膜成長方法
JPS62216224A (ja) 1986-03-17 1987-09-22 Fujitsu Ltd タングステンの選択成長方法
JPS62260340A (ja) 1986-05-06 1987-11-12 Toshiba Corp 半導体装置の製造方法
US4746375A (en) 1987-05-08 1988-05-24 General Electric Company Activation of refractory metal surfaces for electroless plating
US4962063A (en) 1988-11-10 1990-10-09 Applied Materials, Inc. Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing
JPH02187031A (ja) 1989-01-14 1990-07-23 Sharp Corp 半導体装置
US5250329A (en) 1989-04-06 1993-10-05 Microelectronics And Computer Technology Corporation Method of depositing conductive lines on a dielectric
GB8907898D0 (en) 1989-04-07 1989-05-24 Inmos Ltd Semiconductor devices and fabrication thereof
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
DE69033760T2 (de) 1990-01-08 2001-10-25 Lsi Logic Corp Struktur zum Filtern von Prozessgasen zum Einsatz in einer Kammer für chemische Dampfabscheidung
KR100209856B1 (ko) 1990-08-31 1999-07-15 가나이 쓰도무 반도체장치의 제조방법
JPH04142061A (ja) 1990-10-02 1992-05-15 Sony Corp タングステンプラグの形成方法
US5250467A (en) 1991-03-29 1993-10-05 Applied Materials, Inc. Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
US5567583A (en) 1991-12-16 1996-10-22 Biotronics Corporation Methods for reducing non-specific priming in DNA detection
JPH05226280A (ja) 1992-02-14 1993-09-03 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5326723A (en) 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
KR950012738B1 (ko) 1992-12-10 1995-10-20 현대전자산업주식회사 반도체소자의 텅스텐 콘택 플러그 제조방법
JP3014019B2 (ja) 1993-11-26 2000-02-28 日本電気株式会社 半導体装置の製造方法
KR970009867B1 (ko) 1993-12-17 1997-06-18 현대전자산업 주식회사 반도체 소자의 텅스텐 실리사이드 형성방법
JP3291889B2 (ja) 1994-02-15 2002-06-17 ソニー株式会社 ドライエッチング方法
DE69518710T2 (de) 1994-09-27 2001-05-23 Applied Materials Inc Verfahren zum Behandeln eines Substrats in einer Vakuumbehandlungskammer
JPH08115984A (ja) 1994-10-17 1996-05-07 Hitachi Ltd 半導体装置及びその製造方法
US6001729A (en) 1995-01-10 1999-12-14 Kawasaki Steel Corporation Method of forming wiring structure for semiconductor device
JP2737764B2 (ja) 1995-03-03 1998-04-08 日本電気株式会社 半導体装置及びその製造方法
JPH0922896A (ja) 1995-07-07 1997-01-21 Toshiba Corp 金属膜の選択的形成方法
JPH0927596A (ja) 1995-07-11 1997-01-28 Sanyo Electric Co Ltd 半導体装置の製造方法
US5863819A (en) 1995-10-25 1999-01-26 Micron Technology, Inc. Method of fabricating a DRAM access transistor with dual gate oxide technique
TW310461B (ko) 1995-11-10 1997-07-11 Matsushita Electric Ind Co Ltd
US6017818A (en) 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
US5833817A (en) 1996-04-22 1998-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving conformity and contact bottom coverage of sputtered titanium nitride barrier layers
US5633200A (en) 1996-05-24 1997-05-27 Micron Technology, Inc. Process for manufacturing a large grain tungsten nitride film and process for manufacturing a lightly nitrided titanium salicide diffusion barrier with a large grain tungsten nitride cover layer
US5963833A (en) 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
TW314654B (en) * 1996-09-07 1997-09-01 United Microelectronics Corp Manufacturing method of conductive plug
US5916634A (en) 1996-10-01 1999-06-29 Sandia Corporation Chemical vapor deposition of W-Si-N and W-B-N
KR100214852B1 (ko) 1996-11-02 1999-08-02 김영환 반도체 디바이스의 금속 배선 형성 방법
US6310300B1 (en) 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
CN1115723C (zh) 1996-11-15 2003-07-23 三星电子株式会社 氮化钨层制造方法及使用同样原理的金属连线制造方法
KR100255516B1 (ko) 1996-11-28 2000-05-01 김영환 반도체 장치의 금속배선 및 그 형성방법
US6297152B1 (en) 1996-12-12 2001-10-02 Applied Materials, Inc. CVD process for DCS-based tungsten silicide
JP3090074B2 (ja) 1997-01-20 2000-09-18 日本電気株式会社 半導体装置及びその製造方法
US5804249A (en) 1997-02-07 1998-09-08 Lsi Logic Corporation Multistep tungsten CVD process with amorphization step
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6037248A (en) 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
US6162715A (en) 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US5956609A (en) 1997-08-11 1999-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing stress and improving step-coverage of tungsten interconnects and plugs
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US5795824A (en) 1997-08-28 1998-08-18 Novellus Systems, Inc. Method for nucleation of CVD tungsten films
US5926720A (en) 1997-09-08 1999-07-20 Lsi Logic Corporation Consistent alignment mark profiles on semiconductor wafers using PVD shadowing
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
KR100272523B1 (ko) 1998-01-26 2000-12-01 김영환 반도체소자의배선형성방법
US6284316B1 (en) 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
JPH11260759A (ja) 1998-03-12 1999-09-24 Fujitsu Ltd 半導体装置の製造方法
US6452276B1 (en) 1998-04-30 2002-09-17 International Business Machines Corporation Ultra thin, single phase, diffusion barrier for metal conductors
US6066366A (en) 1998-07-22 2000-05-23 Applied Materials, Inc. Method for depositing uniform tungsten layers by CVD
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100273767B1 (ko) 1998-10-28 2001-01-15 윤종용 반도체소자의 텅스텐막 제조방법 및 그에 따라 제조되는 반도체소자
US6037263A (en) 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
US6331483B1 (en) 1998-12-18 2001-12-18 Tokyo Electron Limited Method of film-forming of tungsten
KR100296126B1 (ko) 1998-12-22 2001-08-07 박종섭 고집적 메모리 소자의 게이트전극 형성방법
US20010014533A1 (en) 1999-01-08 2001-08-16 Shih-Wei Sun Method of fabricating salicide
JP3206578B2 (ja) 1999-01-11 2001-09-10 日本電気株式会社 多層配線構造をもつ半導体装置の製造方法
JP4570704B2 (ja) 1999-02-17 2010-10-27 株式会社アルバック バリア膜製造方法
US6306211B1 (en) 1999-03-23 2001-10-23 Matsushita Electric Industrial Co., Ltd. Method for growing semiconductor film and method for fabricating semiconductor device
TW452607B (en) 1999-03-26 2001-09-01 Nat Science Council Production of a refractory metal by chemical vapor deposition of a bilayer-stacked tungsten metal
US6245654B1 (en) 1999-03-31 2001-06-12 Taiwan Semiconductor Manufacturing Company, Ltd Method for preventing tungsten contact/via plug loss after a backside pressure fault
US6294468B1 (en) 1999-05-24 2001-09-25 Agere Systems Guardian Corp. Method of chemical vapor depositing tungsten films
US6720261B1 (en) 1999-06-02 2004-04-13 Agere Systems Inc. Method and system for eliminating extrusions in semiconductor vias
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
US6309964B1 (en) 1999-07-08 2001-10-30 Taiwan Semiconductor Manufacturing Company Method for forming a copper damascene structure over tungsten plugs with improved adhesion, oxidation resistance, and diffusion barrier properties using nitridation of the tungsten plug
US6265312B1 (en) 1999-08-02 2001-07-24 Stmicroelectronics, Inc. Method for depositing an integrated circuit tungsten film stack that includes a post-nucleation pump down step
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6358788B1 (en) * 1999-08-30 2002-03-19 Micron Technology, Inc. Method of fabricating a wordline in a memory array of a semiconductor device
US6309966B1 (en) 1999-09-03 2001-10-30 Motorola, Inc. Apparatus and method of a low pressure, two-step nucleation tungsten deposition
US6303480B1 (en) 1999-09-13 2001-10-16 Applied Materials, Inc. Silicon layer to improve plug filling by CVD
US6610151B1 (en) 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US6924226B2 (en) 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
WO2001029893A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
KR100330163B1 (ko) 2000-01-06 2002-03-28 윤종용 반도체 장치의 텅스텐 콘택 플러그 형성 방법
US6277744B1 (en) 2000-01-21 2001-08-21 Advanced Micro Devices, Inc. Two-level silane nucleation for blanket tungsten deposition
US6777331B2 (en) 2000-03-07 2004-08-17 Simplus Systems Corporation Multilayered copper structure for improving adhesion property
US6429126B1 (en) 2000-03-29 2002-08-06 Applied Materials, Inc. Reduced fluorine contamination for tungsten CVD
WO2001089017A1 (en) 2000-05-18 2001-11-22 Corning Incorporated High performance solid electrolyte fuel cells
JP3651360B2 (ja) 2000-05-19 2005-05-25 株式会社村田製作所 電極膜の形成方法
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
JP2002016066A (ja) 2000-06-27 2002-01-18 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6491978B1 (en) 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
US6218301B1 (en) 2000-07-31 2001-04-17 Applied Materials, Inc. Deposition of tungsten films from W(CO)6
US20030190424A1 (en) 2000-10-20 2003-10-09 Ofer Sneh Process for tungsten silicide atomic layer deposition
US6740591B1 (en) 2000-11-16 2004-05-25 Intel Corporation Slurry and method for chemical mechanical polishing of copper
WO2002041379A1 (en) 2000-11-17 2002-05-23 Tokyo Electron Limited Method of forming metal wiring and semiconductor manufacturing apparatus for forming metal wiring
KR100375230B1 (ko) 2000-12-20 2003-03-08 삼성전자주식회사 매끄러운 텅스텐 표면을 갖는 반도체 장치의 배선 제조방법
US6908848B2 (en) 2000-12-20 2005-06-21 Samsung Electronics, Co., Ltd. Method for forming an electrical interconnection providing improved surface morphology of tungsten
KR100399417B1 (ko) 2001-01-08 2003-09-26 삼성전자주식회사 반도체 집적 회로의 제조 방법
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
KR20020072996A (ko) 2001-03-14 2002-09-19 주성엔지니어링(주) 금속 플러그 형성방법
US20020190379A1 (en) 2001-03-28 2002-12-19 Applied Materials, Inc. W-CVD with fluorine-free tungsten nucleation
US20020168840A1 (en) 2001-05-11 2002-11-14 Applied Materials, Inc. Deposition of tungsten silicide films
US7262125B2 (en) * 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US6686278B2 (en) 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
TW581822B (en) 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
JP4032872B2 (ja) 2001-08-14 2008-01-16 東京エレクトロン株式会社 タングステン膜の形成方法
JP4595989B2 (ja) 2001-08-24 2010-12-08 東京エレクトロン株式会社 成膜方法
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
JP2003142484A (ja) 2001-10-31 2003-05-16 Mitsubishi Electric Corp 半導体装置の製造方法
US6566262B1 (en) 2001-11-01 2003-05-20 Lsi Logic Corporation Method for creating self-aligned alloy capping layers for copper interconnect structures
TWI253478B (en) 2001-11-14 2006-04-21 Mitsubishi Heavy Ind Ltd Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US20030091870A1 (en) 2001-11-15 2003-05-15 Siddhartha Bhowmik Method of forming a liner for tungsten plugs
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6566250B1 (en) 2002-03-18 2003-05-20 Taiwant Semiconductor Manufacturing Co., Ltd Method for forming a self aligned capping layer
US6797620B2 (en) 2002-04-16 2004-09-28 Applied Materials, Inc. Method and apparatus for improved electroplating fill of an aperture
KR100446300B1 (ko) 2002-05-30 2004-08-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US6905543B1 (en) 2002-06-19 2005-06-14 Novellus Systems, Inc Methods of forming tungsten nucleation layer
US20040020278A1 (en) * 2002-07-31 2004-02-05 Mcgarvey Gordon Bryce Erosion monitoring of ceramic insulation or shield with wide area electrical grids
TWI287559B (en) 2002-08-22 2007-10-01 Konica Corp Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film
US6706625B1 (en) 2002-12-06 2004-03-16 Chartered Semiconductor Manufacturing Ltd. Copper recess formation using chemical process for fabricating barrier cap for lines and vias
US6962873B1 (en) 2002-12-10 2005-11-08 Novellus Systems, Inc. Nitridation of electrolessly deposited cobalt
JP2006515535A (ja) 2002-12-23 2006-06-01 アプライド シン フィルムズ,インコーポレイティッド リン酸アルミニウムコーティング
KR101035221B1 (ko) 2002-12-27 2011-05-18 가부시키가이샤 알박 질화 텅스텐막의 형성 방법
JP2004235456A (ja) 2003-01-30 2004-08-19 Seiko Epson Corp 成膜装置、成膜方法および半導体装置の製造方法
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
KR20060079144A (ko) 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
JP2005029821A (ja) 2003-07-09 2005-02-03 Tokyo Electron Ltd 成膜方法
US7754604B2 (en) 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
JP4606006B2 (ja) 2003-09-11 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7078341B2 (en) 2003-09-30 2006-07-18 Tokyo Electron Limited Method of depositing metal layers from metal-carbonyl precursors
US6924223B2 (en) 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
KR100557626B1 (ko) 2003-12-23 2006-03-10 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
US20050139838A1 (en) 2003-12-26 2005-06-30 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacturing semiconductor device
KR101108304B1 (ko) 2004-02-26 2012-01-25 노벨러스 시스템즈, 인코포레이티드 질화 텅스텐의 증착
JP4974676B2 (ja) 2004-04-12 2012-07-11 株式会社アルバック バリア膜の形成方法
US8087966B2 (en) 2004-04-21 2012-01-03 Koninklijke Philips Electronics N.V. Method for the thermal treatment of tungsten electrodes free from thorium oxide for high-pressure discharge lamps
US7605469B2 (en) 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060145190A1 (en) 2004-12-31 2006-07-06 Salzman David B Surface passivation for III-V compound semiconductors
KR100642750B1 (ko) 2005-01-31 2006-11-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7344983B2 (en) 2005-03-18 2008-03-18 International Business Machines Corporation Clustered surface preparation for silicide and metal contacts
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
JP4738178B2 (ja) 2005-06-17 2011-08-03 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4945937B2 (ja) 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
JP4864368B2 (ja) 2005-07-21 2012-02-01 シャープ株式会社 気相堆積方法
US7517798B2 (en) 2005-09-01 2009-04-14 Micron Technology, Inc. Methods for forming through-wafer interconnects and structures resulting therefrom
US7235485B2 (en) 2005-10-14 2007-06-26 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7524765B2 (en) 2005-11-02 2009-04-28 Intel Corporation Direct tailoring of the composition and density of ALD films
US7276796B1 (en) 2006-03-15 2007-10-02 International Business Machines Corporation Formation of oxidation-resistant seed layer for interconnect applications
JP2007250907A (ja) 2006-03-16 2007-09-27 Renesas Technology Corp 半導体装置およびその製造方法
US8258057B2 (en) 2006-03-30 2012-09-04 Intel Corporation Copper-filled trench contact for transistor performance improvement
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US7828504B2 (en) 2006-05-12 2010-11-09 Axcellis Technologies, Inc. Combination load lock for handling workpieces
US7557047B2 (en) 2006-06-09 2009-07-07 Micron Technology, Inc. Method of forming a layer of material using an atomic layer deposition process
KR100884339B1 (ko) 2006-06-29 2009-02-18 주식회사 하이닉스반도체 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법
KR100705936B1 (ko) 2006-06-30 2007-04-13 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성방법
US7355254B2 (en) 2006-06-30 2008-04-08 Intel Corporation Pinning layer for low resistivity N-type source drain ohmic contacts
US8153831B2 (en) 2006-09-28 2012-04-10 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
KR100894769B1 (ko) 2006-09-29 2009-04-24 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성방법
KR100881391B1 (ko) 2006-09-29 2009-02-05 주식회사 하이닉스반도체 반도체 소자의 게이트 형성방법
KR20080036679A (ko) 2006-10-24 2008-04-29 삼성전자주식회사 불 휘발성 메모리 소자의 형성 방법
US7675119B2 (en) 2006-12-25 2010-03-09 Elpida Memory, Inc. Semiconductor device and manufacturing method thereof
US20080254619A1 (en) 2007-04-14 2008-10-16 Tsang-Jung Lin Method of fabricating a semiconductor device
TWI493058B (zh) 2007-05-15 2015-07-21 Applied Materials Inc 鎢材料的原子層沈積法
JP2008288289A (ja) 2007-05-16 2008-11-27 Oki Electric Ind Co Ltd 電界効果トランジスタとその製造方法
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
KR101225642B1 (ko) 2007-11-15 2013-01-24 삼성전자주식회사 H2 원격 플라즈마 처리를 이용한 반도체 소자의 콘택플러그 형성방법
KR101564473B1 (ko) 2007-11-21 2015-10-29 램 리써치 코포레이션 텅스턴 함유층에 대한 에칭 마이크로로딩을 제어하는 방법
US8609546B2 (en) 2007-11-29 2013-12-17 Lam Research Corporation Pulsed bias plasma process to control microloading
US8080324B2 (en) 2007-12-03 2011-12-20 Kobe Steel, Ltd. Hard coating excellent in sliding property and method for forming same
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
KR101163825B1 (ko) 2008-03-28 2012-07-09 도쿄엘렉트론가부시키가이샤 정전척 및 그 제조 방법
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8385644B2 (en) 2008-07-08 2013-02-26 Zeitera, Llc Digital video fingerprinting based on resultant weighted gradient orientation computation
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US20100072623A1 (en) 2008-09-19 2010-03-25 Advanced Micro Devices, Inc. Semiconductor device with improved contact plugs, and related fabrication methods
JP2010093116A (ja) 2008-10-09 2010-04-22 Panasonic Corp 半導体装置及び半導体装置の製造方法
US20100120245A1 (en) * 2008-11-07 2010-05-13 Agus Sofian Tjandra Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films
US7825024B2 (en) 2008-11-25 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming through-silicon vias
US7964502B2 (en) 2008-11-25 2011-06-21 Freescale Semiconductor, Inc. Multilayered through via
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US8110877B2 (en) 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
CN102265383B (zh) 2008-12-31 2014-06-11 应用材料公司 用于沉积具有降低电阻率及改良表面形态的钨膜的方法
KR101559425B1 (ko) 2009-01-16 2015-10-13 삼성전자주식회사 반도체 소자의 제조 방법
JP5550843B2 (ja) 2009-03-19 2014-07-16 ラピスセミコンダクタ株式会社 半導体装置の製造方法
DE102009015747B4 (de) 2009-03-31 2013-08-08 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US8039394B2 (en) 2009-06-26 2011-10-18 Seagate Technology Llc Methods of forming layers of alpha-tantalum
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8119527B1 (en) 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8207062B2 (en) 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
WO2011062560A1 (en) 2009-11-19 2011-05-26 National University Of Singapore Method for producing t cell receptor-like monoclonal antibodies and uses thereof
DE102009055392B4 (de) 2009-12-30 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US20120003833A1 (en) 2010-07-01 2012-01-05 Applied Materials, Inc. Methods for forming tungsten-containing layers
US8778797B2 (en) 2010-09-27 2014-07-15 Novellus Systems, Inc. Systems and methods for selective tungsten deposition in vias
US20120199887A1 (en) 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties
US20120225191A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US8865594B2 (en) 2011-03-10 2014-10-21 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8546250B2 (en) 2011-08-18 2013-10-01 Wafertech Llc Method of fabricating vertical integrated semiconductor device with multiple continuous single crystal silicon layers vertically separated from one another
US8916435B2 (en) 2011-09-09 2014-12-23 International Business Machines Corporation Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory
WO2013063260A1 (en) 2011-10-28 2013-05-02 Applied Materials, Inc. High temperature tungsten metallization process
SG11201403033YA (en) 2011-12-12 2014-09-26 Novellus Systems Inc Monitoring leveler concentrations in electroplating solutions
WO2013148880A1 (en) 2012-03-27 2013-10-03 Novellus Systems, Inc. Tungsten feature fill
JP6195898B2 (ja) * 2012-03-27 2017-09-13 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 核形成の抑制を伴うタングステンによるフィーチャ充填
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US9969622B2 (en) * 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
KR101990051B1 (ko) 2012-08-31 2019-10-01 에스케이하이닉스 주식회사 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법
KR20140028992A (ko) 2012-08-31 2014-03-10 에스케이하이닉스 주식회사 텅스텐 게이트전극을 구비한 반도체장치 및 그 제조 방법
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
WO2014052642A1 (en) 2012-09-28 2014-04-03 Advanced Technology Materials, Inc. Fluorine free tungsten ald/cvd process
US9169556B2 (en) 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US8975142B2 (en) 2013-04-25 2015-03-10 Globalfoundries Inc. FinFET channel stress using tungsten contacts in raised epitaxial source and drain
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
KR102291990B1 (ko) 2013-08-16 2021-08-19 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 육플루오르화물(wf6) 에치백을 이용하여 텅스텐 막을 증착하기 위한 방법
JP6594304B2 (ja) 2013-10-18 2019-10-23 ブルックス オートメーション インコーポレイテッド 処理装置
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
JP6297884B2 (ja) 2014-03-28 2018-03-20 東京エレクトロン株式会社 タングステン膜の成膜方法
US9595470B2 (en) 2014-05-09 2017-03-14 Lam Research Corporation Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
US20150348840A1 (en) 2014-05-31 2015-12-03 Lam Research Corporation Methods of filling high aspect ratio features with fluorine free tungsten
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
TW201700761A (zh) 2015-05-13 2017-01-01 應用材料股份有限公司 經由基材的有機金屬或矽烷預處理而改良的鎢膜
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11355345B2 (en) 2016-08-16 2022-06-07 Lam Research Corporation Method for preventing line bending during metal fill process
US10777453B2 (en) 2017-04-10 2020-09-15 Lam Research Corporation Low resistivity films containing molybdenum
KR20220110343A (ko) * 2017-04-10 2022-08-05 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
WO2020106649A1 (en) * 2018-11-19 2020-05-28 Lam Research Corporation Molybdenum templates for tungsten
US11970776B2 (en) 2019-01-28 2024-04-30 Lam Research Corporation Atomic layer deposition of metal films
US11821071B2 (en) 2019-03-11 2023-11-21 Lam Research Corporation Precursors for deposition of molybdenum-containing films

Also Published As

Publication number Publication date
KR20240005648A (ko) 2024-01-12
CN105870119A (zh) 2016-08-17
US9953984B2 (en) 2018-04-24
US20180219014A1 (en) 2018-08-02
US20160233220A1 (en) 2016-08-11
CN105870119B (zh) 2019-07-19
US10529722B2 (en) 2020-01-07
TWI704251B (zh) 2020-09-11
TW201700773A (zh) 2017-01-01

Similar Documents

Publication Publication Date Title
US10529722B2 (en) Tungsten for wordline applications
KR102466639B1 (ko) 몰리브덴을 함유하는 저 저항률 막들
US20230290680A1 (en) Self-limiting growth
KR102515236B1 (ko) 저 저항 텅스텐 피처 충진을 가능하게 하는 텅스텐 핵생성 프로세스
US9613818B2 (en) Deposition of low fluorine tungsten by sequential CVD process
KR102397797B1 (ko) 순차적인 cvd 프로세스에 의한 저 불소 텅스텐의 증착
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
TWI605146B (zh) 形成可伸展性鎢膜與可壓縮性鎢膜的方法
US9159571B2 (en) Tungsten deposition process using germanium-containing reducing agent
KR20220082023A (ko) 몰리브덴 충진
KR20150128615A (ko) 텅스텐 클로라이드 전구체를 사용하여 텅스텐 박막 및 텅스텐 나이트라이드 박막을 준비하는 방법들
US20220359211A1 (en) Molybdenum templates for tungsten
KR20210110886A (ko) 금속 막들의 증착
KR20210158419A (ko) 핵생성-프리 텅스텐 증착
KR20230104542A (ko) 텅스텐 저 저항 펄싱된 cvd

Legal Events

Date Code Title Description
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E90F Notification of reason for final refusal
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X601 Decision of rejection after re-examination