KR20140096253A - 작은 임계 치수의 컨택트 및 인터커넥트를 위한 초박 저저항률 텅스텐막 증착 방법 - Google Patents

작은 임계 치수의 컨택트 및 인터커넥트를 위한 초박 저저항률 텅스텐막 증착 방법 Download PDF

Info

Publication number
KR20140096253A
KR20140096253A KR1020140090283A KR20140090283A KR20140096253A KR 20140096253 A KR20140096253 A KR 20140096253A KR 1020140090283 A KR1020140090283 A KR 1020140090283A KR 20140090283 A KR20140090283 A KR 20140090283A KR 20140096253 A KR20140096253 A KR 20140096253A
Authority
KR
South Korea
Prior art keywords
tungsten
substrate
cvd
temperature
feature
Prior art date
Application number
KR1020140090283A
Other languages
English (en)
Other versions
KR101642917B1 (ko
Inventor
펭 첸
라아시나 후마윤
미첼 다넥
아난드 찬드라세카르
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20140096253A publication Critical patent/KR20140096253A/ko
Application granted granted Critical
Publication of KR101642917B1 publication Critical patent/KR101642917B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

고종횡비 피처의 공극이 없는 텅스텐 충전 방법이 제공된다. 다양한 구체예들에 따르면, 상기 방법은 텅스텐으로 피처를 충전하기 위하여 저하된 온도의 화학기상증착(CVD) 공정을 포함한다. 특정 구체예에서, 공정 온도는 피처를 충전하기 위하여 화학기상증착 동안 약 350℃ 미만에서 유지된다. 감소된-온도의 CVD 텅스텐 충전은 고종횡비 피처에서 개선된 텅스텐 충전을 제공하고, 아래의 층으로 플루오르가 이동하는 것에 대한 개선된 배리어를 제공하며, 표준 CVD 충전과 유사한 박막 저항률을 달성한다. 또한 저-저항률을 가지는 텅스텐 박막 증착 방법이 제공된다. 다양한 구체예들에 따르면, 상기 방법은 텅스텐 벌크층 증착 및/또는 저하된 온도의 CVD 공정에 이어 고온 CVD 공정을 통한 벌크층 증착에 앞서 증착된 핵형성층에 저하된 온도의 저저항률 처리를 수행하는 것을 포함한다.

Description

작은 임계 치수의 컨택트 및 인터커넥트를 위한 초박 저저항률 텅스텐막 증착 방법 {METHODS FOR DEPOSITING ULTRA THIN LOW RESISTIVITY TUNGSTEN FILM FOR SMALL CRITICAL DIMENSION CONTACTS AND INTERCONNECTS}
본 발명은 고종횡비 피처의 공극이 없는 텅스텐 충전 방법에 관한 것이다. 또한 본 발명은 저-저항률을 가지는 텅스텐 박막을 증착하는 방법에 관한 것이다.
화학기상증착(CVD) 기술을 사용하는 텅스텐막의 증착은 여러 반도체 제작 공정에서 필수적인 부분이다. 텅스텐막은 수평 인터커넥트 형태의 저저항률(low resistivity) 전기 커넥션, 인접 금속층 사이의 비아(via), 및 제1금속층과 실리콘 기판상의 디바이스(device) 사이의 컨택트(contact)로서 사용될 수 있다. 통상적인 텅스텐 증착 공정에서, 웨이퍼가 진공 챔버에서 공정 온도로 가열된 다음 종자층(seed layer) 또는 핵형성층(nucleation layer) 역할을 하는 매우 얇은 부분의 텅스텐막이 증착된다. 그 후에, 나머지 텅스텐막(벌크층)이 핵형성층에 증착된다. 통상적으로, 수소(H2)로 텅스텐 헥사플루오라이드(WF6)를 환원시켜, 성장하고 있는 텅스텐층에 텅스텐 벌크층이 형성된다.
반도체 디바이스가 32nm 기술노드(technology node) 및 그보다 작은 크기로 됨에 따라, 축소되는 컨택트 및 비아 치수가 텅스텐의 화학기상증착을 더욱 어렵게 만든다. 종횡비(aspect ratio) 증가는 디바이스 피처(feature) 내에 공극(void) 또는 큰 균열(seam)을 유발하여 마이크로프로세서 및 메모리 칩의 낮은 수율 및 저하된 성능을 초래할 수 있다. 국제 반도체기술 로드맵(International Technology Roadmap for Semiconductors, ITRS)은 32nm 적층 캐패시터(stacked capacitor) DRAM 컨택트가 20:1 초과의 종횡비를 가지도록 요구한다. 로직 컨택트는 비록 DRAM 컨택트 만큼 종횡비가 크지는 않더라도, 역시 종횡비가 10:1을 초과하도록 도전될 것이다. 이와 같은 큰 종횡비 피처에서의 공극이 없는 충전은 통상적인 CVD 텅스텐 증착 기술을 사용하여 해결하기 어렵다.
발명의 요약
본 발명의 한 양태는 고종횡비 피처의 공극이 없는 텅스텐 충전 방법에 관한 것이다. 다양한 구체예들에 따르면, 상기 방법은 피처를 텅스텐으로 충전하기 위하여 저하된 온도의 화학기상증착(CVD) 공정을 포함한다. 특정 구체예에서, 피처를 충전하기 위한 화학기상증착 동안 공정 온도가 약 350℃ 미만으로 유지된다. 저하된-온도의 CVD 텅스텐 충전은 고종횡비 피처에서 개선된 텅스텐 충전을 제공하고, 아래의 층으로 플루오르가 이동하는 것에 대하여 개선된 배리어를 제공하며, 표준 CVD 충전과 유사한 박막 저항률을 달성한다. 본 발명의 다른 양태는 저-저항률을 가지는 텅스텐 박막을 증착하는 방법에 관한 것이다. 다양한 구체예들에 따르면, 상기 방법은 텅스텐 벌크층 증착에 앞서 증착된 핵형성층에 저하된 온도의 저저항률 처리를 수행하는 것 및/또는 저하된 온도의 CVD 공정에 이어서 고온 CVD 공정을 통하여 벌크층을 증착하는 것을 포함한다.
발명의 상세한 설명
다음 기재에서, 텅스텐 박막 형성에 관한 본 발명의 완전한 이해를 돕기 위하여 여러 구체적 세부사항이 나타난다. 본 명세서에 나타나고 논의되는 특정 방법 및 구조물의 변경, 개조 또는 변형은 당업자에게 명백할 것이고, 본 발명의 범위 내에 있다.
텅스텐을 32nm 이하(sub-32nm) 기술로 확장하는 것은 메모리 및 로직 디바이스 모두에서 비아/컨택트 성능 및 신뢰도 유지에 중요하다. 디바이스 규모가 더 작은 기술노드로 축소됨에 따라 텅스텐 충전에 다양한 과제가 존재한다. 한 과제는 컨택트와 비아에서 더 얇은 막으로 인한 저항 증가를 방지하는 것이다. 피처가 더욱 작아짐에 따라, 텅스텐(W) 컨택트 또는 라인 저항이 더 얇은 W막에서의 산란 효과로 인하여 증가한다. 효율적인 텅스텐 증착 공정이 텅스텐 핵형성층을 필요로 하기는 하지만, 이러한 층은 전형적으로 벌크 텅스텐층보다 더 높은 전기 저항률을 가진다. 피처가 더욱 작아짐에 따라, 저저항률 텅스텐막이 집적회로 설계에서 전력 손실 및 과열을 최소화한다. 저항률이 높은 얇은 배리어 및 텅스텐 핵형성막은 더 작은 피처에서 더 큰 백분율을 차지한다.
도 1은 비아/컨택트 구조물(100)에서 핵형성막(110) 및 벌크 텅스텐 물질(120)로 채워진 부피를 나타낸다. 도 2는 12Å 및 50Å 핵형성막에 의하여 채워진 부피 백분율을 기술노드의 함수로 나타낸다. 핵형성층의 저항률이 벌크층의 저항률보다 높기 때문에 (ρ핵형성 > ρ벌크), 전체 저항을 가능한 한 낮게 유지하기 위하여 핵형성층의 두께가 최소화되어야 한다. 한편으로는 고품질 벌크 증착을 유지하기 위하여, 텅스텐 핵형성이 아래의 기판을 충분히 덮도록 두꺼워야 한다.
디바이스 규모가 더 작은 기술노드로 축소됨에 따른 텅스텐 플러그충전(plugfill)에서의 또 다른 과제는 단차 피복(step coverage)이다. 예를 들어 적층 캐패시터 DRAM 컨택트는 32nm 노드에서 20:1을 초과하는 피처의 고종횡비 텅스텐 충전을 필요로 한다. 로직 컨택트는 비록 DRAM 컨택트 만큼 종횡비가 크지는 않더라도, 더 작은 컨택트 개구(opening)가 종횡비 요건을 10:1 가까이로 증가시킴에 따라 여전히 과제를 가진다. 메모리 디바이스는 전형적으로 CVD TiCl4 기초의 Ti/TiN 라이너(liner)/배리어를 사용하고, 이들은 상당히 등각성이다(conformal). 그러나 로직 디바이스는 여전히PVD/MOCVD 기초 Ti/TiN 막에 의존하는데, 이는 요각(reentrant) 형태나 핀치 오프(pinch off)를 생성하는 큰 오버행(overhang)과 관련된 추가적인 단차 피복 과제를 발생시킨다. 라이너/배리어 막의 PVD 오버행은 작은 피처 충전에서 난점을 증대시킨다. 이는 핵형성막으로 피처를 충전하는 것 뿐만 아니라 궁극적으로 벌크 CVD 막으로 피처를 충전하는 것을 어렵게 만든다. 고종횡비 구조물의 치수와 조합으로 도입부 오버행은 이전의 기술노드에서 사용된 CVD 텅스텐 증착 공정을 사용하여 공극이 없는 플러그충전을 달성하는 것을 어렵게 하거나 불가능하게 한다.
다양한 구체예들에 따르면, 본 발명은 핵형성막 두께 감소 및 충전 공정의 단차 피복 개선을 포함하여, 큰 종횡비 및 라이너/배리어 단차 피복 제한을 극복하기 위한 텅스텐 충전 공정을 제공한다. 특정 구체예에서, 또한 충전 방법이 아래에 위치한 배리어/라이너층의 플루오르 공격에 대한 우수한 배리어 막을 제공한다.
도 3은 특정 구체예에 따른 충전을 제공하는 방법의 조업을 도해하는 공정 흐름도를 나타낸다. 공정은 기판에 형성된 고종횡비 피처를 가지는 기판 제공으로 시작한다 (302). 본 발명의 구체예가 고종횡비 피처로 한정되는 것은 아니지만, 본 명세서에 기재한 방법은 고종횡비 피처에서 우수하고 공극이 없는 충전 달성에 결정적이고, 이에 대하여 선행 기술노드에서 피처를 충전하기 위하여 사용된 CVD 공정은 적절한 충전을 제공하지 않는다. 다양한 구체예들에 따르면, 기판 피처는 적어도 10:1, 적어도 15:1, 적어도 20:1, 적어도 25:1 또는 적어도 30:1의 종횡비를 가진다. 또한 다양한 구체예들에 따르면, 피처 크기는 종횡비 대신에, 또는 종횡비에 추가하여 피처 개구 크기로 규정된다. 개구는 10 nm - 100 nm, 또는 10 nm - 50 nm의 폭일 수 있다. 예를 들어 특정 구체예에서, 상기 방법이 종횡비를 막론하고, 좁은 개구를 가지는 피처에서 유리하게 사용될 수 있다.
특정 구체예에서, 리세스된(recessed) 피처가 기판상의 유전층(dielectric layer) 내에 형성되고, 피처의 바닥이 아래의 금속층에 컨택트를 제공한다. 또한 특정 구체예에서, 피처가 측벽 및/또는 바닥에서 라이너/배리어층을 포함한다. 라이너층의 예에는 Ti/TiN, TiN 및 WN이 포함된다. 피처는 확산 배리어층 대신 또는 이에 추가하여, 접착층, 핵형성층, 이들의 조합과 같은 층, 또는 피처의 측벽과 바닥을 라이닝(lining)하는 임의의 다른 이용 가능한 물질을 포함할 수 있다.
특정 구체예에서, 피처는 요각(re-entrant) 피처이다; 다시 말해서, 라이너층 또는 다른 물질이 피처 개구를 부분적으로 차단하는 오버행을 형성한다. 많은 증착 공정이 우수한 단차 피복 특성을 가지지 않으므로, 즉, 더 많은 물질이 피처 내부보다는 필드 영역(field region) 및 개구 근처에 증착되므로, 라이너층은 예를 들어 피처 내부보다 개구 근처에서 더 두껍다. 이러한 기재의 목적으로, "개구 근처"는 필드 영역으로부터 측정된 약 0-10%의 피처 깊이에 상응하는 피처 내부의(즉, 피처의 측벽을 따른) 대략적 위치 또는 구역으로 정의된다. 특정 구체예에서, 개구 근처의 구역은 개구 구역에 상응한다. 또한, "피처 내부"는 피처 최상부의 필드 영역으로부터 측정된 피처 깊이의 약 20-60%에 상응하는 피처 내부의 대략적 위치 또는 영역으로 정의된다. 전형적으로, 특정 파라미터(예를 들어, 두께)에 대한 값이 "개구 근처" 또는 "피처 내부"로 명시될 경우, 이러한 값은 이러한 위치/구역 내에서 취한 한 측정치 또는 여러 측정치의 평균을 나타낸다. 특정 구체예에서, 개구 근처의 하층(under-layer)의 평균 두께가 피처 내부의 평균 두께보다 적어도 약 10% 더 크다. 더 상세한 구체예에서, 이 차이가 적어도 약 25%, 적어도 약 50%, 또는 적어도 약 100%일 수 있다. 또한 피처 내부 물질의 분포가 단차 피복에 의하여 규정될 수 있다. 이러한 기재의 목적으로, "단차 피복"은 두 두께의 비율, 즉 피처 내부 물질의 두께를 개구 근처 물질의 두께로 나눈 것으로 정의된다. 특정 실시예에서, 라이너 또는 다른 하층의 단차 피복은 약 100% 미만, 더욱 구체적으로, 약 75% 미만 또는 심지어 약 50% 미만이다.
도 3으로 돌아가서, 이후 피처(304)의 측벽 및 바닥을 등각으로 코팅하기 위하여 텅스텐 핵형성층이 피처에 증착된다. 일반적으로, 핵형성층은 얇은 등각성 층이고, 이 층은 추후 상기 층에 벌크 물질이 용이하게 형성되도록 한다. 아래의 피처에 대한 등각성은 고품질 증착 유지에 중요하다. CVD 공정, 원자층증착(ALD) 공정 및 펄스핵형성층(PNL) 증착 공정을 포함하지만 이들로 한정되지는 않는 다양한 공정이 핵형성층 형성에 사용될 수 있다.
PNL 기술에서, 반응물의 펄스가 연속으로 주입되고, 전형적으로 반응물 사이의 퍼지 가스의 펄스에 의하여 반응 챔버로부터 퍼지된다. 제1반응물은 전형적으로 기판에 흡착되고, 다음 반응물과 반응할 수 있다. 공정은 원하는 두께가 달성될 때까지 주기적 방식으로 반복된다. PNL은 문헌에 보고된 원자층증착 기술과 유사하다. PNL은 일반적으로 더 높은 조업 압력 범위(1 Torr 초과) 및 더 빠른 사이클당 성장 속도(사이클당 1 초과의 단층 막 성장)로 ALD와 구분된다. 본 명세서의 기재에 있어서, PNL은 반도체 기판상의 반응을 위한 반응물을 연속으로 첨가하는 임의의 주기적 공정을 광범하게 구현한다. 따라서, 상기 구상은 통상적으로 ALD로 일컫는 기술을 구현한다. 본 명세서의 기재에 있어서, CVD는 반응물이 증기상 반응을 위한 반응기에 함께 도입되는 공정을 구현한다. PNL 및 ALD 공정은 CVD 공정과 별개이고, 그 반대도 마찬가지이다.
하나 이상의 PNL 사이클을 사용하여 핵형성층을 형성하는 것이 미국 특허번호 제6,844,258호; 제7,005,372호; 제7,141,494호; 제7,262,125호; 및 제7,589,017호; 미국 특허 공개번호 제2008/0254623호 및 제2009/0149022호, 및 미국 특허 출원번호 제12/407,541호에서 논의되고, 이들 모두는 참고문헌으로 전체가 본 명세서에 수록된다. 이러한 PNL 핵형성층 공정은 원하는 두께의 핵형성층을 성장시키기 위하여 기판을 다양한 일련의 환원제 및 텅스텐 전구물질에 노출시키는 것을 포함한다. PNL-CVD 조합된 핵형성층 증착 방법이 미국 특허번호 제7,655,567호에 기재되며, 이 또한 전체가 참고문헌으로 수록된다.
핵형성층 두께는 고품질 증착을 유지하기에 충분하다. 특정 구체예에서, 필요한 두께는 핵형성층 증착 방법에 얼마간 의존한다. 이후 더 자세히 기재하는 바와 같이, 약 12Å(전형적인 50Å의 핵형성막과 비교)의 두께에서 거의 100%의 단차 피복 핵형성막을 제공하는 PNL 방법이 특정 구체예에서 사용될 수 있다. 그러나 핵형성층 증착에 사용되는 방법을 막론하고, 피처 충전에 이용되는 저하된 온도의 CVD 조업은 통상적인 더 높은 온도의 CVD에 의하여 요구되는 것보다 더 얇은 핵형성층과 함께 이용될 수 있다. 특정 이론에 제한되지 않고, 이는 아마도 저하된 온도에서의 더 느린 화학이 완전히 드러나지 않은 핵형성 위치에서도 성장을 증진하기 때문일 것으로 생각된다. 다양한 구체예들에 따르면, 약 30-50Å(3-5 nm), 특정 구체예에서 10-15Å의 핵형성층이 형성될 수 있다.
특정 구체예에서, 저항률을 개선하기 위하여 핵형성층 증착에 증착후 처리 조업이 이어진다. 이러한 처리 조업은 아래에 더 기재되고 미국 특허 공개번호 제2009/0149022호 및 미국 특허 출원번호 제12/407,541호에 더욱 상세하게 기재되며, 이들 모두 본 명세서에 참고문헌으로 수록된다.
핵형성층이 형성되면, 저온 CVD 텅스텐막으로 피처를 충전하여 공정이 계속된다 (306). 이 조업에서, 피처에 벌크 막층을 증착시키기 위하여 환원제 및 텅스텐-함유 전구물질이 증착 챔버에 흘러 들어간다. 불활성 운반 가스가 미리 혼합되거나 혼합되지 않을 수 있는 하나 이상의 반응물 스트림 전달에 사용될 수 있다. PNL 또는 ALD 공정과는 달리, 이 조업은 일반적으로 원하는 양이 증착될 때까지 반응물을 계속하여 흐르게 하는 것을 포함한다. 특정 구체예에서, CVD 조업이 다중 스테이지(stage)에서 일어날 수 있고, 다중 주기의 연속적이고 동시적인 반응물의 흐름이 한 가지 이상의 전환된 반응물 흐름의 주기에 의하여 분리된다.
WF6, WCl6, 및 W(CO)6을 포함하지만 이들로 한정되지는 않는 다양한 텅스텐-함유 가스가 텅스텐-함유 전구물질로서 사용될 수 있다. 특정 구체예에서, 텅스텐-함유 전구물질은 WF6과 같은 할로겐-함유 화합물이다. 특정 구체예에서, 비록 실란(SiH4), 디실란(Si2H6), 하이드라진(N2H4), 디보란(B2H6) 및 게르만(GeH4)을 포함하는 다른 환원제가 사용될 수 있기는 하지만, 환원제가 수소 가스이다. 많은 구체예에서, 수소 가스가 CVD 공정에서 환원제로서 사용된다.
피처의 CVD 충전은 저하된 온도에서 수행된다. 다양한 구체예들에 따르면, 저하된 온도(공정 및/또는 기판 온도)는 다음 범위들 중 하나에 속한다: 약 250-350℃, 약 250℃-340℃, 약 250℃-330℃, 약 250℃-325℃, 약 250℃-320℃, 약 250℃-315℃, 약 250℃-310℃, 약 250℃-305℃, 또는 약 250℃-300℃. 또한 다양한 구체예들에 따르면, 공정 및/또는 기판 온도는: 약 260-310℃, 약 270℃-310℃, 약 280℃-310℃, 또는 약 290℃-310℃이다. 특정 구체예에서, 공정 및/또는 기판 온도는 약 300℃이다.
피처 충전 후, 고온 CVD층을 증착하기 위하여 온도가 상승된다 (308). 고온은 다음 범위들 중 하나에 속할 수 있다: 약 350-450℃, 약 360℃-450℃, 약 370℃-450℃, 약 380℃-450℃, 약 390℃-450℃, 또는 약 400℃-450℃. 특정 구체예에서, 고온 CVD는 약 395℃에서 수행된다. 온도 상승은 기판 온도 상승을 포함할 수 있다. 다양한 구체예들에 따르면, 온도는 적어도 약 50℃, 적어도 약 60℃, 적어도 약 70℃, 적어도 약 80℃, 적어도 약 90℃, 적어도 약 100℃, 또는 적어도 약 110℃ 상승된다. 이후 고온 CVD층이 증착된다 (310). 특정 구체예에서, 조업 (308) 및 (310)이 수행되지 않는다; 즉, 저온 CVD 공정이 완료되고 피처가 충전된 후, 평탄화(planarization)와 같은 추가 가공을 위하여 기판이 이동된다.
특정 구체예에서, 조업(306)으로부터 조업(308)로의 이행은 다중-스테이션 챔버에서 한 증착 스테이션에서 다른 스테이션으로 기판을 옮기는 것을 포함한다. 또한, 조업(304), 증착후 저항률 처리(수행될 경우), 조업(306) 및 조업(308) 각각이 동일한 다중-스테이션 챔버의 상이한 스테이션에서 수행되기도 한다.
조업(306) 및 조업(308)을 수행하기 위하여 단일 스테이션이 사용되는 대안의 구체예에서, 조업(306)으로부터 조업(308)로의 이행은 기판 온도을 상승시키면서 텅스텐 전구물질의 흐름을 차단하는 것을 포함했다 (선택적으로 수소 또는 다른 환원 가스 및/또는 운반 가스가 흐르도록 허용함). 기판 온도가 안정화되면, 필요한 경우에 텅스텐 전구물질 및 다른 가스가 고온 증착 동안 반응 챔버에 흘러 들어간다. 다른 구체예에서, 조업(306)으로부터의 이행은 증착이 이행 기간 동안 계속되도록 허용하면서 기판 온도를 상승시키는 것을 포함할 수 있다.
고온 텅스텐 CVD 막이 증착되는 구체예에서, 고온 텅스텐 CVD 막은 충전된 피처에 과부하층(overburden layer)으로서 증착될 수 있다. 도 4는 피처(410)가 저하된 온도의 CVD를 사용하여 충전된 후 고온 CVD층이 증착되는 충전 공정의 여러 상이한 단계에서 피처 횡단면의 한 예의 개략적 표현을 나타낸다. 횡단면(401)은 임의의 텅스텐 증착에 앞선 피처(410)의 예를 나타낸다. 이 예에서, 피처(410)는 유전층(430)에 형성되고, 기판의 최상 표면(405)에 개구(425)를 가지며, TiN층과 같은 라이너층(413)을 포함한다. 특정 구체예에서, 개구(425) 근처의 공동의 크기는 피처 내부의 공동의 크기보다 좁은데, 예를 들면 도 4에 나타난 것과 같이 하층(413)의 오버행(415)으로 인한 것이다.
횡단면(411)은 저하된 온도의 CVD가 수행되어 저온 CVD 벌크층(453)으로 피처를 충전한 후의 피처를 나타낸다. (텅스텐 핵형성층은 도 4에 나타나지 않는다.) 특정 구체예에서, 저하된 온도의 CVD는 적어도 피처 코너(417)(기판이 평탄한 영역으로부터 리세스된 피처로 전환하는 지점)가 저온 CVD 텅스텐으로 덮일 때까지 수행된다. 이는 특정 구체예에서 라이너층, 유전층 또는 다른 하층이 피처 코너에서 F2 공격에 특히 취약하기 때문이다. 아래에 더욱 논의되는 것과 같이, 저하된 온도의 CVD 텅스텐은 예상외로 우수한 배리어 특성을 가지고, 추후의 고온 CVD 증착 동안 F2 노출로부터 하층을 보호한다.
횡단면(421)은 과부하층(455)을 증착하기 위하여 더 고온의 CVD가 수행된 후의 피처를 나타낸다. 피처 측벽 및 코너는 저온 CVD 막(453)에 의하여 F2 공격으로부터 보호된다. 횡단면(431)은 통상적인(고온) 공정을 이용하여 충전된, 횡단면(401)에서 나타나는 것과 같은 좁은 피처의 비교 예를 제공한다. 고온 공정을 사용하면 고온층(455)의 오버행(415) 및 비교적 불량한 단차 피복으로 인하여, 폐쇄된 피처가 충전되지 않은 공극(429)(즉, 균열)을 가진다. 균열은 피처의 저항을 증가시키고 화학적-기계적 평탄화(CMP) 동안 문제점 야기하는 등의 다양한 이유로 문제가 된다. 비록 개략도에서 볼 수는 없지만, 라이너의 코너 또는 다른 부분은 F2 공격으로 인한 접착 문제를 가지고, 박리(peeling)나 결점을 나타낸다. 이러한 결점은 이후 도 6을 참조하여 더욱 논의된다.
특정 구체예에서, 고종횡비 피처와 저종횡비 피처를 모두 가지는 텅스텐으로 충전될 기판이 제공된다. 예를 들어, 기판은 적어도 약 10:1의 종횡비를 가지는 하나 이상의 피처 및 약 5:1 미만, 또는 1:1 또는 1:2의 종횡비를 가지는 하나 이상의 피처를 가질 수 있다. 저하된 온도의 CVD 조업이 하나 이상의 고종횡비 피처를 충전하기 위하여 수행되고, 저종횡비 피처를 충전하기 위하여 고온 CVD 조업이 이어질 수 있다. 도 5는 이러한 방식으로 충전된 고종횡비 피처(510) 및 저종횡비 피처(520)의 예를 나타낸다. 피처(510)는 좁은 개구의 고종횡비 피처에서 우수하고 공극이 없는 충전 제공에 중요한 저온 CVD 막(553)으로 충전된다. 피처(520)의 넓은(예를 들어, 대략 수백 나노미터 내지 수 미크론) 개구로 인하여, 미미한 양의 저온 CVD 막이 피처(520)에 증착된다. 이후 고온 CVD 조업이 고온 CVD 막(555)으로 피처(520)를 충전하기 위하여 사용되고, 이 경우에 과부하로 증착된다.
저하된 온도의 CVD는 좁은 고종횡비 피처에서 고품질 텅스텐 충전을 달성하는 데 중요하다. 현재 텅스텐 CVD는 대략 400℃의 온도에서 수행된다. 진보한 노드 피처상의 우수한 플러그충전을 달성하는 것은 피처가 (도 4의 횡단면(401)에 도해되는 것과 같이) 핀치된 개구를 가질 경우 증대되는 과제이다. 또 다른 과제가 텅스텐을 증착할 피처에서 더 많은 공간을 허용하기 위한 TiN 배리어 박막화에 의하여 제시된다. 특정 구체예에서, 진보한 노드 피처는 5 nm 두께 미만, 1 nm의 두께의 배리어층을 가진다. 통상적인 CVD 공정에서 WF6으로부터 Ti 라이너 영역으로의 플루오르 이동은 라이너의 플루오르 공격 및 수율 손실을 포함하는 집적 문제를 야기한다.
상기한 저하된 온도의 CVD는 고품질 플러그충전 달성에 중요하다. 특정 이론에 제한되지 않고, 저하된 온도의 CVD에 의하여 제공되는 고품질 플러그충전은 다수의 요인으로 인한 것으로 생각된다. 우선, 더 낮은 CVD 온도가 텅스텐-함유 전구물질의 열분해를 감소시켜 텅스텐 증착 속도를 감소시킨다. 이는 피처 개구에서 텅스텐 증착을 감소시켜 더 많은 WF6 (또는 다른 텅스텐-함유 전구물질) 분자가 피처의 하부 영역에 도달하고 텅스텐이 증착되도록 하여 고종횡비의 좁은 피처의 플러그충전을 돕는 것으로 생각된다. 통상적인 CVD 공정에서, 피처 최상부에서의 증착은 전구물질이 피처의 하부 영역으로 확산되는 것을 방지한다. 결과는 도 4의 횡단면(431)에 나타나는 것과 같은 피처의 내부 영역의 공극 또는 균열이다. 더 우수한 플러그충전은 여러 이점을 가진다: 이는 더 많은 피처 내의 텅스텐, 전자 수송 촉진 및 컨택트와 라인 저항 감소를 야기하고, CMP 후 문제점을 방지한다. 예를 들어, CMP 슬러리가 균열 및 공극에 포획될 가능성을 감소시킨다.
상기 메커니즘 이외에도, 우수한 플러그충전은 텅스텐 핵형성층 및 TiN층을 통하여 플루오르 이동을 촉진시키에 불충분한 저하된 온도에서의 에너지 및/또는 비록 플루오르 원자 또는 텅스텐 헥사플루오라이드 분자가 이동할지라도 Ti와 F의 반응 또는 Ti와 WF6의 반응으로부터 TiFx를 형성하기에 불충분한 에너지로 인한 것으로 생각된다. 저온 CVD 반응은 플루오르에 의한 Ti 공격을 최소화한다.
상기한 것 이외에도, 저온 CVD 텅스텐막이 뜻밖에도 다른 공정에 의하여 증착된 텅스텐막과 비교하여 우수한 플루오르 배리어 특성을 제공함이 발혀졌다. 도 6은 통상적인 PNL W 및 PNL W + 저온 CVD를 대상으로 한 결함 연구 결과를 나타낸다. PNL W 단독 또는 PNL W + 저온 W막이 다음 두께로 Ti/TiN 기판에 증착되었다:
PNL W 단독: 34Å, 54Å 및 76Å
PNL W + 저온 CVD W: 22Å PNL + 8Å CVD (총 30Å), 22Å PNL + 10Å CVD (총 32Å), 22Å PNL + 15Å CVD (총 37Å)
PNLW 및 저온 CVD 모두 300℃에서 일어났다. 이후 W막이 395℃에서 WF6에 노출되는 토처 테스트(torture test)를 거쳤다. 플루오르가 W막 및 TiN을 통하여 확산될 경우 아래의 Ti와 반응하여 휘발성 TiFx 화합물을 형성하고 전형적인 "화산형(volcano)" 결함 및 국소 박리, 균열 또는 버블링(bubbling)을 야기한다. 이러한 결함들은 광학 현미경으로 볼 수 있다. 도 6에 나타나는 것과 같이, 얇은 PNL W를 따라 존재하는 저온 CVD W는 PNL W 단독보다 더 우수한 W 확산층으로서 거동했다. 이는 동일한 전체 두께의 W막에 대하여 저온 CVD 막이 개선된 F 배리어 특성을 제공한다는 점에서 예기치 않은 결과이다. 얇은 PNL + 저온 CVD층은 동일한 온도에서 증착된 얇은 PNL층과 유사한 결함 수를 가질 것으로 기대되었다.
플루오르 공격 연구가 PVD Ti / MOCVD TiN 배리어층을 포함하고 100 nm 개구/10:1 종횡비 피처로 패턴화된 웨이퍼에서 수행되었다. 텅스텐 핵형성층이 피처에 증착되었고, 확대된 신호를 생성하도록 얇은(12Å) 층이 사용되었다. 피처는 395℃ CVD 텅스텐 또는 350℃ CVD 텅스텐으로 충전되었다. 이후 피처 충전이 조사되고 비교되었다. 저온 CVD 충전은 더 우수한 플러그충전 및 감소된 플루오르 공격을 제공했다. 결과는 감소된 플루오르 공격을 나타내는 것 이외에도, 저하된 온도가 얇은 핵형성층에 더 우수한 단차 피복을 제공함을 나타낸다. 임의의 특정 이론에 제한되지 않고, 저하된 온도 공정의 더 느린 화학이 완전히 형성되지 않은 핵형성 위치에서도 성장을 허용하는 것으로 생각된다.
32 nm 요각 피처의 충전이 300℃ 및 395℃를 사용하여 수행되었다. 충전된 피처는 비교되었고, 막이 화산형 결함에 대하여 조사되었다. 저온 CVD는 균열 또는 공극이 더 적거나 없으면서 더 우수한 충전을 야기했다. 공극은 고온 CVD 충전된 피처에서 관찰되었다. 도 7은 395℃ 막(701) 및 300℃ 막(702)의 현미경 영상을 보여준다. 많은 화산형 결함이 395℃ 막에서 관찰되고; 300℃ 막에서는 관찰되지 않는다. 개선된 플러그충전 및 감소된 플루오르 공격을 제공하는 것 이외에도, 저온 막은 고온 막에 필적하는 저항률을 가진다. 이는 도 8에 나타난다.
초-저저항률 텅스텐막을 증착하는 개선된 방법이 또한 제공된다. 다양한 구체예들에 따르면, 이러한 방법은 얇은 PNL 핵형성층 증착, 핵형성층에 저저항률 처리 수행 및 피처를 충전하기 위한 고온 CVD층 증착을 포함한다. 특정 구체예에서, 저저항률 처리는 저온 CVD 공정을 포함한다.
20nm 초과 두께의 저저항률 텅스텐을 성장시키는 저저항률 공정이 20nm 이하 두께의 저저항률 텅스텐을 성장시키지 않을 수 있음이 밝혀졌다. 디바이스의 임계 치수(critical dimension)가 40 nm 이하로 감소될 경우, 구조물에서 텅스텐층의 두께는 20 nm 이하이다. 도 9는 우선 저저항률 공정(905)을 이용하여 처리된 막 및 특정 구체예(901)에 따른 박막 저저항률 공정을 이용하여 처리된 막에 대하여, 막 저항률을 두께의 함수로서 도해하는 플롯을 나타낸다. 비교를 위하여, 저저항률 처리를 하지 않고 증착된(907) 막이 나타난다.
(905)로 나타나는 막 증착에 이용되는 공정은 저하된 온도의 수소가 없는 환경에서 PNL 핵형성층 증착에 이어 고온 저저항률 처리를 포함한다. 미처리 막(데이터 시리즈 907)은 저저항률 처리를 하지 않고 PNL 핵형성층에 의하여 증착되었다. 약 20-25Å의 핵형성층이 증착되었고, 나머지 두께는 저온 CVD에 의하여 증착되었다. 고온 처리가 120Å(12 nm) 초과의 두께에 대하여 더 낮은 저항률을 가지는 막을 야기하지만, 120Å 미만의 두께에 대해서는 반대가 성립한다. 막의 증착에 대한 공정 파라미터가 아래에 나타난다:
데이터
시리즈
핵형성층
펄스 시퀀스
핵형성층 온도 저저항률 처리
펄스 시퀀스
저저항률
처리 온도
CVD 화학 CVD 온도
907
(처리 없음)
B/W/S/W + 3 x (S/W) (H2 환경) 300℃ n/a n/a WF6 및 H2 300℃
905
(고온 처리)
5 x (B/W) (H2가 없는 환경) 300℃ 6 x (B) 395℃ WF6 및 H2 300℃
901
(박막 저항률 처리)
5 x (B/W) (H2가 없는 환경) 300℃ 6 x (B) 300℃ WF6 및 H2 300℃
(부분 두께)
395℃
(잔존 두께)
B= B2H6 / W = WF6 / S = SiH4
고온 공정으로 처리된 박막의 저항률 증가는 예기치 않은 것이었다. 도면으로부터 알 수 있는 바와 같이, 본 발명 공정의 구체예에 따른 저-저항률 처리는 120Å 미만의 막에 대해서조차 저저항률을 제공한다. 다양한 구체예들에 따르면, 박막 저항률 처리는 증착된 핵형성층을 저하된 온도에서 환원제의 다중 펄스에 노출시키는 것을 포함하는 저온 저항률 처리 수행을 포함한다. 환원제의 다중 펄스는 텅스텐-함유 전구물질의 중간 펄스를 포함하거나 포함하지 않을 수 있다. 또한 다양한 구체예들에 따르면, 박막 저항률 처리는 고온 CVD를 통한 충전 완료에 앞서 저하된 온도의 CVD를 통한 부분 충전을 포함한다. 약간의 벌크 CVD 물질을 증착시키기는 하지만, 저하된 온도의 CVD 조업이 저-저항률 처리로 간주될 수 있다. 특정 구체예에서, 공정은 도 9에서 데이터 시리즈(901)로 나타나는 막에서와 같이 환원제의 다중 펄스에 대한 저온 노출 및 저하된 온도의 CVD를 통한 부분 충전을 모두 포함한다.
본 명세서에 기재한 이러한 공정이 40 nm 이하의 임계 치수를 가지는 충전 피처, 특히 32 nm 또는 더 작은 임계 치수를 가지는 막에 적합하기는 하지만, 또한 더 두꺼운 막에 사용될 수도 있다. 아래에서 더욱 논의할 것과 같이, 또한 더 두꺼운 막에 대하여 개선된 저항률이 관찰된다.
도 10-12는 다양한 구체예에 따른, 저저항률 텅스텐으로 피처를 충전하는 방법의 조업을 도해하는 공정 흐름도를 나타낸다. 도 10에서, 우선 고종횡비 리세스된 피처를 가지는 기판이 증착 챔버에 제공된다 (1002). 앞서 명시한 바와 같이, 피처는 좁은 개구, 예를 들어, 40 nm 이하의 폭을 가질 수 있다. 또한 특정 구체예에서, 상기 방법이 더 낮은 종횡비 및/또는 더 넓은 개구를 가지는 피처 충전에 이용될 수 있다. 이후 텅스텐 핵형성층이 피처에 증착된다 (1004).
핵형성층이 임의의 공지 방법에 의하여 증착되는 한편, 특정 구체예에서, 저온에서 핵형성층을 증착한 다음 다중-펄스 저저항률 처리를 수행하여 개선된 저항률이 달성된다. 이러한 핵형성층 증착 방법이 미국 특허번호 제7,589,017호에 기재되고, 이는 본 명세서에 참고문헌으로 수록되며, 미국 공개번호 제2008/0254623호에 기재되고, 이 또한 본 명세서에 참고문헌으로 수록된다.
특정 실시예에서, 핵형성층이 도 13에 나타나는 것과 같이 증착된다. (도 4의 401과 같은) 핵형성층이 없는 기판이 제공된 후, 제공된 그대로의 기판이 붕소-함유 환원제에 노출되어 기판 표면에 붕소-함유층을 형성한다 (1302). 붕소-함유층은 흔히 원소 붕소의 층이고, 일부 구체예에서는 다른 화학종, 또는 붕소-함유 화학종 자체나 반응 챔버의 잔류 가스에서 유래한 불순물을 포함할 수도 있다. 보란(BH3), 디보란(B2H6), 트리보란 등을 포함하는 임의의 적절한 붕소-함유 화학종이 사용될 수 있다. 다른 붕소-함유 화학종의 예에는 수소를 동반한 붕소 할라이드(예를 들어, BF3, BCl3)가 포함된다.
기판 온도는 약 350℃ 이하, 예를 들어 약 250℃ 내지 350℃ 또는 250℃ 내지 325℃로 낮다. 특정 구체예에서, 온도는 대략 300℃이다. 특정 구체예에서, 디보란이 희석된 공급원(예를 들어, 5% 디보란 및 95% 질소)으로부터 제공된다. 디보란은 다른 또는 추가의 질소 및/또는 아르곤과 같은 운반 가스를 사용하여 반응 챔버에 전달될 수 있다. 중요하게는, 수소가 사용되지 않는다.
붕소-함유층이 충분한 두께로 증착되면, 반응 챔버를 향한 붕소-함유 화학종의 흐름이 정지되고 반응 챔버는 아르곤, 수소, 질소 또는 헬륨과 같은 운반 가스로 퍼지된다. 특정 구체예에서, 아르곤만이 운반 가스로 사용된다. 가스 퍼지는 다음의 반응 단계 동안 새로운 가스 반응물과 반응할 수 있는 잔류하는 가스 반응물의 기판 표면 근처의 영역을 세정한다.
도 13에서 다음 조업을 계속하여, 기판이 텅스텐-함유 전구물질과 접촉되어 텅스텐 핵형성층의 일부를 형성한다 (1304). 임의의 적절한 텅스텐-함유 전구물질이 사용될 수 있다. 특정 구체예에서 텅스텐-함유 전구물질은 WF6, WCl6 및 W(CO)6 중의 하나이다. 텅스텐-함유 전구물질은 전형적으로 아르곤, 질소, 또는 이들의 조합과 같은 희석 가스에 포함되어 제공된다. 붕소-함유 전구물질 펄스를 사용하는 경우와 같이, 텅스텐-함유 전구물질이 비-수소 환경에 전달된다. 기판 온도는 약 350℃ 이하, 예를 들어 약 250℃ 내지 350℃ 또는 250℃ 내지 325℃로 낮다. 특정 구체예에서, 온도는 대략 300℃이다. 많은 경우에, 기판 온도는 붕소-함유 화학종에 노출되는 동안과 동일하다. 텅스텐-함유 전구물질 공급량 및 기판 노출 시간은 여러 요인에 따라 변할 것인다. 일반적으로, 기판은 흡착된 붕소 화학종이 텅스텐 핵형성층의 일부를 생성하기 위하여 텅스텐-함유 전구물질을 사용하는 반응에 의하여 충분히 소비될 때가지 노출된다. 그 후, 반응 챔버를 향한 텅스텐-함유 전구물질의 흐름이 정지되고, 반응 챔버가 퍼지된다. 하나의 붕소-함유 환원제/텅스텐-함유 전구물질 PNL 사이클에서 증착된 텅스텐 핵형성층 부분은 약 5Å일 수 있다.
저온 붕소-함유 환원제 펄스 및 텅스텐 전구물질 펄스 조업이 텅스텐 핵형성층을 원하는 두께로 형성하기 위하여 반복된다 (1306). 비록 특정 구체예에서 단일 사이클이 충분할 수도 있지만, 특정 구체예에서 매우 얇은 핵형성층을 증착시키기 위하여 약 2-7 PNL 사이클이 필요하다. 기판에 따라, 최초의 하나 또는 둘의 사이클이 핵형성 지연으로 인한 두께 획득을 야기하지 않을 수 있다. 상기한 바와 같이, 텅스텐 핵형성층은 과도하게 전체 텅스텐막을 증가시키지 않도록 충분히 얇아야 하지만, 고품질 벌크 텅스텐 증착을 유지하도록 충분히 두꺼워야 한다. 앞에서 기재한 공정은 고종횡비 및/또는 좁은 폭의 피처에서 약 10Å의 고품질 벌크 증착을 유지할 수 있는 텅스텐 핵형성층을 증착할 수 있다. 증착된 핵형성층의 두께는 전형적으로 약 10 Å 내지 50Å, 또는 예를 들어 10Å 내지 30Å이다.
온도는 증착된 텅스텐의 양에 영향을 미치는 공정 조건 중의 하나이다. 다른 조건에는 압력, 유량 및 노출 시간이 포함된다. 온도를 약 350℃에서, 또는 그 아래로 유지하는 것은 한 사이클 동안 더 적은 물질의 증착을 야기한다. 이는 더 낮은 저항률을 제공한다. 일부 구체예에서, 온도는 약 300℃ 또는 200℃일 수 있다.
도 10을 다시 참조하면, 텅스텐 핵형성층이 증착된 후, 증착된 핵형성층은 저항률을 낮추기 위하여 저온 다중-펄스 처리를 통하여 처리된다 (1006). 도 14a 및 14b는 저저항률 처리의 다양한 구체예에 따라 이용될 수 있는 펄스 시퀀스의 예를 나타내는 그래프이다. 도 14a는 미국 특허 공개번호 제2009/0149022호에 기재된 것과 같은 펄스 시퀀스의 예를 나타내고, 상기 특허는 본 명세서에 참고문헌으로 수록된다. 본 명세서에 기재한 처리 공정은 증착된 핵형성층을 (또 다른 반응 화합물의 중간 펄스가 없는) 환원제의 다중 펄스에 노출시키는 것을 포함한다. 도 14a에서, 디보란이 환원제로 표시되기는 하지만, 다른 환원제도 사용될 수 있다. 상기 처리는 저항률을 낮추면서, 우수한 접착 및 저항 비균일성을 제공한다. 특히, 비록 동일한 전체 노출 시간을 사용할지라도 다중 환원제 펄스 사용이 단일 펄스 사용보다 현저하게 개선된 저항률 및 균일성을 제공하는 것으로 나타난다. 그러나 너무 많은 펄스는 최후의 텅스텐막이 아래의 층에 불량하게 접착하는 것을 야기할 수 있다. 펄스의 최적 숫자는, 예를 들어 저저항률, 낮은 비균일성 및 만족스러운 부착을 달성하기 위하여 2-8이다. 도 13에 기재한 핵형성층 증착과 달리, 처리 조업이 배경에 수소를 사용하여 수행될 수 있다. 따라서, 핵형성으로부터 처리 조업으로 이행하는 것은 특정 구체예에서 수소 흐름의 공급을 포함할 수 있다. 또한 특정 구체예에서, 핵형성층이 다중-스테이션 증착 챔버의 제1스테이션에서 증착되고, 제2스테이션에서 저저항률 처리가 수행된다. 핵형성 증착으로부터 저저항률 처리로 이행하는 것은 기판을 제2스테이션으로 옮기는 것을 포함한다.
도 14b는 펄스 시퀀스의 또 다른 예를 나타내고, 여기서 핵형성층은 교대의 환원제 펄스 및 텅스텐-함유 전구물질 펄스의 다중 사이클에 노출된다. 비록 특정 구체예에서 다른 화합물을 사용할 수 있기는 하지만, 디보란, B2H6, 및 텅스텐 헥사플루오라이드, WF6이 환원제 및 텅스텐-함유 전구물질로서 각각 나타난다. 환원제 및 텅스텐-함유 전구물질의 교대하는 펄스가 또한 텅스텐 핵형성층 형성에도 사용되지만, 처리 조업에서 전형적으로 실질적으로 텅스텐이 증착되지 않는다. 텅스텐-함유 전구물질의 유량 및/또는 펄스 시간은 단지 표면상과 챔버내의 과량의 붕소를 저-저항률 처리로부터 제거하여 붕소 불순물을 감소시킬 정도로 제한된다. 이는 특정 구체예에서 더 적은 마이크로-박리 및 더 우수한 막 접착을 야기한다. 따라서, 처리 동안 텅스텐-함유 전구물질 펄스 노출 시간 및/또는 유량이 (환원제 펄스에 비례하여) 핵형성층 증착에 사용되는 것보다 더 적게 사용될 수 있다.
도 14a 및 14b에 나타나는 펄스 시퀀스의 어떤 조합이 또한 특정 구체예에서 수행될 수 있다. 본 명세서에 기재한 구체예에서, 다중-펄스 처리 조업이 약 350℃ 이하의 저하된 온도, 예를 들어 약 250℃ 내지 350℃ 또는 250℃ 내지 325℃에서 수행된다 (1006). 특정 구체예에서, 온도는 대략 300℃이다. 도 9에 나타나고 이후 더 논의되는 바와 같이, 박막에 있어서, 저온에서 저-저항률 처리를 수행하는 것은 뜻밖에도 더 높은 온도에서 처리를 수행하는 것보다 더 우수한 저항률을 제공한다. 임의의 특정 이론에 제한되지 않고, 이것이 기판이 접하는 붕소의 양으로 인한 것일 수 있는 것으로 생각된다. 이는 이후에 도 16A를 참조하여 더 논의된다. 다양한 구체예들에 따르면, 디보란 (또는 다른 붕소-함유 환원제) 노출의 총량이 다중-펄스 처리 동안 약 1E-5 내지 1E-2 몰, 또는 더욱 특정하게 약 1E-4 내지 1E-3 몰일 수 있다. 이후 CVD 벌크층이 증착되어 피처를 충전한다 (1008). 이는 저하된 온도 충전, 고온 충전을 포함할 수 있고, 또는 특정 구체예에서 두 가지의 조합을 포함할 수 있다.
도 11은 특정 구체예에 따른 저저항률 텅스텐으로 피처를 충전하는 방법의 공정 흐름도를 나타내고, 여기서 핵형성층이 증착된 후 피처를 부분적으로 충전하기 위하여 저하된 온도의 CVD가 사용된다. 이후 피처 충전을 완료하기 위하여 고온 CVD가 수행된다. 도 10에 관하여 기재한 것과 같이 고종횡비 및/또는 좁은 개구를 가지는 기판이 제공된다 (1102). 이후 핵형성층이 피처에 증착된다 (1104). 상기한 바와 같이, 특정 구체예에서, 핵형성층은 도 13에 기재한 것과 같이 저온의 수소가 없는 환경에서 교대의 디보란과 텅스텐 전구물질 펄스로 증착된다. 이후 다중-펄스 저저항률 처리가 선택적으로 수행된다 (1106). 이러한 처리는 (도 14a에 나타나는 것과 같이) 중간의 텅스텐-전구물질 펄스 없이 다중 환원제 펄스를 포함할 수 있거나 (도 14b에 나타나는 것과 같이) 다중 환원제/텅스텐 전구물질 펄스 또는 이들의 어떤 조합을 포함할 수 있다. 다양한 구체예들에 따르면, 다중-펄스 처리는 기판을 약 350℃ 내지 450℃, 예를 들어, 약 395℃의 온도로 가열하고, 온도가 안정되도록 하고, 기판 온도를 유지하면서 핵형성층을 다중 펄스에 노출시키는 것을 포함한다. 다른 구체예에서, 다중-펄스 처리가 도 10에 관하여 상기한 바와 같이 더 낮은 온도에서 수행된다.
다음, 피처는 저하된 온도의 CVD 벌크층으로 부분적으로 충전된다 (1108). WF6, WCl6, 및 W(CO)6을 포함하지만 이들로 한정되지는 않는 다양한 텅스텐-함유 가스가 텅스텐-함유 전구물질로서 사용될 수 있다. 특정 구체예에서, 텅스텐-함유 전구물질은 WF6와 같은 할로겐-함유 화합물이다. 특정 구체예에서, 실란, 디실란, 하이드라진, 디보란, 및 게르만을 포함하는 다른 환원제가 사용될 수 있기는 하지만, 환원제는 수소 가스이다. 많은 구체예에서, 수소 가스가 CVD 공정에서 환원제로서 사용된다.
다양한 구체예들에 따르면, 저하된 온도(공정 및/또는 기판 온도)는 다음 범위 중 하나에 속한다: 약 250-350℃, 약 250℃-340℃, 약 250℃-330℃, 약 250℃-325℃, 약 250℃-320℃, 약 250℃-315℃, 약 250℃-310℃, 약 250℃-305℃, 또는 약 250℃-300℃. 또한 다양한 구체예들에 따르면, 공정 온도는: 약 260-310℃, 약 270℃-310℃, 약 280℃-310℃, 또는 약 290℃-310℃이다. 특정 구체예에서, 공정 및/또는 기판 온도는 약 300℃이다.
충전은 고온 CVD 증착을 통하여 완료된다 (1110). 고온은 다음 범위 중 하나에 속할 수 있다: 약 350-450℃, 약 360℃-450℃, 약 370℃-450℃, 약 380℃-450℃, 약 390℃-450℃, 또는 약 400℃-450℃. 특정 구체예에서, 고온 CVD는 약 395℃에서 수행된다. 온도 상승은 기판 온도 상승을 포함할 수 있다. 다양한 구체예들에 따르면, 온도는 적어도 약 25℃, 30 ℃, 50℃, 적어도 약 60℃, 적어도 약 70℃, 적어도 약 80℃, 적어도 약 90℃, 적어도 약 100℃, 적어도 약 110℃, 또는 적어도 약 125℃ 상승된다. 한 공정 예에서, 저온 CVD 조업은 약 250℃에서, 고온 CVD 조업은 350℃에서 수행된다. 특정 구체예에서, 열충격 및 이에 따른 웨이퍼 파손을 방지하기 위하여 온도는 약 150℃ 이하 또는 심지어 125℃ 이하 상승된다.
특정 구체예에서, 조업(1108)로부터 조업(1110)로 이행하는 것은 다중-스테이션 챔버에서 기판을 한 증착 스테이션에서 또 다른 증착 스테이션으로 옮기는 것을 포함한다. 조업을 수행하기 위하여 단일 스테이션이 사용되는 대안의 구체예에서, 조업(1108)로부터 조업(1110)로 이행하는 것은 기판 온도를 상승시키면서 텅스텐 전구물질(선택적으로 수소 또는 다른 환원 가스 및/또는 운반 가스가 흐르도록 허용)의 흐름을 차단하는 것을 포함할 수 있다. 기판 온도가 안정화되면, 필요할 경우 텅스텐 전구물질 및 다른 가스가 고온 증착을 위하여 반응 챔버에 흘러 들어간다. 다른 구체예에서, 조업(1210)로부터의 이행은 이행 기간 동안 증착이 계속되도록 하면서 기판 온도를 상승시키는 것을 포함할 수 있다.
다양한 구체예들에 따르면, 저하된 온도의 CVD는 벌크 CVD 충전의 약 0-70%의 총 두께 증착에 사용될 수 있다. 도 15는 저하된 온도의 CVD 부분 충전 및 고온 CVD을 통한 완료된 충전 후 피처 횡단면의 한 예의 개략적 표현을 나타낸다. 횡단면(1501)은 등각성 부분 충전된 저하된 온도의 CVD층(1553) 및 고온 충전(1555)을 나타낸다. 증착된 CVD층의 총 두께인 T가 나타난다 (T는 피처 충전의 두께 빼기 텅스텐 핵형성층 두께임). 2T1은 저하된 온도의 CVD를 통해 증착된 총 두께이다. 특정 구체예에서, 저하된 온도의 CVD는 벌크 CVD 충전의 약 30-80% 또는 30-60%의 총 두께 증착에 사용될 수 있다. 또한 저하된 온도층이 증착된 두께로 규정될 수 있으며, T1은 약 1-10 nm 또는 약 2-8 nm이다.
이후 더 논의되는 바와 같이, 고온 CVD로 갭 충전을 완료하기에 앞서 저하된 온도의 CVD로 갭을 부분 충전하는 것이 저항률을 증가시킨다. 저하된 온도의 CVD 조업이 일반적으로 피처에 약간의 등각성 텅스텐을 증착하기는 하지만, 이 또한 저저항률 처리 조업으로서 생각될 수 있다. 특정 구체예에서, 저하된 온도 조업의 노출 시간 및/또는 노출량은 실질적으로 텅스텐이 증착되지 않도록 짧거나 작을 수 있다.
도 12는 저온 다중-펄스 처리 및 고온 CVD를 통하여 충전을 완료하기에 앞서 저하된 온도의 CVD를 통한 부분 충전이 모두 수행되는 공정 흐름도를 나타낸다. 도 10 및 11에 관한 것과 같이, 고종횡비 피처를 가지는 기판이 제공되고 (1202), 핵형성층이 피처에 증착된다 (1204). 특정 구체예에 따른 핵형성층 증착이 도 13에 설명된다. 이후 저온 다중 펄스 처리가 도 10에 관하여 상기한 바와 같이 수행된다 (1206). 이 시점에서, 특정 구체예에서는 핵형성층 형성 및 추후의 다중-펄스 처리 조업 모두가 환원제로서 붕소-함유 화합물만을 사용하는 것을 포함한다; 즉, 실란 또는 다른 비-붕소-함유 환원제가 CVD 증착에 앞선 어떤 조업에서도 사용되지 않는다. 이후 도 11에 관하여 상기한 바와 같이 저하된 온도의 CVD를 통한 부분 충전이 수행되고 (1208), 고온 CVD를 사용한 충전 완료가 이어진다 (1210).
특정 구체예에서, 본 명세서에 기재한 공정은 증착된 텅스텐 핵형성층을 디보란 또는 다른 붕소-함유 환원제의 일련의 다중 펄스에 노출시키는 것을 포함한다. 예를 들어 도 10에 관한 상기 논의를 참조하라. 도 16A는 블랭킷(blanket) 텅스텐막의 저항률을 저온 다중-펄스 처리 공정 동안 핵형성층에 대한 총 디보란 노출(몰로 나타냄)의 함수로서 플롯한다. 나타나는 바와 같이 핵형성층에 디보란이 공급되었고, 50 nm 또는 10 nm 블랭킷 막 증착을 위한 CVD가 이어졌다. 공급 시간 증가에 따라 50 nm 텅스텐막 저항률이 감소한다. 뜻밖에도, 얇은 10 nm 막에 있어서, 공급 시간 증가에 따라 저항률이 증가한다. 특정 구체예에서, 약 20 nm 이하의 박막에서 다중-펄스 처리가 수행되지 않거나, 디보란 노출이 약 1E-5 내지 1E-3 몰 이하의 노출에서 유지된다.
앞에서 명시한 바와 같이, 저하된 온도의 CVD를 사용한 피처의 부분 충전은 저항률을 개선한다. 도 16B는 부분 저하된 온도(300℃) CVD 및 고온-단독(395℃) CVD로 증착된 50 nm 블랭킷 막의 저항률을 다중-펄스 저저항률 텅스텐(LRW) 디보란 펄스의 함수로 플롯한다. 핵형성층 증착을 위하여 도 13에 나타난 공정이 이용되었고, 도 14a에 나타나는 것과 같은 다중-펄스 처리가 395℃에서 이어졌다. 부분 저하된 온도의 CVD 막은 6 nm이고, 나머지의 막 두께는 고온 CVD에 의하여 증착된다. 두 막의 저항률은 다중-펄스 처리의 사이클 수가 증가함에 따라 감소한다. 그러나 처리 후 증착된 저하된 온도의 CVD 박막을 포함하는 막은 고온-단독 CVD 막을 포함하는 막보다 낮은 저항률을 가진다. 나타나는 것과 같이, 후막(thick film)(예를 들어, >40 nm)에 있어서, 저하된 온도의 CVD 부분 충전이 저항률을 개선한다. 특정 구체예에서, 저하된 온도의 CVD는 더 적은 수의 디보란 펄스로써 저저항률을 달성한다.
도 17은 도 10-12와 관련하여 앞에서 기재한 공정에서 막 두께에 대하여 플롯된 막 저항률을 나타낸다. 모든 막에 있어서, 약 2nm의 핵형성층이 증착되고, 핵형성층 시퀀스는300℃에서 5 x (B2H6/WF6)(H2가 없는 환경)였다. 블랭킷 막 증착에 사용된 공정이 아래 나타난다:
공정 저저항률 처리
펄스 시퀀스
저저항률 처리
온도
CVD 화학 CVD 온도
A 6 x (B2H6) 395℃ WF6 및 H2 395℃ (단독)
B 6 x (B2H6) 395℃ WF6 및 H2 300℃ (단독)
C (도 12) 6 x (B2H6) 300℃ WF6 및 H2 300℃ (부분 두께 - 각 막에 대하여 약 30 Å 또는 3 nm)395℃ (나머지
두께)
D 6 x (B2H6) 300℃ WF6 및 H2 300℃ (단독)
E 6 x (B2H6) 300℃ WF6 및 H2 395℃ (단독)
8과 15 nm 사이에서, 공정 C(저온 다중-펄스 처리 및 부분 저하된 온도의 CVD)가 가장 낮은 저항률을 야기했다. 뜻밖에도, 부분 저하된 온도의 CVD(공정 C)가 약 7.5 nm 이상의 막에 대한 동일한 핵형성 및 처리 공정에 있어서 저하된 온도-단독 CVD(공정 D) 및 고온 단독 CVD(E)보다 더 낮은 저항률을 야기한다.
공정 A에서 공정 E를 비교하면, 저온 저저항률 처리가 약 9 nm 두께 미만의 막에 대하여 더 낮은 저항률을 야기한다. 그러나, 저하된 온도-단독 CVD에 있어서, 도 9와 관련하여 상기한 바와 같이, 약 120 nm 이하의 거의 모든 막 두께에 대하여 고온 저-저항률 처리(공정 B)가 저온 저-저항률 공정(공정 D)보다 더 높은 저항률을 야기한다.
특정 구체예에서, 저항률을 낮추기 위하여 텅스텐-전구물질 침지(soak) 조업에 저하된 온도의 CVD가 이어진다. 도 18은 이러한 공정의 조업을 도해하는 공정 흐름을 나타낸다. 우선, 고종횡비 피처를 가지는 기판이 제공된다 (1802). 본 명세서에 기재한 모든 공정을 이용하는 것과 마찬가지로, 이 공정 또한 다른 피처 형상에 사용될 수 있다. 이후, 임의의 적절한 방법에 의하여 텅스텐 핵형성층이 피처에 증착되고 (1804), 도 14A 및 14B에 관하여 상기한 것과 같이 다중-펄스 처리가 이어진다 (1806). 이 지점에서, 기판이 텅스텐-전구물질 침지 조업에서 환원제 없이 텅스텐-전구물질에 노출된다 (1808). 침지 시간은 약 0.5 초 내지 10 초, 예를 들어, 약 1-5 초일 수 있다. 침지 조업 동안의 온도는 추후의 저하된 온도의 CVD와 동일한 온도일 수 있는데, 예를 들어 300℃이다. 텅스텐-전구물질 침지 후, 피처가 저하된 온도의 CVD 텅스텐막으로 충전된다 (1810). 대안의 구체예에서, 텅스텐-전구물질 침지가 부분 충전 저하된 온도의 CVD에 앞서 수행될 수 있다.
도 19는 WF6 침지가 있는 경우와 없는 경우 저하된 온도의 CVD에 의하여 증착된 막의 막 두께의 함수로서 박막 저항률의 플롯이다. 모든 막에 대하여, 핵형성층이 도 13에 나타나는 공정을 이용하여 증착되고, 다중-펄스 디보란 저저항률 처리가 이어진다. 약 8-12 nm의 막에 대하여, 침지는 침지가 없는 공정보다 더 낮은 저항률을 달성한다. 특정 구체예에서, 도 3에 관하여 상기한 고품질 플러그충전으로써 저저항률을 달성하기 위하여 도 18에 기재한 공정이 사용된다.
도 1은 특정 구체예에 따른 텅스텐 핵형성층 및 벌크층으로 충전된 피처의 개략적 도해이다.
도 2는 12Å 및 50Å 핵형성층에 대하여, 핵형성층으로 채워진 피처의 부피 백분율을 기술노드(피처 크기)의 함수로 나타내는 플롯이다.
도 3은 다양한 구체예에 따른, 텅스텐으로 피처를 충전하는 방법의 조업을 도해하는 공정 흐름도이다.
도 4는 특정 구체예에 따른 공정의 여러 단계에서 피처 횡단면의 개략적 도해를 나타낸다.
도 5는 특정 구체예에 따른 피처 충전 공정 후 기판 횡단면의 개략적 도해를 나타낸다.
도 6은 1) 펄스핵형성층(PNL) 공정과 저온 화학기상증착(CVD) 공정에 의하여, 2) 단지 PNL 공정에 의하여 증착된 막에 대하여, 결함을 막 두께의 함수로 나타내는 플롯이다.
도 7은 32 nm 피처의 고온 및 저온 CVD 충전 후 막의 영상을 나타낸다.
도 8은 고온 및 저온 CVD에 의하여 증착된 막에 대하여 저항률을 막 두께의 함수로 나타낸다.
도 9는 다양한 공정에 의하여 증착된 텅스텐막에 대하여 저항률을 막 두께의 함수로 나타내는 플롯이다.
도 10-12는 다양한 구체예에 따른, 텅스텐으로 피처를 충전하는 방법의 조업을 도해하는 공정 흐름도이다.
도 13은 특정 구체예와 사용될 수 있는 텅스텐 핵형성층 증착 방법의 조업을 도해하는 공정 흐름도이다.
도 14A 및 14B는 다양한 구체예에 따른 저저항률 처리의 가스 펄스 시퀀스를 도해한다.
도 15는 특정 구체예에 따른 피처 충전 공정 후 피처 횡단면의 개략적인 도해이다.
도 16A는 50 nm 및 10 nm 막의 저항률을 저저항률 처리 공정 동안 환원제 노출의 함수로서 도해하는 플롯이다.
도 16B는 고온 CVD만을 통하여 충전된 피처 및 저온 및 고온 CVD를 통하여 충전된 피처에 대하여50 nm 막의 저항률을 저저항률 처리에 대한 환원제 노출의 함수로서 도해하는 플롯이다.
도 17은 다양한 충전 공정에 대하여 저항률을 막 두께의 함수로서 도해하는 플롯이다.
도 18은 다양한 구체예에 따른, 텅스텐으로 피처를 충전하는 방법의 조업을 도해하는 공정 흐름도이다.
도 19는 다양한 충전 공정에 대하여 저항률을 막 두께의 함수로서 도해하는 플롯이다.
도 20은 본 발명의 구체예에 따른 텅스텐 증착 공정을 수행하기에 적합한 공정 시스템의 개략적 도해이다.
도 21은 본 발명의 구체예에 따른 텅스텐 증착의 기본 도해이다.
장치
본 발명의 방법은 다양한 공급자로부터 구입 가능한 다양한 유형의 증착 장치에서 수행될 수 있다. 적절한 장치의 예에는 Novellus Concept-1 Altus™, Concept 2 Altus™, Concept-2 ALTUS-S™, Concept 3 Altus™ 증착 시스템, 및 Altus Max™ 또는 임의의 다양한 다른 상업적으로 구입 가능한 CVD 장비가 포함된다. 일부 경우에서, 공정이 다중 증착 스테이션에서 연속으로 수행될 수 있다. 예를 들어, 미국 특허번호 제6,143,082호를 참조하라. 상기 특허는 본 명세서에 참고문헌으로 수록된다. 일부 구체예에서, 핵형성층은, 예를 들어 단일 증착 챔버 내에 위치한 둘, 다섯 또는 그보다 많은 증착 스테이션 중의 하나인 제1스테이션에서 펄스 핵형성 공정에 의하여 증착된다. 따라서, 환원 가스 및 텅스텐-함유 가스가 기판 표면에서 국소화된 대기를 생성하는 개별적인 가스 공급 시스템을 사용하여 제1스테이션에서 반도체 기판의 표면으로 교대로 도입된다.
핵형성층 증착을 완료하거나 다중-펄스 저저항률 처리를 수행하기 위하여 이후 제2스테이션이 사용될 수 있다. 특정 구체예에서, 단일 펄스 저저항률 처리가 수행될 수 있다.
이후 앞에서 기재한 것과 같이 하나 이상의 스테이션이 CVD 수행에 사용된다. 둘 이상의 스테이션이 병렬 가공에서 CVD를 수행하기 위하여 사용될 수 있다. 대안으로 CVD 조업이 둘 이상의 스테이션에 걸쳐 연속으로 수행되도록 웨이퍼가 연동될 수 있다. 예를 들어, 저온 및 고온 CVD 조업을 모두 포함하는 공정에서, 웨이퍼 또는 다른 기판이 각 조업에 대하여 한 CVD 스테이션으로부터 다른 스테이션으로 연동된다.
도 20은 본 발명의 구체예에 따른 텅스텐 박막 증착 공정 수행에 적합한 가공 시스템의 블록 다이어그램이다. 시스템(2000)은 수송 모듈(2003)을 포함한다. 수송 모듈(2003)은 다양한 반응기 모듈 사이를 이동함에 따른 가공되는 기판의 오염 위험을 최소화하기 위하여 깨끗한 가압 환경을 제공한다. 수송 모듈(2003)에 본 발명의 구체예에 따라 PNL 증착, 필요한 경우 다중-펄스 처리 및 CVD를 수행할 수 있는 다중-스테이션 반응기(2009)가 고정된다. 챔버(2009)는 이러한 조작을 연속으로 수행할 수 있는 다중 스테이션(2011, 2013, 2015 및 2017)을 포함할 수 있다. 예를 들어, 챔버(2009)는 스테이션(2011)이 PNL 증착을 수행하고, 스테이션(2013)이 다중-펄스 처리를 수행하고, 스테이션(2015)과 스테이션(2017)이 CVD를 수행하도록 구성될 수 있다. 각 증착 스테이션은 가열된 웨이퍼 페데스탈(pedestal) 및 샤워헤드(showerhead), 분산 플레이트(dispersion plate) 또는 다른 가스 유입구를 포함한다. 웨이퍼 지지대(support)(2102) 및 샤워헤드(2103)를 포함하는 증착 스테이션(2100)의 예가 도 21에 나타난다. 가열기는 페데스탈 부분(2101)에 장비될 수 있다.
또한 수송 모듈(2003)에 플라스마 또는 화학적(비-플라스마) 예비세척을 수행할 수 있는 하나 이상의 단일 또는 다중-스테이션 모듈(2007)이 고정될 수 있다. 상기 모듈은 또한 다양한 다른 처리, 예를 들어 라이너 텅스텐 니트라이드 후처리에 사용될 수 있다. 시스템(2000)은 또한 웨이퍼가 가공 전후에 보관되는 하나 이상의 (이 경우에 둘의) 웨이퍼 공급원 모듈(2001)을 포함한다. 대기 수송 챔버(2019) 안의 대기 로봇(나타나지 않음)이 먼저 웨이퍼를 공급원 모듈(2001)로부터 로드락(loadlock)(2021)으로 이동시킨다. 수송 모듈(2003) 안의 웨이퍼 수송 장치(일반적으로 로봇 팔 유닛)가 웨이퍼를 로드락(2021)으로부터 특히 수송 모듈(2003)에 고정된 모듈로 이동시킨다.
특정 구체예에서, 시스템 컨트롤러(2029)가 증착 동안 공정 조건 제어에 사용된다. 컨트롤러는 전형적으로 하나 이상의 메모리 디바이스 및 하나 이상의 프로세서를 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 커넥션, 스텝퍼 모터 컨트롤러 보드 등을 포함할 수 있다.
컨트롤러는 증착 장치의 모든 활동을 제어할 수 있다. 시스템 컨트롤러는 특정 공정의 타이밍, 가스 혼합, 챔버 압력, 챔버 온도, 웨이퍼 온도, RF 출력 수준, 웨이퍼 척 또는 페데스탈 위치, 및 다른 파라미터를 제어하기 위한 지시의 세트를 포함하는 시스템 컨트롤 소프트웨어를 실행한다. 컨트롤러에 관련된 메모리 디바이스에 저장된 다른 컴퓨터 프로그램이 일부 구체예에서 사용될 수 있다.
전형적으로 컨트롤러에 연관된 사용자 인터페이스가 존재할 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 공정 조건의 그래픽 소프트웨어 디스플레이, 및 포인팅 디바이스, 키보드, 터치 스크린, 마이크로폰과 같은 사용자 입력 디바이스 등을 포함할 수 있다.
공정 시퀀스에서 증착 및 다른 공정을 제어하기 위한 컴퓨터 프로그램 코드는 컴퓨터 가독성의 임의의 통상적인 프로그래밍 언어: 예를 들어, 어셈블리 언어, C, C++, 파스칼, 포트란 또는 다른 언어로 작성될 수 있다. 컴파일된 오브젝트 코드 또는 스크립트는 프로그램에 명시된 작업을 수행하기 위하여 프로세서에 의하여 실행된다.
컨트롤러 파라미터는 예를 들어 공정 가스 조성과 유량, 온도, 압력, RF 출력 수준 및 저주파 RF 주파수와 같은 플라스마 조건, 냉각 가스 압력, 및 챔버 벽 온도와 같은 공정 조건에 관련된다. 이러한 파라미터는 사용자에게 레서피(recipe)의 형태로 제공되고, 사용자 인터페이스를 사용하여 입력될 수 있다.
공정을 모니터링하기 위한 신호가 시스템 컨트롤러의 아날로그 및/또는 디지털 입력 커넥션에 의하여 제공될 수 있다. 공정 제어를 위한 신호는 증착 장치의 아날로그 및 디지털 출력 커넥션의 출력이다.
시스템 소프트웨어는 여러 상이한 방식으로 설계되거나 구성될 수 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴 또는 컨트롤 오브젝트가 본 발명의 증착 공정 수행에 필요한 챔버 컴포넌트의 조업 제어에 기록될 수 있다. 이러한 목적의 프로그램 또는 프로그램의 섹션의 예에는 기판 위치지정 코드, 공정 가스 제어 코드, 압력 제어 코드, 가열기 제어 코드, 및 플라스마 제어 코드가 포함된다.
기판 위치지정 프로그램은 기판을 페데스탈 또는 척에 장착하고, 기판과 가스 유입구 및/또는 타겟과 같은 챔버의 다른 부분 사이의 공간을 제거하기 위하여 사용되는 챔버 컴포넌트 제어를 위한 프로그램 코드일 수 있다. 공정 가스 제어 프로그램은 가스 조성과 유량을 제어하고 챔버 내의 압력을 안정시키기 위하여 선택적으로 증착에 앞서 가스를 챔버에 흘러들어가게 하기 위한 코드를 포함할 수 있다. 압력 제어 프로그램은, 예를 들어 챔버의 배출 시스템 안의 쓰로틀 밸브(throttle valve)를 조절하여 챔버 안의 압력을 제어하기 위한 코드를 포함할 수 있다. 가열기 제어 프로그램은 기판 가열에 사용되는 가열 유닛의 전류를 제어하기 위한 코드를 포함할 수 있다. 대안으로, 가열기 제어 프로그램은 헬륨과 같은 열전달 가스를 웨이퍼 척에 전달하는 것을 제어할 수 있다.
증착 동안 모니터링될 수 있는 챔버 센서의 예에는 질량흐름 컨트롤러, 압력계(manometer)와 같은 압력 센서, 및 페데스탈 또는 척에 위치하는 열전쌍(thermocouple)이 포함된다. 적절하게 프로그램된 피드백 및 제어 알고리즘이 원하는 공정 조건을 유지하기 위하여 이러한 센서의 데이터와 함께 사용될 수 있다. 이후로는 단일 또는 다중-챔버 반도체 가공 장비에서 본 발명의 구체예의 실행을 기재한다.
적용
본 발명은 여러 상이한 용도를 위한 얇은, 저저항률 텅스텐층 증착에 사용될 수 있다. 한 용도는 전자 디바이스에서 흔히 발견되는 비아, 컨택트 및 다른 텅스텐 구조물이다. 다른 용도는 메모리 칩 및 마이크로프로세서와 같은 집적회로에서 인터커넥트이다. 인터커넥트는 단일 배선층(metallization layer)에서 발견되는 전류 라인(current line)이며, 일반적으로 길고 얇으며 평평한 구조물이다. 인터커넥트 용도의 주요 예는 메모리 칩의 비트 라인(bit line)이다. 일반적으로, 본 발명은 얇은, 저-저항률 텅스텐층이 필요한 임의의 환경에서 용도를 발견한다.
다른 구체예
본 발명이 여러 구체예에 대하여 기재되기는 하지만, 본 발명의 범위 내에 포함되는 수정, 변경, 치환, 및 대용의 균등물이 존재한다. 예를 들어, 앞의 기재가 주로 피처 충전에 관한 것이지만, 상기한 방법이 또한 블랭킷 표면에 저저항률 텅스텐막을 증착하기 위하여 사용될 수 있다. 이는 (상기한 공정에 의한) 텅스텐층의 블랭킷 증착에 이어서 전류 수송 텅스텐 라인의 위치를 한정하는 패턴화 조업 및 텅스텐 라인 외부 영역으로부터의 텅스텐 제거에 의하여 형성될 수 있다.
또한 본 발명의 방법과 장치를 구현하는 많은 대안의 방식이 존재함에 유념해야 한다. 그러므로 다음에 첨부한 청구범위가 본 발명의 진정한 사상과 범위 내에 포함되는 모든 수정, 변경, 치환, 및 대용의 균등물을 포함하는 것으로 해석되도록 의도된다.

Claims (20)

  1. 다음 단계를 포함하는, 반응 챔버에서 기판에 텅스텐막을 형성하는 방법:
    텅스텐 핵형성층을 기판에 증착하는 단계;
    핵형성층을 다수의 환원제 펄스에 노출시키는 것을 포함하는 저저항률(low-resistivity) 처리 조업을 수행하는 단계 및; 및
    화학기상증착(CVD)으로 텅스텐 핵형성층(nucleation layer) 위에 벌크 텅스텐 물질을 증착하는 단계;
    여기서 저저항률 처리 조업 동안 실질적으로 텅스텐이 증착되지 않고, 저저항률 처리 조업 동안 기판 온도가 약 250℃ 내지 350℃로 유지됨.
  2. 제1항에 있어서, 벌크 텅스텐 물질 증착이 저온 CVD 공정을 통하여 저온 벌크 텅스텐 CVD층을 텅스텐 핵형성층에 증착하는 것을 포함하고; 저온 CVD 공정 동안 기판 온도가 약 250℃ 내지 350℃로 유지되는, 기판에 텅스텐막을 형성하는 방법.
  3. 제2항에 있어서, 저온 CVD 벌크 텅스텐 CVD층을 증착한 후 기판 온도를 적어도 약 50℃ 상승시키고, 기판 온도를 상승시킨 후 고온 벌크 텅스텐 CVD층을 저온 벌크 텅스텐 CVD층에 증착하는 것을 추가로 포함하는, 기판에 텅스텐막을 형성하는 방법.
  4. 제1항에 있어서, 기판이 리세스된 피처를 포함하고, 텅스텐 핵형성층 증착이 리세스된 피처에 등각성(conformal) 핵형성층을 증착하는 것을 포함하고, 텅스텐 벌크 물질 증착이 피처를 텅스텐으로 충전하는 것을 포함하는, 기판에 텅스텐막을 형성하는 방법.
  5. 제4항에 있어서, 리세스된 피처에 등각성 텅스텐 핵형성층을 증착하는 것이 약 250-350℃의 기판 온도에서 기판을 붕소-함유 환원제와 텅스텐 함유 전구물질의 교대하는 펄스에 노출시키는 것을 포함하고, 여기서 펄스 동안 또는 펄스 사이에 수소가 흐르지 않는, 기판에 텅스텐막을 형성하는 방법.
  6. 제1항에 있어서, 저저항률 처리 조업 동안 기판 온도가 약 250℃ 내지 325℃로 유지되는, 기판에 텅스텐막을 형성하는 방법.
  7. 제1항에 있어서, 저저항률 처리 조업 수행이 핵형성층을 중간의 텅스텐-전구물질 펄스가 없는 다수의 환원제 펄스에 노출시키는 것을 포함하는, 기판에 텅스텐막을 형성하는 방법.
  8. 제1항에 있어서, 환원제가 붕소-함유 화합물인, 기판에 텅스텐막을 형성하는 방법.
  9. 제8항에 있어서, 기판이 저저항률 처리 조업 동안 약 1E-5 내지 1E-2 몰의 붕소-함유 화합물에 노출되는, 기판에 텅스텐막을 형성하는 방법.
  10. 제8항에 있어서, 기판이 저저항률 처리 조업 동안 약 1E-4 내지 1E-3 몰의 붕소-함유 화합물에 노출되는, 기판에 텅스텐막을 형성하는 방법.
  11. 다음 단계를 포함하는, 기판상의 리세스된 피처 충전 방법:
    필드 영역(field region) 및 필드 영역으로부터 리세스된(recessed) 제1 피처를 가지는 기판을 제공하는 단계, 상기 리세스된 피처는 측벽, 바닥 및 개구(opening)를 포함함;
    등각성 텅스텐 핵형성층을 리세스된 피처의 측벽 및 바닥에 증착하는 단계;
    화학기상증착(CVD) 공정을 통하여 저온 CVD 텅스텐 벌크층으로 피처를 부분 충전하는 단계; 여기서 CVD 공정 동안 기판 온도가 약 250℃ 내지 350℃로 유지됨; 및
    고온 CVD 공정을 통하여 고온 CVD 텅스텐 벌크층으로 피처의 충전을 완료하는 단계; 여기서 고온 CVD 공정 동안 기판 온도가 약 350℃ 내지 450℃이고, 고온 CVD 공정 동안의 기판 온도가 저온 CVD 공정 동안의 기판 온도보다 적어도 약 25℃ 더 높음.
  12. 제11항에 있어서, 피처 부분 충전 후 및 피처의 충전 완료 전에 기판 온도를 적어도 약 30℃ 상승시키는 것을 추가로 포함하는, 기판에 텅스텐막을 형성하는 방법.
  13. 제11항에 있어서, 리세스된 피처가 적어도 10:1의 종횡비를 가지는, 기판상의 리세스된 피처 충전 방법.
  14. 제11항에 있어서, 리세스된 제1 피처가 적어도 20:1의 종횡비를 가지는, 기판상의 리세스된 피처 충전 방법.
  15. 제11항에 있어서, 리세스된 제1 피처 개구의 폭이 약 100 nm 이하인, 기판상의 리세스된 피처 충전 방법.
  16. 제11항에 있어서, 리세스된 제1 피처 개구의 폭이 약 50 nm 이하인, 기판상의 리세스된 피처 충전 방법.
  17. 제11항에 있어서, 리세스된 제1 피처 개구의 폭이 약 40 nm 이하인, 기판상의 리세스된 피처 충전 방법.
  18. 다음 단계를 포함하는, 기판상의 리세스된 피처 충전 방법:
    필드 영역 및 필드 영역으로부터 리세스된 제1 피처를 가지는 기판을 제공하는 단계, 상기 리세스된 피처는 측벽, 바닥 및 개구를 포함함;
    리세스된 피처의 측벽 및 바닥에 등각성 텅스텐 핵형성층을 증착하는 단계;
    핵형성층을 다수의 환원제 펄스에 노출시키는 것을 포함하는 저저항률 처리 조업을 수행하는 단계, 여기서 저저항률 처리 조업 동안 기판 온도가 약 250℃ 내지 350℃로 유지됨;
    저저항률 처리 조업 수행 후, 피처 충전을 위하여 다중-스테이지 화학기상증착(CVD) 공정을 수행하는 단계, 상기 다중-스테이지 CVD 공정은 증착 동안 기판 온도가 약 250℃ 내지 350℃로 유지되는 제1 스테이지 및 증착 동안 기판 온도가 약 375℃ 내지 450℃로 유지되는 제2 스테이지를 포함함,
    여기서 피처는 제1 스테이지 후 단지 부분적으로만 충전되고 제2 스테이지 후 완전히 충전됨.
  19. 제18항에 있어서, 저저항률 처리 조업 동안 실질적으로 텅스텐이 증착되지 않는, 기판상의 리세스된 피처 충전 방법.
  20. 다음을 포함하는, 기판에 텅스텐막을 증착하기 위한 장치:
    a) 다음을 포함하는 다중스테이션 기판 증착 챔버:
    텅스텐 핵형성층 증착 스테이션, 증착 스테이션은 기판 지지대(support) 및 기판을 가스의 펄스에 노출시키도록 구성된 하나 이상의 가스 유입구를 포함함;
    저저항률 처리 스테이션, 저저항률 처리 스테이션은 기판 지지대 및 기판을 가스의 펄스에 노출시키도록 구성된 하나 이상의 기체 유입구를 포함함;
    제1 텅스텐 벌크층 증착 스테이션, 제1 텅스텐 벌크층 증착 스테이션은 기판 지지대 및 기판을 가스에 노출시키도록 구성된 하나 이상의 기체 유입구를 포함함;
    제2 텅스텐 벌크층 증착 스테이션, 제2 텅스텐 벌크층 증착 스테이션은 기판 지지대 및 기판을 가스에 노출시키도록 구성된 하나 이상의 기체 유입구를 포함함; 및
    b) 다중스테이션 증착 챔버 내의 조업을 제어하기 위한 컨트롤러, 조업은 다음을 포함함:
    i) 텅스텐 핵형성층을 기판 표면에 증착하기 위하여 텅스텐 핵형성층 증착 스테이션에서 다수의 환원제 펄스/퍼지 가스 펄스/텅스텐-함유 전구물질 펄스 사이클을 펄싱(pulsing);
    ii) 환원제 노출 스테이션에서 다수의 환원제 펄스를 펄싱;
    iii) 기판 온도를 약 250℃ 내지 350℃로 유지시키면서 환원제와 텅스텐-함유 전구물질을 동시에 제1 텅스텐 벌크 증착 스테이션에 흘러 들어가게 함; 및
    iv) 기판 온도를 약 375℃ 내지 450℃로 유지시키면서 환원제와 텅스텐-함유 전구물질을 동시에 제2 텅스텐 벌크 증착 스테이션에 흘러 들어가게 함.
KR1020140090283A 2009-04-16 2014-07-17 작은 임계 치수의 컨택트 및 인터커넥트를 위한 초박 저저항률 텅스텐막 증착 방법 KR101642917B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US16995409P 2009-04-16 2009-04-16
US61/169,954 2009-04-16
US12/755,259 US8623733B2 (en) 2009-04-16 2010-04-06 Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US12/755,259 2010-04-06

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR20100035453A Division KR101495372B1 (ko) 2009-04-16 2010-04-16 작은 임계 치수의 컨택트 및 인터커넥트를 위한 초박 저저항률 텅스텐막 증착 방법

Publications (2)

Publication Number Publication Date
KR20140096253A true KR20140096253A (ko) 2014-08-05
KR101642917B1 KR101642917B1 (ko) 2016-07-26

Family

ID=42981318

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020100035449A KR101383384B1 (ko) 2009-04-16 2010-04-16 작은 임계 치수를 가지는 텅스텐 컨택트 및 인터커넥트 형성 방법
KR20100035453A KR101495372B1 (ko) 2009-04-16 2010-04-16 작은 임계 치수의 컨택트 및 인터커넥트를 위한 초박 저저항률 텅스텐막 증착 방법
KR1020140090283A KR101642917B1 (ko) 2009-04-16 2014-07-17 작은 임계 치수의 컨택트 및 인터커넥트를 위한 초박 저저항률 텅스텐막 증착 방법

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020100035449A KR101383384B1 (ko) 2009-04-16 2010-04-16 작은 임계 치수를 가지는 텅스텐 컨택트 및 인터커넥트 형성 방법
KR20100035453A KR101495372B1 (ko) 2009-04-16 2010-04-16 작은 임계 치수의 컨택트 및 인터커넥트를 위한 초박 저저항률 텅스텐막 증착 방법

Country Status (4)

Country Link
US (4) US8623733B2 (ko)
JP (2) JP5791167B2 (ko)
KR (3) KR101383384B1 (ko)
TW (3) TWI536458B (ko)

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7955972B2 (en) * 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US7772114B2 (en) * 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
US8058170B2 (en) * 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US10256142B2 (en) * 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8207062B2 (en) * 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
US8709948B2 (en) * 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US8883637B2 (en) 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
US8546250B2 (en) 2011-08-18 2013-10-01 Wafertech Llc Method of fabricating vertical integrated semiconductor device with multiple continuous single crystal silicon layers vertically separated from one another
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN110004429B (zh) 2012-03-27 2021-08-31 诺发系统公司 钨特征填充
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9169556B2 (en) 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
KR102076087B1 (ko) * 2013-08-19 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 불순물 적층 에피택시를 위한 장치
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
TWI672737B (zh) * 2013-12-27 2019-09-21 美商蘭姆研究公司 允許低電阻率鎢特徵物填充之鎢成核程序
US9899234B2 (en) 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
WO2016046909A1 (ja) * 2014-09-24 2016-03-31 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、半導体装置およびプログラム
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US9449921B1 (en) 2015-12-15 2016-09-20 International Business Machines Corporation Voidless contact metal structures
CN107026113B (zh) * 2016-02-02 2020-03-31 中芯国际集成电路制造(上海)有限公司 半导体装置的制造方法和系统
US9875959B2 (en) * 2016-06-09 2018-01-23 International Business Machines Corporation Forming a stacked capacitor
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
KR20180026995A (ko) 2016-09-05 2018-03-14 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
KR20230127377A (ko) 2017-04-10 2023-08-31 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
JP6788545B2 (ja) * 2017-04-26 2020-11-25 東京エレクトロン株式会社 タングステン膜を形成する方法
CN108987347B (zh) 2017-05-31 2020-10-09 联华电子股份有限公司 半导体结构的制作方法
CN111095488A (zh) 2017-08-14 2020-05-01 朗姆研究公司 三维竖直nand字线的金属填充过程
KR102401177B1 (ko) 2017-08-31 2022-05-24 삼성전자주식회사 반도체 장치
KR102489425B1 (ko) * 2018-04-24 2023-01-18 어플라이드 머티어리얼스, 인코포레이티드 장벽 층이 없는 텅스텐 증착
JP2021523292A (ja) 2018-05-03 2021-09-02 ラム リサーチ コーポレーションLam Research Corporation 3d nand構造内にタングステンおよび他の金属を堆積させる方法
TW202203305A (zh) 2018-05-04 2022-01-16 美商應用材料股份有限公司 金屬膜沉積
KR20210007031A (ko) * 2018-06-07 2021-01-19 램 리써치 코포레이션 막 계면들을 가로지른 확산의 감소
WO2020028587A1 (en) * 2018-07-31 2020-02-06 Lam Research Corporation Multi-layer feature fill
KR20210087551A (ko) 2018-11-30 2021-07-12 램 리써치 코포레이션 메모리 어플리케이션들을 위한 라인 벤딩 (bending) 제어
SG11202106002VA (en) * 2018-12-05 2021-07-29 Lam Res Corp Void free low stress fill
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures
US11970776B2 (en) 2019-01-28 2024-04-30 Lam Research Corporation Atomic layer deposition of metal films
US11821071B2 (en) 2019-03-11 2023-11-21 Lam Research Corporation Precursors for deposition of molybdenum-containing films
JP2022533834A (ja) * 2019-05-22 2022-07-26 ラム リサーチ コーポレーション 核生成のないタングステン堆積
JP7295749B2 (ja) * 2019-09-13 2023-06-21 キオクシア株式会社 半導体装置の製造方法
US11205589B2 (en) * 2019-10-06 2021-12-21 Applied Materials, Inc. Methods and apparatuses for forming interconnection structures
US20230023235A1 (en) * 2021-07-26 2023-01-26 Applied Materials, Inc. Enhanced stress tuning and interfacial adhesion for tungsten (w) gap fill
TW202340505A (zh) * 2021-12-07 2023-10-16 美商蘭姆研究公司 利用成核抑制的特徵部填充

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080124926A1 (en) * 2001-05-22 2008-05-29 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film

Family Cites Families (232)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
DE1763823B1 (de) * 1968-08-16 1971-11-11 Ellenberger & Poensgen Elektromagnetischer anlasschalter mit einem drehbaren magnet anker fuer einphaseninduktionsmotoren
JPS5629648A (en) 1979-08-16 1981-03-25 Toshiba Tungaloy Co Ltd High hardness sintered body
JPS62216224A (ja) 1986-03-17 1987-09-22 Fujitsu Ltd タングステンの選択成長方法
JPS62260340A (ja) 1986-05-06 1987-11-12 Toshiba Corp 半導体装置の製造方法
US4746375A (en) * 1987-05-08 1988-05-24 General Electric Company Activation of refractory metal surfaces for electroless plating
US4962063A (en) 1988-11-10 1990-10-09 Applied Materials, Inc. Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing
US5250329A (en) * 1989-04-06 1993-10-05 Microelectronics And Computer Technology Corporation Method of depositing conductive lines on a dielectric
GB8907898D0 (en) 1989-04-07 1989-05-24 Inmos Ltd Semiconductor devices and fabrication thereof
US5028565A (en) * 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
EP1069610A2 (en) 1990-01-08 2001-01-17 Lsi Logic Corporation Refractory metal deposition process for low contact resistivity to silicon and corresponding apparatus
KR100209856B1 (ko) 1990-08-31 1999-07-15 가나이 쓰도무 반도체장치의 제조방법
US5250467A (en) 1991-03-29 1993-10-05 Applied Materials, Inc. Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
US5567583A (en) 1991-12-16 1996-10-22 Biotronics Corporation Methods for reducing non-specific priming in DNA detection
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5326723A (en) * 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
KR950012738B1 (ko) * 1992-12-10 1995-10-20 현대전자산업주식회사 반도체소자의 텅스텐 콘택 플러그 제조방법
KR970009867B1 (ko) 1993-12-17 1997-06-18 현대전자산업 주식회사 반도체 소자의 텅스텐 실리사이드 형성방법
EP0704551B1 (en) 1994-09-27 2000-09-06 Applied Materials, Inc. Method of processing a substrate in a vacuum processing chamber
JPH08115984A (ja) 1994-10-17 1996-05-07 Hitachi Ltd 半導体装置及びその製造方法
US6001729A (en) 1995-01-10 1999-12-14 Kawasaki Steel Corporation Method of forming wiring structure for semiconductor device
JP2737764B2 (ja) 1995-03-03 1998-04-08 日本電気株式会社 半導体装置及びその製造方法
JPH0927596A (ja) 1995-07-11 1997-01-28 Sanyo Electric Co Ltd 半導体装置の製造方法
US5863819A (en) 1995-10-25 1999-01-26 Micron Technology, Inc. Method of fabricating a DRAM access transistor with dual gate oxide technique
US6017818A (en) 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
US5833817A (en) 1996-04-22 1998-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving conformity and contact bottom coverage of sputtered titanium nitride barrier layers
US5633200A (en) 1996-05-24 1997-05-27 Micron Technology, Inc. Process for manufacturing a large grain tungsten nitride film and process for manufacturing a lightly nitrided titanium salicide diffusion barrier with a large grain tungsten nitride cover layer
US5963833A (en) 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5916634A (en) 1996-10-01 1999-06-29 Sandia Corporation Chemical vapor deposition of W-Si-N and W-B-N
KR100214852B1 (ko) * 1996-11-02 1999-08-02 김영환 반도체 디바이스의 금속 배선 형성 방법
US6310300B1 (en) 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
KR100255516B1 (ko) * 1996-11-28 2000-05-01 김영환 반도체 장치의 금속배선 및 그 형성방법
US6297152B1 (en) * 1996-12-12 2001-10-02 Applied Materials, Inc. CVD process for DCS-based tungsten silicide
JP3090074B2 (ja) 1997-01-20 2000-09-18 日本電気株式会社 半導体装置及びその製造方法
US5804249A (en) 1997-02-07 1998-09-08 Lsi Logic Corporation Multistep tungsten CVD process with amorphization step
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6037248A (en) * 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US5956609A (en) * 1997-08-11 1999-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing stress and improving step-coverage of tungsten interconnects and plugs
US5795824A (en) * 1997-08-28 1998-08-18 Novellus Systems, Inc. Method for nucleation of CVD tungsten films
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US5926720A (en) * 1997-09-08 1999-07-20 Lsi Logic Corporation Consistent alignment mark profiles on semiconductor wafers using PVD shadowing
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6861356B2 (en) * 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
US6284316B1 (en) 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
JPH11260759A (ja) * 1998-03-12 1999-09-24 Fujitsu Ltd 半導体装置の製造方法
US6452276B1 (en) 1998-04-30 2002-09-17 International Business Machines Corporation Ultra thin, single phase, diffusion barrier for metal conductors
US6066366A (en) * 1998-07-22 2000-05-23 Applied Materials, Inc. Method for depositing uniform tungsten layers by CVD
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100273767B1 (ko) 1998-10-28 2001-01-15 윤종용 반도체소자의 텅스텐막 제조방법 및 그에 따라 제조되는 반도체소자
US6037263A (en) * 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
US6331483B1 (en) * 1998-12-18 2001-12-18 Tokyo Electron Limited Method of film-forming of tungsten
KR100296126B1 (ko) 1998-12-22 2001-08-07 박종섭 고집적 메모리 소자의 게이트전극 형성방법
US20010014533A1 (en) * 1999-01-08 2001-08-16 Shih-Wei Sun Method of fabricating salicide
JP3206578B2 (ja) * 1999-01-11 2001-09-10 日本電気株式会社 多層配線構造をもつ半導体装置の製造方法
JP4570704B2 (ja) 1999-02-17 2010-10-27 株式会社アルバック バリア膜製造方法
US6306211B1 (en) 1999-03-23 2001-10-23 Matsushita Electric Industrial Co., Ltd. Method for growing semiconductor film and method for fabricating semiconductor device
US6245654B1 (en) 1999-03-31 2001-06-12 Taiwan Semiconductor Manufacturing Company, Ltd Method for preventing tungsten contact/via plug loss after a backside pressure fault
US6294468B1 (en) * 1999-05-24 2001-09-25 Agere Systems Guardian Corp. Method of chemical vapor depositing tungsten films
US6720261B1 (en) 1999-06-02 2004-04-13 Agere Systems Inc. Method and system for eliminating extrusions in semiconductor vias
US6174812B1 (en) * 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
US6265312B1 (en) * 1999-08-02 2001-07-24 Stmicroelectronics, Inc. Method for depositing an integrated circuit tungsten film stack that includes a post-nucleation pump down step
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
EP1136595A4 (en) 1999-08-30 2006-07-19 Ebara Corp METHOD FOR MEASURING THE DIRECT CONCENTRATION OF COATING SOLUTIONS AND METHOD AND DEVICE FOR CONTROLLING COATING SOLUTIONS
US6309966B1 (en) 1999-09-03 2001-10-30 Motorola, Inc. Apparatus and method of a low pressure, two-step nucleation tungsten deposition
US6303480B1 (en) 1999-09-13 2001-10-16 Applied Materials, Inc. Silicon layer to improve plug filling by CVD
US6610151B1 (en) * 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US6924226B2 (en) * 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
EP1221178A1 (en) * 1999-10-15 2002-07-10 ASM America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
KR100330163B1 (ko) 2000-01-06 2002-03-28 윤종용 반도체 장치의 텅스텐 콘택 플러그 형성 방법
US6277744B1 (en) 2000-01-21 2001-08-21 Advanced Micro Devices, Inc. Two-level silane nucleation for blanket tungsten deposition
US6777331B2 (en) * 2000-03-07 2004-08-17 Simplus Systems Corporation Multilayered copper structure for improving adhesion property
US6429126B1 (en) * 2000-03-29 2002-08-06 Applied Materials, Inc. Reduced fluorine contamination for tungsten CVD
EP1290746B1 (en) * 2000-05-18 2012-04-25 Corning Incorporated High performance solid electrolyte fuel cells
JP3651360B2 (ja) 2000-05-19 2005-05-25 株式会社村田製作所 電極膜の形成方法
US7253076B1 (en) * 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
US6875212B2 (en) * 2000-06-23 2005-04-05 Vertelink Corporation Curable media for implantable medical device
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7732327B2 (en) * 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6491978B1 (en) 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
US6218301B1 (en) 2000-07-31 2001-04-17 Applied Materials, Inc. Deposition of tungsten films from W(CO)6
DE60113214T2 (de) 2000-11-02 2006-06-08 Shipley Co., L.L.C., Marlborough Plattierungsbadanalyse
US6740591B1 (en) * 2000-11-16 2004-05-25 Intel Corporation Slurry and method for chemical mechanical polishing of copper
US6913996B2 (en) * 2000-11-17 2005-07-05 Tokyo Electron Limited Method of forming metal wiring and semiconductor manufacturing apparatus for forming metal wiring
KR100375230B1 (ko) * 2000-12-20 2003-03-08 삼성전자주식회사 매끄러운 텅스텐 표면을 갖는 반도체 장치의 배선 제조방법
US6908848B2 (en) * 2000-12-20 2005-06-21 Samsung Electronics, Co., Ltd. Method for forming an electrical interconnection providing improved surface morphology of tungsten
KR100399417B1 (ko) 2001-01-08 2003-09-26 삼성전자주식회사 반도체 집적 회로의 제조 방법
US20020117399A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
KR20020072996A (ko) 2001-03-14 2002-09-19 주성엔지니어링(주) 금속 플러그 형성방법
US6740221B2 (en) 2001-03-15 2004-05-25 Applied Materials Inc. Method of forming copper interconnects
US20020190379A1 (en) 2001-03-28 2002-12-19 Applied Materials, Inc. W-CVD with fluorine-free tungsten nucleation
US20020168840A1 (en) 2001-05-11 2002-11-14 Applied Materials, Inc. Deposition of tungsten silicide films
US7262125B2 (en) * 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US7141494B2 (en) * 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7955972B2 (en) * 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US6686278B2 (en) 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
WO2003029515A2 (en) * 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
JP2005504885A (ja) * 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
JP4032872B2 (ja) * 2001-08-14 2008-01-16 東京エレクトロン株式会社 タングステン膜の形成方法
JP4595989B2 (ja) 2001-08-24 2010-12-08 東京エレクトロン株式会社 成膜方法
US6607976B2 (en) * 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
JP2003142484A (ja) 2001-10-31 2003-05-16 Mitsubishi Electric Corp 半導体装置の製造方法
US6566262B1 (en) 2001-11-01 2003-05-20 Lsi Logic Corporation Method for creating self-aligned alloy capping layers for copper interconnect structures
US20030091739A1 (en) 2001-11-14 2003-05-15 Hitoshi Sakamoto Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US20030091870A1 (en) 2001-11-15 2003-05-15 Siddhartha Bhowmik Method of forming a liner for tungsten plugs
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6566250B1 (en) 2002-03-18 2003-05-20 Taiwant Semiconductor Manufacturing Co., Ltd Method for forming a self aligned capping layer
US6797620B2 (en) 2002-04-16 2004-09-28 Applied Materials, Inc. Method and apparatus for improved electroplating fill of an aperture
KR100446300B1 (ko) 2002-05-30 2004-08-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US7144488B2 (en) 2002-06-05 2006-12-05 Shipley Company, L.L.C. Electrode, electrochemical cell, and method for analysis of electroplating baths
US6905543B1 (en) * 2002-06-19 2005-06-14 Novellus Systems, Inc Methods of forming tungsten nucleation layer
TWI287559B (en) 2002-08-22 2007-10-01 Konica Corp Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film
US6706625B1 (en) * 2002-12-06 2004-03-16 Chartered Semiconductor Manufacturing Ltd. Copper recess formation using chemical process for fabricating barrier cap for lines and vias
US6962873B1 (en) 2002-12-10 2005-11-08 Novellus Systems, Inc. Nitridation of electrolessly deposited cobalt
US7311944B2 (en) * 2002-12-23 2007-12-25 Applied Thin Films, Inc. Aluminum phosphate coatings
JP2004235456A (ja) * 2003-01-30 2004-08-19 Seiko Epson Corp 成膜装置、成膜方法および半導体装置の製造方法
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
JP3956049B2 (ja) * 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US6844258B1 (en) * 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
KR20060079144A (ko) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
JP2005029821A (ja) 2003-07-09 2005-02-03 Tokyo Electron Ltd 成膜方法
US7754604B2 (en) * 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
JP4606006B2 (ja) * 2003-09-11 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6924223B2 (en) 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
US7078341B2 (en) 2003-09-30 2006-07-18 Tokyo Electron Limited Method of depositing metal layers from metal-carbonyl precursors
KR100557626B1 (ko) 2003-12-23 2006-03-10 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
US20050139838A1 (en) 2003-12-26 2005-06-30 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacturing semiconductor device
KR101108304B1 (ko) 2004-02-26 2012-01-25 노벨러스 시스템즈, 인코포레이티드 질화 텅스텐의 증착
WO2005101473A1 (ja) * 2004-04-12 2005-10-27 Ulvac, Inc. バリア膜の形成方法、及び電極膜の形成方法
EP1741119B1 (en) 2004-04-21 2019-04-03 Lumileds Holding B.V. Method for the thermal treatment of tungsten electrodes free from thorium oxide for high-pressure discharge lamps
US8495305B2 (en) * 2004-06-30 2013-07-23 Citrix Systems, Inc. Method and device for performing caching of dynamically generated objects in a data communication network
US7605469B2 (en) 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060145190A1 (en) 2004-12-31 2006-07-06 Salzman David B Surface passivation for III-V compound semiconductors
KR100642750B1 (ko) 2005-01-31 2006-11-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7344983B2 (en) 2005-03-18 2008-03-18 International Business Machines Corporation Clustered surface preparation for silicide and metal contacts
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
JP4738178B2 (ja) * 2005-06-17 2011-08-03 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4945937B2 (ja) * 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
JP4864368B2 (ja) 2005-07-21 2012-02-01 シャープ株式会社 気相堆積方法
US7517798B2 (en) 2005-09-01 2009-04-14 Micron Technology, Inc. Methods for forming through-wafer interconnects and structures resulting therefrom
US7235485B2 (en) 2005-10-14 2007-06-26 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7524765B2 (en) 2005-11-02 2009-04-28 Intel Corporation Direct tailoring of the composition and density of ALD films
US7276796B1 (en) 2006-03-15 2007-10-02 International Business Machines Corporation Formation of oxidation-resistant seed layer for interconnect applications
JP2007250907A (ja) 2006-03-16 2007-09-27 Renesas Technology Corp 半導体装置およびその製造方法
US8258057B2 (en) 2006-03-30 2012-09-04 Intel Corporation Copper-filled trench contact for transistor performance improvement
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US7557047B2 (en) 2006-06-09 2009-07-07 Micron Technology, Inc. Method of forming a layer of material using an atomic layer deposition process
KR100884339B1 (ko) * 2006-06-29 2009-02-18 주식회사 하이닉스반도체 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법
US7355254B2 (en) 2006-06-30 2008-04-08 Intel Corporation Pinning layer for low resistivity N-type source drain ohmic contacts
KR100705936B1 (ko) 2006-06-30 2007-04-13 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성방법
GB2440115A (en) * 2006-07-14 2008-01-23 Alpa Shantilal Pabari Nit and lice removal comb
US8153831B2 (en) * 2006-09-28 2012-04-10 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
KR100894769B1 (ko) 2006-09-29 2009-04-24 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성방법
KR100881391B1 (ko) 2006-09-29 2009-02-05 주식회사 하이닉스반도체 반도체 소자의 게이트 형성방법
KR20080036679A (ko) * 2006-10-24 2008-04-29 삼성전자주식회사 불 휘발성 메모리 소자의 형성 방법
US7675119B2 (en) 2006-12-25 2010-03-09 Elpida Memory, Inc. Semiconductor device and manufacturing method thereof
US20080254619A1 (en) 2007-04-14 2008-10-16 Tsang-Jung Lin Method of fabricating a semiconductor device
CN101308794B (zh) * 2007-05-15 2010-09-15 应用材料股份有限公司 钨材料的原子层沉积
JP2008288289A (ja) 2007-05-16 2008-11-27 Oki Electric Ind Co Ltd 電界効果トランジスタとその製造方法
US7655567B1 (en) * 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US7879222B2 (en) 2007-08-27 2011-02-01 Eci Technology, Inc. Detection of additive breakdown products in acid copper plating baths
CN101952944B (zh) 2007-11-21 2013-01-02 朗姆研究公司 控制对含钨层的蚀刻微负载的方法及其设备
US8080324B2 (en) 2007-12-03 2011-12-20 Kobe Steel, Ltd. Hard coating excellent in sliding property and method for forming same
US7772114B2 (en) * 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
KR101163825B1 (ko) 2008-03-28 2012-07-09 도쿄엘렉트론가부시키가이샤 정전척 및 그 제조 방법
US8058170B2 (en) * 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US8551885B2 (en) * 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
KR101015526B1 (ko) * 2008-09-02 2011-02-16 주식회사 동부하이텍 마스크와 그를 이용한 반도체 소자 제조 방법
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US20100072623A1 (en) 2008-09-19 2010-03-25 Advanced Micro Devices, Inc. Semiconductor device with improved contact plugs, and related fabrication methods
JP2010093116A (ja) 2008-10-09 2010-04-22 Panasonic Corp 半導体装置及び半導体装置の製造方法
US7964502B2 (en) 2008-11-25 2011-06-21 Freescale Semiconductor, Inc. Multilayered through via
US7825024B2 (en) 2008-11-25 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming through-silicon vias
US8110877B2 (en) 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
US8071478B2 (en) 2008-12-31 2011-12-06 Applied Materials, Inc. Method of depositing tungsten film with reduced resistivity and improved surface morphology
DE102009015747B4 (de) 2009-03-31 2013-08-08 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US8039394B2 (en) 2009-06-26 2011-10-18 Seagate Technology Llc Methods of forming layers of alpha-tantalum
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8207062B2 (en) 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
WO2011062560A1 (en) 2009-11-19 2011-05-26 National University Of Singapore Method for producing t cell receptor-like monoclonal antibodies and uses thereof
DE102009055392B4 (de) 2009-12-30 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
US8709948B2 (en) * 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8778797B2 (en) 2010-09-27 2014-07-15 Novellus Systems, Inc. Systems and methods for selective tungsten deposition in vias
US20120199887A1 (en) 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties
US20120225191A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US8865594B2 (en) 2011-03-10 2014-10-21 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8546250B2 (en) 2011-08-18 2013-10-01 Wafertech Llc Method of fabricating vertical integrated semiconductor device with multiple continuous single crystal silicon layers vertically separated from one another
US8916435B2 (en) 2011-09-09 2014-12-23 International Business Machines Corporation Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory
US8617985B2 (en) 2011-10-28 2013-12-31 Applied Materials, Inc. High temperature tungsten metallization process
US9309605B2 (en) 2011-12-12 2016-04-12 Novellus Systems, Inc. Monitoring leveler concentrations in electroplating solutions
CN104272440B (zh) 2012-03-27 2017-02-22 诺发系统公司 用核化抑制的钨特征填充
CN110004429B (zh) 2012-03-27 2021-08-31 诺发系统公司 钨特征填充
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
KR101990051B1 (ko) 2012-08-31 2019-10-01 에스케이하이닉스 주식회사 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법
KR20140028992A (ko) 2012-08-31 2014-03-10 에스케이하이닉스 주식회사 텅스텐 게이트전극을 구비한 반도체장치 및 그 제조 방법
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9169556B2 (en) 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US8975142B2 (en) 2013-04-25 2015-03-10 Globalfoundries Inc. FinFET channel stress using tungsten contacts in raised epitaxial source and drain
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080124926A1 (en) * 2001-05-22 2008-05-29 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film

Also Published As

Publication number Publication date
TW201120959A (en) 2011-06-16
JP2010251759A (ja) 2010-11-04
US20140162451A1 (en) 2014-06-12
US20100267235A1 (en) 2010-10-21
US8623733B2 (en) 2014-01-07
TW201118948A (en) 2011-06-01
KR101495372B1 (ko) 2015-02-24
KR20100114856A (ko) 2010-10-26
US9673146B2 (en) 2017-06-06
JP2010251760A (ja) 2010-11-04
KR20100114855A (ko) 2010-10-26
JP5791167B2 (ja) 2015-10-07
US20100267230A1 (en) 2010-10-21
KR101642917B1 (ko) 2016-07-26
TWI536458B (zh) 2016-06-01
TWI623040B (zh) 2018-05-01
JP5700327B2 (ja) 2015-04-15
KR101383384B1 (ko) 2014-04-08
TWI529806B (zh) 2016-04-11
TW201643963A (zh) 2016-12-16
US20160118345A1 (en) 2016-04-28
US9236297B2 (en) 2016-01-12

Similar Documents

Publication Publication Date Title
KR101642917B1 (ko) 작은 임계 치수의 컨택트 및 인터커넥트를 위한 초박 저저항률 텅스텐막 증착 방법
KR102386744B1 (ko) 작은 임계 치수의 피쳐에서 텅스텐 컨택 저항을 개선하는 방법
JP7485736B2 (ja) 3d nandデバイス用の誘電体材料上におけるモリブデンを含有する低抵抗膜の成膜
US9159571B2 (en) Tungsten deposition process using germanium-containing reducing agent
US20200402846A1 (en) Self-limiting growth
US20170133231A1 (en) Method for depositing extremely low resistivity tungsten
JP5376361B2 (ja) タングステン膜の製造方法および装置
KR20140034081A (ko) 낮은 거칠기 및 낮은 저항을 갖는 텅스텐 막을 증착시키기 위한 방법
KR20150077376A (ko) 저 저항 텅스텐 피처 충진을 가능하게 하는 텅스텐 핵생성 프로세스
KR20230104542A (ko) 텅스텐 저 저항 펄싱된 cvd
JP2024502503A (ja) 3d-nand用の酸化物表面上へのモリブデン膜の堆積
TW202403884A (zh) 複合阻障層

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190710

Year of fee payment: 4