KR20140034081A - 낮은 거칠기 및 낮은 저항을 갖는 텅스텐 막을 증착시키기 위한 방법 - Google Patents

낮은 거칠기 및 낮은 저항을 갖는 텅스텐 막을 증착시키기 위한 방법 Download PDF

Info

Publication number
KR20140034081A
KR20140034081A KR1020130108151A KR20130108151A KR20140034081A KR 20140034081 A KR20140034081 A KR 20140034081A KR 1020130108151 A KR1020130108151 A KR 1020130108151A KR 20130108151 A KR20130108151 A KR 20130108151A KR 20140034081 A KR20140034081 A KR 20140034081A
Authority
KR
South Korea
Prior art keywords
tungsten
substrate
cvd
reducing agent
containing precursor
Prior art date
Application number
KR1020130108151A
Other languages
English (en)
Inventor
얀 구안
아뷔섹 마노하르
드어치 왕
펭 첸
라쉬나 후마윤
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20140034081A publication Critical patent/KR20140034081A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Abstract

낮은 거칠기를 갖는 낮은 저항 텅스텐 벌크층들을 제조하는 방법 및 관련된 장치가 제공된다. 다양한 실시형태들에 따르면, 방법은 높은 압력들 및/또는 높은 온도들에서의 텅스텐의 CVD 증착을 수반한다. 몇몇 실시형태들에서, CVD 증착은 교번하는 질소 가스 펄스들의 존재시에 발생하여, 막의 교번하는 부분들이 질소의 부재 및 질소의 존재 시에 CVD에 의해 증착되게 한다.

Description

낮은 거칠기 및 낮은 저항을 갖는 텅스텐 막을 증착시키기 위한 방법{METHOD FOR DEPOSITING TUNGSTEN FILM WITH LOW ROUGHNESS AND LOW RESISTIVITY}
관련 출원에 대한 상호-참조
본 출원은 2012년 9월 9일자로 출원된 미국 가특허출원 제 61/698,700호 및 2012년 10월 2일자로 출원된 미국 특허출원 제 13/633,798호에 대한 우선권을 주장하며, 그 양자는 그 전체가 인용으로서 포함된다.
본 발명은 텅스텐 막들을 준비하기 위한 방법들에 관한 것이다. 본 발명의 실시형태들은 낮은 전기 저항 및 낮은 거칠기를 갖는 얇은 텅스텐 막들을 요구하는 집적 회로 애플리케이션들에 유용하다.
화학 기상 증착 (CVD) 기술들을 사용하는 텅스텐 막들의 증착은 많은 반도체 제조 프로세스들의 필수 부분이다. 텅스텐 막들은, 수평 상호접속들, 인접한 금속층들 사이의 비아들, 및 실리콘 기판 상의 디바이스들과 제 1 금속층 사이의 콘택트 (contact) 들의 형태로 낮은 저항 전기 접속들로서 사용될 수도 있다. 종래의 텅스텐 증착 프로세스에서, 웨이퍼는 진공 챔버에서 프로세스 온도로 가열되고, 그 후, 시드 또는 핵형성 층 (nucleation layer) 으로서 기능하는 텅스텐 막의 매우 얇은 부분이 증착된다. 그 후, 텅스텐 막 (벌크층) 의 나머지가 핵형성 층 상에 증착된다. 종래에는, 텅스텐 벌크층이 성장하는 텅스텐 층 상에서의 수소 (H2) 를 갖는 텅스텐 헥사플로오라이드 (hexafluoride) (WF6) 의 감소에 의해 형성된다.
낮은 거칠기를 갖는 낮은 저항 텅스텐 벌크층들을 생성하는 방법들 및 관련장치가 제공된다. 다양한 실시형태들에 따르면, 방법들은 높은 압력들 및/또는 높은 온도들의 텅스텐의 CVD 증착을 수반한다. 몇몇 실시형태들에서, CVD 증착은 교번하는 질소 가스 펄스들의 존재 시에 발생하여, 막의 교번하는 부분들이 질소의 부재 및 질소의 존재 시에 CVD에 의해 증착된다.
일 양태는 기판 상에 텅스텐 막을 형성하는 방법이며, 그 방법은, 환원제 및 텅스텐-함유 전구체가 반응하여 그에 의해 제 1 화학 기상 증착 (CVD) 프로세스에서 기판 상에 텅스텐 막을 형성하도록 텅스텐-함유 전구체 및 환원제의 동시 흐름에 기판을 노출시키는 단계를 포함하며, 기판은 텅스텐-함유 전구체 및 환원제의 동시 흐름으로의 노출 동안 질소에 간헐적으로 노출되고, 챔버 압력은 제 1 CVD 프로세스 동안 약 60토르보다 높게 유지된다. 몇몇 실시형태들에서, 챔버 압력은 약 80토르와 100토르 사이에 있을 수 있다. 환원제들의 예들은 수소를 포함한다. 증착된 텅스텐 막의 저항은 500옹스트롱 및 4nm, 2nm 또는 그 미만의 거칠기에서 약 10마이크로-옴-센티미터 미만일 수 있다.
몇몇 실시형태들에서, 제 1 CVD 프로세스 동안의 기판 온도는 약 420℃ 보다 크고, 예를 들어, 약 440℃ 이상이다. 제 2 CVD 프로세스는 제 1 CVD 프로세스 이전에 수행될 수도 있다. 제 2 CVD 프로세스는 질소가 없는 상태 및/또는 약 350℃ 미만의 온도로 수행될 수 있다.
방법은 제 1 CVD 프로세스 이전에 기판 상에서 텅스텐 핵형성 층을 증착시키는 단계를 더 포함할 수 있다. 몇몇 실시형태들에서, 텅스텐 핵형성 층을 증착시키는 것은, 기판에 걸쳐 환원제 및 텅스텐 함유 전구체를 교번하여 펄싱 (pulse) 하여, 펄싱된 핵형성 층 (PNL) 프로세스에 의해 핵형성 층을 증착시키는 것을 수반한다. PNL 프로세스 동안의 기판 온도는 특정한 실시형태들에서 약 350℃ 미만일 수도 있다. 방법은 제 1 CVD 프로세스 이전에 환원제의 다수의 펄스들에 기판에 노출시키는 단계를 포함할 수 있다. 몇몇 실시형태들에서, 환원제의 다수의 펄스들은 임의의 개재 (intervening) 텅스텐-함유 전구체 펄스들 없이 존재한다.
또 다른 양태는 기판 상에 텅스텐 막을 형성하는 방법에 관한 것이며, 그 방법은, 2개 이상의 사이클들을 갖는 화학 기상 증착 (CVD) 프로세스에 의해 텅스텐 벌크층을 증착시키는 단계를 포함하며, 그 사이클들의 각각은, 텅스텐-함유 전구체가 텅스텐을 증착시키기 위해 질소의 부재시에 환원제에 의하여 환원되는 적어도 하나의 CVD 동작, 및 텅스텐-함유 전구체가 텅스텐을 증착시키기 위해 질소의 존재시에 환원제에 의하여 환원되는 적어도 하나의 CVD 동작을 포함한다. CVD 프로세스 동안의 프로세스 압력은 적어도 약 60토르로 유지된다. 중간 증착 반응들이 통상적으로 사이클들 사이에서 발생하지 않는다. 몇몇 실시형태들에서, 프로세스 압력은 CVD 프로세스 전반에 걸쳐 적어도 약 80토르로 유지된다. 몇몇 실시형태들에서, CVD 프로세스 전반에 걸친 기판 온도는 적어도 약 420℃ 이다.
또 다른 양태는 기판 상에 텅스텐 막을 증착시키기 위한 장치에 관한 것이며, 그 장치는 a) 프로세싱 챔버 내의 제 1 스테이션으로서, 제 1 스테이션은 제 1 기판 지지부를 갖는, 그 제 1 스테이션; b) 제 1 스테이션으로의 하나 이상의 가스 입구들; 및 c) 제 1 스테이션에서 동작들을 제어하기 위한 제어기로서, 그 제어기는 텅스텐-함유 전구체 및 환원제를 제 1 스테이션으로 흐르게 하고, 텅스텐-함유 전구체 및 환원제를 흐르게 하는 동안, 펄스들 사이의 지연들을 이용하여 스테이션에서 질소를 펄싱하기 위한 명령들을 포함하는, 그 제어기를 포함한다. 제어기는 적어도 60토르의 프로세스 챔버 압력 및/또는 적어도 약 420℃의 기판 지지부 온도를 유지하기 위한 명령들을 더 포함할 수 있다. 몇몇 실시형태들에서, 프로세싱 챔버는 하나 이상의 부가적인 스테이션들을 포함한다. 몇몇 실시형태들에서, 프로세싱 챔버는 기판 지지부를 갖는 하나의 스테이션만을 포함한다.
본 발명의 이들 및 다른 양태들이 추가적으로 후술된다.
다음의 상세한 설명은, 도면들과 함께 고려될 경우 더 완전히 이해될 수 있다.
도 1은 다양한 실시형태들에 따른 방법들의 관련 동작들을 도시한 프로세스 흐름도이다.
도 2는 다양한 실시형태들에 따른 텅스텐 전구체, 환원제, 및 질소 흐름들의 타이밍 시퀀스이다.
도 3은 다양한 실시형태들에 따른 방법들의 관련 동작들을 도시한 프로세스 흐름도이다.
도 4a는 텅스텐의 N2-펄싱된 CVD 증착에 대한 저항 및 거칠기에 관한 온도의 효과를 도시한 그래프이다.
도 4b는 텅스텐의 N2-펄싱된 CVD 증착에 대한 저항 및 거칠기에 관한 압력의 효과를 도시한 그래프이다.
도 5a 및 도 5b는 텅스텐의 N2-펄싱된 CVD 증착에 대한 저항 및 거칠기에 관한 질소 유동률의 효과를 도시한 그래프들이다.
도 6은 다양한 프로세스들에 의해 증착된 텅스텐 막들의 저항 및 거칠기를 도시한 그래프이다.
도 7은 본 발명의 실시형태들에 따른 텅스텐 증착 프로세스를 수행하는데 적절한 프로세싱 시스템의 블록도이다.
다음의 설명에서, 다수의 특정한 세부사항들이, 텅스텐 막들을 형성하는 것에 관련된 본 발명의 완전한 이해를 제공하기 위해 기재되어 있다. 여기에 예시되고 설명된 특정한 방법들 및 구조들의 변형들, 적응들 또는 변경들은 당업자들에게는 명백할 것이고, 본 발명의 범위 내에 있다.
여기에 설명된 방법들은 텅스텐 막들을 형성하는 것에 관한 것이다. 본 발명의 실시형태들은 낮은 저항 및 낮은 거칠기를 갖는 텅스텐 층들을 증착시키는 것을 수반한다. 이전의 프로세스들에서, 낮은 저항 텅스텐 막은 성장하는 큰 텅스텐 알갱이 (grain) 들에 의해 달성된다. 그러나, 이것은 막의 거칠기를 증가시킨다. 그 결과, 막 두께에 대한 루트 평균 제곱 (RMS) 퍼센티지는 500A의 낮은 저항 텅스텐 막 또는 그 초과의 막에 대해 10%를 초과할 수도 있다. 막의 거칠기를 낮추는 것은 후속 동작 (패터닝 등) 을 더 용이하게 한다.
여기에 설명된 방법들은, 높은 온도 및/또는 압력에서 교번하는 질소 가스 펄스들의 존재 시에 환원제에 의한 텅스텐의 환원에 의한 텅스텐의 화학 기상 증착을 수반한다.
도 1은 본 발명의 특정한 실시형태들에 따른 프로세스를 도시한다. 텅스텐-함유 전구체가 텅스텐을 증착시키기 위하여 수소에 의해 환원되는 화학 기상 증착 (CVD) 프로세스에 의해 증착이 시작한다. 텅스텐 헥사플로오라이드 (WF6) 가 종종 사용되지만, 프로세스는 WC16을 포함하지만 이에 제한되지는 않는 다른 텅스텐 전구체들을 이용하여 수행될 수도 있다. 부가적으로, 수소가 벌크 텅스텐 층의 CVD 증착에서 환원제로서 일반적으로 사용되지만, 본 발명의 범위를 벗어나지 않으면서, 실란을 포함하는 다른 환원제들이 수소에 부가하여 또는 그 대신 사용될 수도 있다. 다른 실시형태에서, W(CO)6가 환원제와 함께 또는 환원제 없이 사용될 수도 있다. 질소 (N2) 노출로부터 도출된 이점들은 NH3를 포함하는 질소를 함유하는 다른 캐리어 가스들로의 노출로부터 또한 도출될 수도 있다. NH3 또는 다른 타입들의 질소-함유 전구체들을 사용함으로써, 설명된 프로세스는 유사한 이점들을 갖는 텅스텐 질화물을 증착시키도록 변형될 수도 있다.
원자층 증착 (ALD) 또는 펄싱된 핵형성 층 (PNL) 프로세스들과는 달리, CVD 기술에서, WF6 및 H2는 반응 챔버로 동시에 도입된다. 이것은 기판 표면 상에 텅스텐 막을 연속적으로 형성하는 혼합 반응 가스들의 연속적인 화학 반응을 생성한다.
CVD 증착은, 임의의 질소가 존재하지 않으면서 텅스텐-함유 전구체 및 수소가 반응 챔버로 도입되는 동작 (101) 에서 시작한다. 특정한 실시형태들에서, 아르곤 또는 또 다른 캐리어 가스가 캐리어 가스로서 사용된다. 가스들은 사전-혼합되거나 혼합되지 않을 수도 있다. 가스들은 텅스텐의 원하는 총 두께의 일부를 증착시키기 위해 반응하도록 허용된다. 후술되는 바와 같이, 이러한 동작에서 증착된 텅스텐의 양은 총 원하는 두께에 부분적으로 의존한다. 예를 들어, 특정한 실시형태들에서, 약 100Å 이 이러한 동작에서 증착된다. 동작 (101) 에서 증착된 텅스텐 막은 이전의 프로세스에서 증착된 텅스텐 핵형성 층 위에 증착될 수도 있다. 이것은 추가적으로 후술된다. 통상적으로, 도 1의 후속하는 CVD 증착들은 핵형성 층 증착들을 더 포함하지 않으며, 대신, 각각의 CVD 동작에 의해 형성된 텅스텐이 도 1의 이전의 동작에 의해 형성된 텅스텐 상에 직접 형성된다.
다음으로, 동작 (103) 에서, 텅스텐 층의 또 다른 부분이 질소의 존재 시에 WF6 또는 다른 텅스텐-전구체의 H2 환원에 의해 증착된다. 일반적으로, 동작 (101) (H2-WF6 환원/N2 없음) 로부터 동작 (103) (H2-WF6 환원/N2) 으로의 천이는 챔버로의 N2의 흐름을 턴온 (turn on) 하여, N2, H2 및 WF6 가 모두 챔버로 흐르게 하는 것을 수반한다. 아르곤 또는 다른 가스 흐름은, 도입된 부가적인 N2 가스를 보상하기 위해 프로세스의 이러한 부분 동안 감소 또는 중지될 수도 있으며, 그에 의해, 흐름들을 밸런싱한다. WF6 및 H2 중 하나 이상은 동작 (101) 이후 중지될 수도 있고, 동작 (103) 동안 다시 시작할 수도 있다. 텅스텐 층의 또 다른 부분이 이러한 동작에서 증착된다. 동작들 (101 및 103) 을 포함하는 도 1의 전체 프로세스 동안, 압력 및/또는 온도는 높다. 높은 압력은 40토르와 500토르 사이에 있다. 몇몇 실시형태들에서, 압력은 약 60토르와 150토르 사이 또는 약 80토르와 100토르 사이에 있다. 높은 온도는 약 350℃ 와 500℃ 사이에 있을 수도 있다. 몇몇 실시형태들에서, 온도는 적어도 약 420℃, 또는 430℃ 이상, 예를 들어, 440℃ 와 460℃ 사이에 있다. 몇몇 실시형태들에서, 높은 온도 및 높은 압력 중 하나만이 특정한 실시형태들에서 이용될 수도 있다. 특정한 실시형태들에서, 온도 및 압력은 도 1의 프로세스 전반에 걸쳐 일반적으로 일정하게 유지된다. 추가적으로 후술되는 바와 같이, 특정한 실시형태들에서, 높은 압력, 높은 온도 및 교번하는 질소 노출 모두의 사용은 달성하기에는 어렵지만 낮은 저항 및 낮은 거칠기 레벨들을 제공한다.
특정한 실시형태들에서, 원하는 양의 텅스텐이 증착되면, 프로세스는 여기서 종료한다. 두꺼운 막들, 예를 들어, 1000Å을 증착시키기 위해, 더 많은 사이클들이 수행된다. 이것은, 질소가 존재하지 않는 H2-WF6 환원 CVD가 높은 압력 및 온도에서 다시 수행되는 동작 (105) 에서 표시된다. 동작 (103) 으로부터 동작 (105) 로 천이하는 것은 통상적으로 질소의 흐름을 턴 오프하는 것, 및 필요하다면, 동작 (103) 동안 또는 그 이후 환원되거나 중지되었던 아르곤 또는 다른 가스의 임의의 흐름을 재도입하는 것을 수반한다. 또 다른 부분의 텅스텐 층이 증착된다. 이것은, 텅스텐 층의 또 다른 부분이, 높은 압력 및/또는 온도로 동작 (107) 에서 질소의 존재 시에 WF6 또는 다른 텅스텐-전구체의 H2 환원에 의해 증착되는 것에 선행한다. 그 후, WF6의 N2 및 N2-없음 H2 환원들의 하나 이상의 부가적인 사이클들이 필요하다면, 동작 (109) 에서 원하는 두께에 도달하기 위해 수행된다. 특정한 실시형태들에서, 최종 사이클 상에서 동작 (105) 만을 반복한 이후, 또한 종료할 수도 있다. 더 추가적으로, CVD 증착은 N2 H2 환원을 이용하여 시작할 수도 있다.
또 다른 실시형태에서, N2의 펄스들 및 텅스텐 함유 전구체는, 임의의 개재된 H2-단독 환원 동작들 없이 펄싱된 N2-존재 환원 동작들이 수행되도록 하는 지연으로 (예를 들어, 텅스텐 전구체에 대한 캐리어 가스로서 N2를 이용하여) 챔버로 동시에 도입된다. 그러한 시퀀스는, 여기에 참조로서 포함되는 미국 특허 공개 번호 제 2010-0055904-A1에서 설명된다.
도 2는 본 발명의 특정한 실시형태들에 따른 타이밍 시퀀스의 일 예를 도시한다. 상기 도 1에 도시된 N2 CVD 사이클을 시작하기 전에, 사전-가열 및 제 1 H2 (N2 없음) 환원이 표시된 바와 같이 발생할 수도 있다. 사전-가열 및 제 1 증착 양자는 선택적이다. 특정한 실시형태들에서, 웨이퍼를 프로세스 온도로 사전-가열시키는 것은 저항 비-균일도를 감소시킨다. 또한, 특정한 실시형태들에서, 양호한 응답 및 반복성을 달성하기 위해, 텅스텐 전구체 에이전트 (agent) 는 프로세스 펌프로 우회될 수도 있다. 이것은 전구체를 증착 챔버로 도입하기 전에 흐름이 안정화되게 한다. 도 2에 도시된 실시형태에서, WF6 흐름은 각각의 CVD 증착 전에 및 그 후에 우회되며, WF6는 증착 동안 챔버로 흐른다. N2-CVD 증착의 2개의 사이클들이 도 2에 도시되어 있지만, N2-CVD 사이클들의 수는 1로부터 임의의 원하는 수의 범위에 있을 수도 있다. 다수의 N2-CVD 사이클들, 예를 들어, 2 내지 5가 단일 사이클에 걸쳐 거칠기를 개선시킨다는 것이 발견되었다. 각각의 N2-CVD 사이클은 H2-단독의 환원을 갖고, 지연 (지연 1) 이 후속한다. 이러한 지연은 0 내지 1분, 예를 들어, 2 또는 3초의 범위에 있을 수도 있다. 순차적으로 도시되었지만, WF6 우회 및 지연들이 통상적으로 동시적이며, 즉, WF6가 환원들 사이의 지연 동안 우회됨을 유의한다. 특정한 실시형태들에서, 우회가 존재하지 않으며, 텅스텐은 프로세스 전반에 걸쳐 챔버로 흐른다. 각각의 N2-CVD 사이클은 또한 N2를 이용한 H2 환원을 갖고, 또한 지연 (지연 2) 이 후속한다. N2-존재 H2 환원 이후 지연을 갖지 않는 프로세스들에 걸쳐 거칠기를 개선시키기 위해 적어도 1 내지 3초의 지연이 표시된다. 이것은 증착된 막의 표면을 패시베이팅 (passivate) 하는 챔버에 남아있는 질소로 인한 것이며, 이는 다음의 H2-단독 막이 더 평활한 방식으로 증착시키게 한다고 믿어진다.
텅스텐 전구체 흐름이 타이밍 시퀀스 상에 표시되어 있다. 챔버로의 아르곤 또는 다른 캐리어 가스, 수소 및 질소 흐름이 시퀀스 아래에 표시되어 있다. 도시된 바와 같이, N2에서의 H2 환원을 제외하고, 아르곤 흐름이 일정하게 유지되며, 여기서, 아르곤 흐름은 부가적인 N2 가스를 고려하기 위해 감소되거나 중지된다. H2가 프로세스 전반에 걸쳐 일정하게 유지되지만, N2는 N2의 존재 시에 H2 환원 동안에만 흐른다. 상기 표시된 바와 같이, 텅스텐 헥사플로오라이드는 각각의 사이클 전반에 걸쳐 또한 흐를 수도 있으며 및/또는 텅스텐 환원제는 WF6 환원들 사이의 지연 동안 우회될 수도 있다.
텅스텐 벌크층을 증착시키기 위해 질소의 존재시에 WF6의 H2 환원을 설명하는 미국 특허 제 7,141,494호에서 설명된 프로세스와는 이러한 프로세스가 상당히 상이함을 유의한다. 그 특허에서 설명된 바와 같이, 예를 들어, WF6-H2, WF6-B2H6 또는 W(CO)6를 포함하는 프로세스 가스가 챔버로 도입된다. 프로세스 가스가 챔버로 도입되기 전에, 그 동안 또는 그 이후, 질소가 또한 증착 챔버로 도입된다. 특정한 상황들에서, 텅스텐이 핵을 형성하게 하기 위해 증착 프로세스가 시작한 직후 질소가 도입된다. 그러나, 일단 질소가 도입되면, 증착은 질소의 부가적인 펄싱없이 진행한다. '494 특허에서 설명된 프로세스가 수소의 부재시에 증착된 텅스텐에 걸쳐 개선된 거칠기를 초래하지만, 프로세스 전반에 걸쳐 질소를 펄싱하는 것은 거칠기를 개선시키고 반사성을 개선시키는 것을 초래한다. 이것은, 여기에 참조로서 포함된 미국 특허 공개 번호 제 2010-0055904-A1에 설명되어 있다.
도 3은 다양한 실시형태들에 따른 프로세스 흐름도를 제공한다. 프로세스는 동작 (301) 에서 기판 상에 텅스텐 핵형성 층을 증착시킴으로써 시작한다. 일반적으로, 핵형성 층은, 그 상에서의 벌크 재료의 후속 형성을 용이하게 하도록 기능하는 얇은 등각층 (conformal layer) 이다. 특정한 실시형태들에서, 핵형성 층은 펄싱된 핵형성 층 (PNL) 기술을 사용하여 증착된다. PNL 기술에서, 환원제, 퍼지 (purge) 가스들, 및 텅스텐-함유 전구체들의 펄스들은 순차적으로, 반응 챔버에 주입되고 반응 챔버로부터 퍼지된다. 원하는 두께가 달성될 때까지, 프로세스가 순환적인 방식으로 반복된다. PNL은, 반도체 기판 상에서의 환원을 위해 반응물들을 순차적으로 부가하는 임의의 순환적인 프로세스를 광범위하게 구현한다.
피처들이 더 작아지게 될수록, 텅스텐 (W) 콘택트 또는 라인 저항은 더 얇은 W 막에서의 산란 효과 (scattering effect) 로 인해 증가한다. 유효한 텅스텐 증착 프로세스들이 텅스텐 핵형성 층들을 요구하지만, 이들 층들은 통상적으로 벌크 텅스텐 층들보다 더 높은 전기 저항들을 갖는다. 낮은 저항 텅스텐 막들은, 집적 회로 설계들에서의 과열 (overheating) 및 전력 손실들을 최소화시킨다. ρnucleation>ρbulk 이기 때문에, 핵형성 층의 두께는 가능한 낮게 총 저항을 유지하도록 최소화되어야 한다. 한편, 텅스텐 핵형성은, 높은 품질의 벌크 증착을 지원하기 위해 하부 기판을 완전히 커버하는데에 충분한 두께이어야 한다.
낮은 저항을 갖고 낮은 저항 텅스텐 벌크층들의 증착을 지원하는 텅스텐 핵형성 층들을 증착시키기 위한 PNL 기술들은, 여기에 참조로서 포함되는 미국 특허 출원들 7,589,017, 7,772,114, 7,955,972, 및 8,058,170호에 설명되어 있다. PNL 타입 프로세스들에 관한 부가적인 설명은, 여기에 참조로서 포함되는 미국 특허 6,635,965, 6,844,258, 7,005,372, 및 7,141,494에서 발견될 수 있다. 몇몇 실시형태들에서, 기판 온도는 낮으며, 즉, 약 350℃ 미만, 예를 들어, 약 250℃ 내지 350℃ 또는 250℃ 내지 325℃ 에 있다. 특정한 실시형태들에서, 온도는 약 300℃ 이다. 다양한 실시형태들에 따르면, 붕소-함유 (예를 들어, 디보란) 환원제들은 핵형성 층들을 증착시키는데 사용될 수 있으며, 기판은, 교번하는 붕소-함유 환원제 및 텅스텐-함유 전구체 펄스들에 노출된다. 다른 환원제들이 붕소-함유 환원제들 대신에 또는 그에 부가하여 사용될 수도 있다. 예를 들어, 기판은, 교번하는 붕소-함유 환원제 및 텅스텐-함유 전구체 펄스들에 부가하여 또는 그 대신에 교번하는 실란 및 텅스텐-함유 펄스들에 노출될 수 있다. 다양한 실시형태들에 따르면, 핵형성 층은 특정한 애플리케이션 및 기판에 의존하여, 약 3Å 내지 50Å 에 있을 수도 있다. 예를 들어, 평평한 또는 블랭킷 (blanket) 막들의 증착을 위해, 핵형성 층은 3Å 내지 5Å 만큼 얇을 수도 있지만, 예를 들어, 약 10Å인 더 두꺼운 핵형성 층은 피처 충진 애플리케이션들을 위해 사용될 수도 있다. 특정한 실시형태들에서, 미국 특허 제 7,955,972에 설명된 바와 같은 매우 작은/높은 애스팩트 비 (aspect ratio) 피처들에서 텅스텐 핵형성 층들을 증착시키기 위한 방법들이 핵형성 층을 증착시키는데 사용된다. 이들 방법들은, 양호한 스텝 (step) 커버리지를 갖는 이들 피처들에서 매우 얇은 텅스텐 핵형성 층들을 증착시키기 위해 배경에 수소가 없는 붕소-함유 환원제 및 텅스텐-함유 전구체의 PNL 사이클들을 사용하는 단계를 수반한다. 특정한 실시형태들에서, 도 3의 프로세스 동안의 온도 또는 압력은 일정하게 유지된다. 예를 들어, 도 1에 관해 상술된 바와 같은 높은 압력은 동작 (301) 을 포함하는 도 3의 모든 동작들에 대해 사용된다. 예를 들어, 동작 (301) 동안의 압력은 약 60토르와 150토르 사이에 있을 수도 있다.
몇몇 실시형태들에서, 도 3에 설명된 프로세스 전반에 걸쳐 온도가 일정하게 유지된다. 동작 (301) 에 대한 비교적 낮은 온도들이 상술된다. 이들은, 동작들 (303 내지 307) 동안을 포함하여, 특정한 실시형태들의 프로세스 전반에 걸쳐 사용될 수도 있다. 비교적 높은 온도들이 도 1을 참조하여 설명된다. 이들은 특정한 실시형태들의 프로세스 전반에 걸쳐 사용될 수도 있다. 온도가 일정하게 유지되는 실시형태들에서, 압력이 변경될 수도 있다.
상기 설명이 특정한 실시형태들에 따른 낮은 저항 텅스텐 핵형성 층들을 증착시키는 방법들을 제공하지만, 여기에 설명된 방법들은 텅스텐 핵형성 층 증착의 특정한 방법으로 제한되지 않으며, PNL, 원자층 증착 (ALD), CVD, 및 임의의 다른 방법을 포함하는 임의의 방법에 의해 형성되는 텅스텐 핵형성 층들 상에 벌크 텅스텐 막을 증착시키는 것을 포함한다.
다시 도 3을 참조하면, 선택적인 동작 (303) 은 더 낮은 저항으로의 처리 프로세스를 수반한다. 그러한 처리 프로세스의 예들은, 여기에 참조로서 포함되는 미국 특허출원 제 7,772,114 및 8,058,170에 설명된 것과 같이 설명된다. 여기에 설명된 처리 프로세스는, (또 다른 반응성 화합물의 개재된 펄스들을 갖거나 그 펄스들 없는) 환원제의 다수의 펄스들로 증착된 핵형성 층을 노출시키는 것을 수반한다. 다양한 실시형태들에 따르면, 그 상에 증착된 핵형성 층을 갖는 기판은 환원제 펄스들의 다수의 사이클들, 또는 몇몇 실시형태들에서는 교번하는 환원제 및 텅스텐-함유 전구체 펄스들에 노출된다. 몇몇 실시형태들에서, 펄스 시간들은 동작 (301) 에서 이용되는 것보다 더 짧다. 예를 들어, 1s의 펄스 시간이 동작 (303) 에서 이용될 수도 있고, 2s의 펄스 시간이 동작 (301) 에서 이용될 수도 있다.
몇몇 실시형태들에서, 텅스텐-함유 전구체의 개재된 펄스들 없는 디보란 또는 다른 붕소-함유 환원제들의 다수의 펄스들이 이용된다. 다양한 실시형태들에 따르면, 처리 프로세스는 375℃ 로부터 415℃ 까지의 범위에 있는, 예를 들어, 약 395℃ 인 온도들로 수행될 수 있다. 핵형성 층이 더 낮은 온도로 형성되면, 핵형성 층 증착으로부터 이러한 처리 동작으로의 천이는, 약 350℃ 와 415℃ 사이 또는 특정한 실시형태들에서는 약 375℃ 내지 415℃ 로 기판을 가열시키는 것, 및 그 기판이 복수의 환원제 또는 환원제/텅스텐-함유 전구체 펄스들에 핵형성 층을 노출시키기 전에 안정화되게 하는 것을 수반할 수도 있다. 특정한 실시형태들에 표시된 바와 같이, 기판 온도는 약 395℃ 이다. 더 낮은 온도들은 동등한 처리 효과를 달성하기 위해 더 긴 펄스 시간들과 함께 사용될 수도 있다. 동작 (301) 에 관해 상술된 바와 같이, 전구체는 비교적 높을 수도 있으며, 예를 들어, 40토르 초과, 약 60토르 초과, 또는 약 80토르 초과일 수도 있다. 온도가 도 3의 프로세스 전반에 걸쳐 일정하게 유지되는 실시형태들에서, 온도는 약 250℃ 로부터 500℃ 까지의 범위에 있을 수도 있다.
다양한 실시형태들에 따르면, 동작 (303) 은 도 3에 증착된 텅스텐 막의 저항을 낮춘다. 특정한 이론에 의해 제한되지는 않지만, 동작 (303) 이 핵형성을 지연시키고 및/또는 핵들이 합쳐져서, 그에 의해, 큰 알갱이들의 성장에 기여하고 저항을 낮출 수도 있다. 도 1에 관해 상술된 바와 같은 더 높은 압력은 이러한 동작에 유리하다. 동작 (303) 에 설명된 처리 프로세스가 낮은 저항에 대해 사용될 수도 있지만, 몇몇 실시형태들에서, 여기에 설명된 방법들은 그것 없이 구현될 수 있다.
선택적인 CVD 프로세스가 동작 (305) 에서 수행될 수도 있다. 수행되면, 동작 (305) 은 낮은 온도로 및/또는 증착 동안 N2가 존재하지 않으면서 수행된다. 도 1에 설명된 CVD 증착에 관해, 동작 (305) 은 H2에 의한 WF6 환원을 수반할 수 있다. 이러한 동작은 핵형성 층을 보강하고 (bolster), 균일도를 개선시키며, 및/또는 저항을 낮출 수 있다. 평평한 또는 블랭킷 막들의 증착을 위해, 동작 (305) 은 약 10Å 내지 100Å, 몇몇 실시형태들에서는 예를 들어, 20Å의 막을 증착시키는데 사용될 수 있다. 피처 충진을 위해, 동작 (305) 은 피처(들)를 전체적으로 또는 실질적으로 충진하는데 충분히 두꺼운 막을 증착시킬 수 있다. 피처 충진을 위한 감소된 온도 CVD 동작들은, 여기에 참조로서 포함되는 미국 특허 출원 제 12/755,248호에 설명되어 있으며, 250℃ 와 340℃ 사이, 예를 들어, 300℃의 CVD 증착들을 포함할 수 있다. 수행되면, 동작 (305) 동안의 압력이 상술된 바와 같이 높을 수 있다.
다음으로, 벌크 텅스텐 층은 동작 (307) 에서 높은 온도 및/또는 높은 압력에서 N2의 교번하는 펄스들을 이용하여 H2 환원에 의해 증착될 수 있다. 이것은 도 1에 관해 상술된다. 두께는 애플리케이션에 대해 적절한 바와 같이 증착될 수 있다.
상기 표시된 바와 같이, 도 1 내지 도 3에 설명된 프로세스들은 블랭킷 막들을 증착시키기 위해 및 피처 충진을 위해 수행될 수 있다. 종래의 프로세스들에서, 도 1에 관해 상술된 높은 온도 및 압력 레지메 (regime) 들보다 더 낮은 압력 및 온도가 이용된다. 부착 계수 (sticking coefficient) 가 높은 온도에서 증가하고 반응 레이트가 높은 압력에서 증가함에 따라, 높은 압력들 및 온도들이 피처 충진을 위한 불량한 스텝 커버지리를 초래할 것임이 기대될 것이며, 높은 부착 계수 및 반응 레이트는 피처의 상부에서의 더 많은 증착을 초래하여, 스텝 커버리지를 감소시킨다. 그러나, 후술되는 바와 같이, N2 펄싱을 이용한 높은 압력 및/또는 높은 온도는 블랭킷 및 피처 충진 애플리케이션들을 위해, 그리고 양호한 스텝 커버리지를 제공하기 위해 낮은 저항 및/또는 낮은 거칠기 막들을 초래하는 것으로 발견된다.
실험
450Å의 두꺼운 블랭킷 막들은, 300℃, 395℃, 430℃ 및 445℃에서 도 2에 관해 상술된 바와 같이 N2 펄싱을 사용하여 증착되었고, RMS 거칠기가 측정되었다. 압력은 모든 증착들에 대해 90토르였다. 결과들이 표 1에 있다.
표 1: 거칠기에 대한 온도 효과
N2-펄스 CVD 온도 (℃) 450Å(nm) 에서의 거칠기
300 6.6
395 4.3
403 3.1
445 1.9
결과들은, 높은 온도들이 더 평활한 막들을 제공한다는 것을 표시한다.
450Å의 두꺼운 블랭킷 막들은, 40토르, 60토르 및 90토르에서 도 2에 관해 상술된 바와 같이 N2 펄싱을 사용하여 증착되었고, RMS 거칠기가 측정되었다. 압력은 모든 증착들에 대해 445℃ 였다. 결과들이 표 2에 있다.
표 2: 거칠기에 대한 압력 효과
N2-펄스 CVD 압력 (토르) 405Å(nm) 에서의 거칠기
40 3.6
60 3.1
90 1.9
결과들은, 높은 압력들이 더 평활한 막들을 제공한다는 것을 표시하며, 더 높은 압력 및 온도가 가장 평활한 막들을 제공한다.
도 4a 및 도 4b에 도시된 결과들에 있어서, 증착된 막들에 대해 저항이 또한 측정되었다. 온도의 효과는 도 4a에 도시되며, 압력의 효과는 도 4b에 도시된다. 도 4a는 증가한 온도가 저항을 낮춘다는 것을 도시하고, 도 4b는 증가한 압력이 저항을 또한 낮춘다는 것을 도시한다. 저항 및 거칠기 양자가 감소한다는 것을 예시하기 위해, 거칠기 결과들이 도 4a 및 도 4b에 또한 도시되어 있다. 이것은, 거칠기 및 저항이 네거티브하게 정정될 것임이 기대될 것이라는 점에서 주목할 만하며, 큰 알갱이 사이즈는 저항을 낮추고 거칠기를 증가시키며, 작은 알갱이 사이즈들은 저항을 증가시키고 거칠기를 낮춘다.
300Å 및 450Å의 두꺼운 블랭킷 막들은, 상이한 N2 유동률들에서 그리고 측정된 저항 및 RMS 거칠기를 이용하여 도 2에 관해 상술된 바와 같이 N2 펄싱을 사용하여 증착되었다. 온도 및 압력은 각각, 445℃ 및 90토르였다. 300Å에 대한 결과들이 도 5a에 도시되고, 450Å에 대한 결과들이 도 5b에 도시된다. 결과들은, N2의 부가가 텅스텐 거칠기를 감소시킨다는 것을 나타낸다.
도 6은 다음의 프로세스들에 대한 거칠기 및 저항 결과들을 도시한다.
표 3: 프로세스 조건들
핵형성 처리 벌크 CVD 층
A H2 주변; 300℃; 40토르에서 B/W/S/W + x(S/W) 없음 WF6의 H2 환원; N2 없음; ~400℃ 및 40토르
B H2-프리(H2-free) 주변; 300℃; 40토르에서 x(B/W) 395℃에서의 x(B/W) WF6의 H2 환원; N2 없음; ~400℃ 및 40토르
C H2-프리 주변; 300℃; 40토르에서 x(B/W) 395℃에서의 x(B/W) WF6의 H2 환원; 펄싱된 N2; ~400℃ 및 40토르
D H2 주변; 300℃; 40토르에서 B/W + x(S/W) 395℃'에서의 x(B/W) WF6의 H2 환원; 펄싱된 N2; ~400℃ 및 40토르
E H2 주변; 300℃; 90토르에서 x(B/W) 395℃에서의 x(B/W) WF6의 H2 환원; 펄싱된 N2; 445℃ 및 90토르
F H2 주변; 300℃; 80토르에서 x(B/W) 395℃에서의 x(B/W) WF6의 H2 환원; N2 없음; 445℃ 및 95토르
G H2 주변; 300℃; 80토르에서 x(B/W) 395℃에서의 x(B/W) WF6의 H2 환원; 증착의 제 2 절반 동안 N2; 445℃ 및 95토르
표 3에서, B는 디보란 펄스를 표시하고, W는 텅스텐 헥사플로오라이드 펄스를 표시하며, S는 실란 펄스를 표시한다. "x" 는 다수의 사이클들을 표시한다. 모든 프로세스들의 가장 낮은 저항 및 거칠기 양자에서의 높은 압력 및 온도 결과들에서 N2 를 이용하여 그리고 N2 없이 WF6의 H2 환원에 의해, 벌크 CVD 층이 증착되는 프로세스들 A-D, 프로세스 E를 사용하여, 저항이 거칠기의 비용에서 개선될 수 있거나, 거칠기가 저항의 비용에서 개선될 수 있다.
상기 표시된 바와 같이, 여기에 설명된 프로세스들은 피처 충진 뿐만 아니라 블랭킷 막 증착에 대해 사용될 수도 있다. 아래의 표 4는 블랭킷 및 플러그충진 막들에 대한 비교가능한 저항 및 거칠기 결과들을 도시한다.
두께 (Å) Rt(마이크로-옴-센티미터) RMS(nm)
블랭킷 450 8.60 1.97
플러그충진 478 9.54 1.80
플러그충진 피처의 개구는 430nm의 깊이를 가지면서 80nm 이었다. 텅스텐 막 스텝 커버리지는 100% 였다.
장치
본 발명의 방법들은 다양한 판매자들로부터 입수가능한 다양한 타입들의 증착 장치에서 수행될 수도 있다. 적절한 장치의 예들은 노벨러스 콘셉트-1, 콘셉트 2 알투스, 콘셉트-2 알투스-S, 콘셉트 3 알투스 증착 시스템, 또는 임의의 다양한 다른 상업적으로 입수가능한 CVD 툴들을 포함한다. 몇몇 경우들에서, 프로세스는 다수의 증착 스테이션들 상에서 순차적으로 수행될 수 있다. 예를 들어, 모든 목적들을 위해 인용으로서 여기에 포함되는 미국 특허 제 6,143,082호를 참조한다. 몇몇 실시형태들에서, 펄싱된 핵형성 프로세스는, 단일 증착 챔버 내에 위치된 2개, 5개 또는 훨씬 더 많은 증착 스테이션들 중 하나인 제 1 스테이션에서 수행된다. 따라서, 환원 가스들 및 텅스텐-함유 가스들은, 기판 표면에서 국부화된 대기를 생성하는 개별 가스 공급 시스템을 사용하여 제 1 스테이션에서 반도체 기판의 표면으로 교번하여 도입된다. 또 다른 스테이션은 상술된 바와 같이 처리 동작을 위해 사용될 수도 있다. 그 후, 하나 이상의 스테이션들은 상술된 바와 같이 CVD를 수행하기 위해 사용될 수 있다. 2개 이상의 스테이션들은 병렬 프로세싱에서 CVD를 수행하기 위해 사용될 수도 있다. 대안적으로, 웨이퍼는 2개 이상의 스테이션들에 걸쳐 순차적으로 수행되는 CVD 동작들을 갖도록 인덱싱될 수도 있다.
도 7은 본 발명의 실시형태들에 따른 텅스텐 박막 증착 프로세스들을 수행하는데 적절한 프로세싱 시스템의 블록도이다. 시스템 (700) 은 이송 모듈 (703) 을 포함한다. 이송 모듈 (703) 은, 기판들이 다양한 반응기 모듈들 사이에서 이동될 경우 프로세싱되는 기판들의 오염의 위험을 최소화시키기 위해 깨끗하고 가압된 환경을 제공한다. 이송 모듈 (703) 상에 탑재된 것은, 본 발명의 실시형태들에 따른, PNL 증착, 원한다면 멀티-펄스 처리, 및 CVD를 수행할 수 있는 멀티-스테이션 반응기 (709) 이다. 챔버 (709) 는, 이들 동작들을 순차적으로 수행할 수도 있는 다수의 스테이션들 (711, 713, 715, 및 717) 을 포함할 수도 있다. 예를 들어, 챔버 (709) 는, 스테이션 (711) 이 PNL 증착을 수행하고, 스테이션 (713) 이 멀티-펄스 처리를 수행하고, 스테이션들 (715 및 717) 이 N2-펄싱된 CVD를 수행할 수 있도록 구성될 수 있다. 몇몇 실시형태들에서, 스테이션 (715) 은 N2-프리 CVD를 수행할 수 있고, 스테이션 (717) 은 N2-펄싱된 CVD를 수행할 수 있다.
이송 모듈 (703) 상에 또한 탑재된 것은, 플라즈마 또는 화학적인 (비-플라즈마) 사전-세정들을 수행할 수 있는 하나 이상의 단일 또는 멀티-스테이션 모듈들 (707) 일 수도 있다. 모듈은 또한, 다양한 다른 처리들, 예를 들어, 사후 라이너 텅스텐 질화물 처리들을 위해 사용될 수도 있다. 시스템 (700) 은 프로세싱 이전 및 그 이후에 웨이퍼가 수용된 하나 이상의 (이러한 경우, 2개의) 웨이퍼 소스 모듈들 (701) 을 또한 포함한다. 분위기 (atmospheric) 이송 챔버 (719) 내의 분위기 로봇 (미도시) 은 먼저, 소스 모듈들 (701) 로부터 로드록 (loadlock) 들 (721) 로 웨이퍼들을 제거한다. 이송 모듈 (703) 내의 웨이퍼 이송 디바이스 (일반적으로 로봇 아암 유닛) 는 로드록들 (721) 로부터 이송 모듈 (703) 상에 탑재된 모듈들로 및 그 모듈들 사이에 웨이퍼들을 이동시킨다.
특정한 실시형태들에서, 시스템 제어기 (750) 는 증착 동안 프로세스 조건들을 제어하는데 이용된다. 제어기는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 통상적으로 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 접속들, 스테퍼 모터 제어기 보드들 등을 포함할 수도 있다.
제어기는 증착 장치의 모든 활성도들을 제어할 수도 있다. 시스템 제어기는, 타이밍, 가스들의 혼합, 챔버 압력, 챔버 온도, 웨이퍼 온도, RF 전력 레벨들, 웨이퍼 척 또는 페데스탈 위치, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 명령들의 세트를 포함한 시스템 제어 소프트웨어를 실행한다. 제어기와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 몇몇 실시형태들에서 이용될 수도 있다.
통상적으로, 제어기와 연관된 사용자 인터페이스가 존재할 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
프로세스 시퀀스에서 증착 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독가능 프로그래밍 언어, 예를 들어, 어셈블리어, C, C++, 파스칼, 포트란 등으로 기입될 수 있다. 컴파일된 오브젝트 코드 또는 스크립트는 프로그램에서 식별된 태스크들을 수행하도록 프로세서에 의해 실행된다.
제어기 파라미터들은, 예를 들어, 프로세스 가스 조성 및 유동률들, 온도, 압력, RF 전력 레벨들 및 저주파수 RF 주파수와 같은 플라즈마 조건들, 냉각 가스 압력, 및 챔버 벽 온도와 같은 프로세스 조건들에 관한 것이다. 이들 파라미터들은 레시피의 형태로 사용자에게 제공되며, 사용자 인터페이스를 이용하여 입력될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 시스템 제어기의 아날로그 및/또는 디지털 입력 접속들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 증착 장치의 아날로그 및 디지털 출력 접속들 상에서 출력된다.
시스템 소프트웨어는 많은 상이한 방식들로 설계 또는 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 오브젝트들은, 본 발명의 증착 프로세스들을 수행하는데 필요한 챔버 컴포넌트들의 동작을 제어하도록 기입될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 위치결정 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 가열기 제어 코드, 및 플라즈마 제어 코드를 포함한다.
기판 위치결정 프로그램은, 기판을 페데스탈 또는 척 상으로 로딩하고, 가스 입구 및/또는 타겟과 같은 챔버의 다른 부분들과 기판 사이의 간격을 제어하는데 사용되는 챔버 컴포넌트들을 제어하기 위한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은, 가스 조성 및 유동률들을 제어하고, 선택적으로는 챔버 내의 압력을 안정화시키기 위해 증착 이전에 챔버로 가스를 흐르게 하기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은, 예를 들어, 챔버의 배기 시스템 내의 스로틀 밸브를 조정함으로써 챔버 내의 압력을 제어하기 위한 코드를 포함할 수도 있다. 가열기 제어 프로그램은 기판을 가열시키는데 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 가열기 제어 프로그램은 헬륨과 같은 열 전달 가스의 웨이퍼 척으로의 전달을 제어할 수도 있다.
증착 동안 모니터링될 수도 있는 챔버 센서들의 예들은, 대용량 흐름 제어기들, 마노미터 (manometer) 들과 같은 압력 센서들, 및 페데스탈 또는 척에 위치된 서모커플 (thermocouple) 들을 포함한다. 적절히 프로그래밍된 피드백 및 제어 알고리즘들은, 원하는 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터와 함께 사용될 수도 있다.
전술한 것은, 단일 또는 멀티-챔버 반도체 프로세싱 툴에서 본 발명의 실시형태들의 실시예를 설명한다.
상술된 장치/프로세스는, 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전지 패널들 등의 제조 또는 제작을 위한 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 필수적이지는 않지만, 그러한 툴들/프로세스들은 일반적인 제조 설비에서 함께 사용되거나 수행될 것이다. 막의 리소그래피 패터닝은 다음의 단계들 중 몇몇 또는 전부를 통상적으로 포함하며, 각각의 단계는 다수의 가능한 툴들로 인에이블된다: (1) 스핀-온 (spin-on) 또는 스프레이-온 (spray-on) 툴을 사용하는 워크피스, 즉, 기판 상의 포토레지스트의 인가; (2) 뜨거운 판 또는 용광로 또는 UV 경화 툴을 사용하는 포토레지스트의 경화; (3) 웨이퍼 스텝퍼와 같은 툴을 이용하여 가시 또는 UV 또는 x-레이 광으로 포토레지스트를 노출시키는 것; (4) 레지스트를 선택적으로 제거하기 위해 레지스터를 현상하고, 그에 의해, 젖은 벤치 (wet bench) 와 같은 툴을 사용하여 그것을 패터닝하는 것; (5) 건조 또는 플라즈마-보조 에칭 툴을 사용함으로써 하부 막 또는 워크피스로 레지스트 패턴을 전사하는 것; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트리퍼와 같은 툴을 사용하여 레지스트를 제거하는 것.
애플리케이션들
본 발명은 많은 상이한 애플리케이션들에 대해 얇은 낮은 저항 텅스텐 층들을 증착시키는데 사용될 수도 있다. 일 애플리케이션은, 메모리 칩들 및 마이크로프로세서들과 같은 집적 회로들 내의 상호접속부들에 대한 것이다. 상호접속부들은 단일 금속층 상에서 발견되는 전류 라인들이며, 일반적으로 긴 얇은 평편한 구조들이다. 이들은 (상술된 바와 같은 프로세스에 의해) 텅스텐 층의 블랭킷 증착, 그에 후속하는, 전류 운반 텅스텐 라인들의 위치를 정의하는 패터닝 동작 및 텅스텐 라인들의 외부의 영역들로부터의 텅스텐의 제거에 의해 형성될 수도 있다.
상호접속부 애플리케이션의 주요 예는 메모리 칩 내의 비트 라인이다. 물론, 본 발명은 상호접속부 애플리케이션들로 제한되지 않으며, 전자 디바이스들에서 일반적으로 발견되는 비아들, 콘택트들 및 다른 텅스텐 구조들로 확장된다. 일반적으로, 본 발명은, 얇은 낮은-저항 텅스텐 층들이 요구되는 임의의 환경에서 애플리케이션을 발견한다. 다른 예는 플러그충진 또는 다른 피처 충진 애플리케이션들에 대한 것이다.
많은 애플리케이션들에 대한 관심있는 다른 파라미터는, 최종적으로 증착된 텅스텐 층의 비교적 낮은 거칠기이다. 바람직하게, 텅스텐 층의 거칠기는 증착된 텅스텐 층의 총 두께의 약 10% 보다 크지 않으며, 더 바람직하게는, 증착된 텅스텐 층의 총 두께의 약 5% 보다 크지 않다. 텅스텐 층의 거칠기는 원자력 현미경과 같은 다양한 기술들에 의해 측정될 수 있다.
다른 실시형태들
본 발명이 수 개의 실시형태들의 관점들에서 설명되었지만, 본 발명의 범위 내에 있는 수정물들, 변형물들, 치환물들, 및 대체의 동등물들이 존재한다. 또한, 본 발명의 방법들 및 장치들을 구현하기 위한 많은 대안적인 방식들이 존재함을 유의해야 한다. 따라서, 다음의 첨부된 청구항들이 본 발명의 실제 사상 및 범위 내에 있는 것으로 그러한 모든 수정물들, 변형물들, 치환물들, 및 대체의 동등물들을 포함하는 것으로서 해석됨이 의도된다.

Claims (22)

  1. 챔버에서 반도체 기판 상에 텅스텐 막을 형성하는 방법으로서,
    환원제 및 텅스텐-함유 전구체가 반응하여 그에 의해, 제 1 화학 기상 증착 (CVD) 프로세스에서 상기 기판 상에 텅스텐 막을 형성하도록 상기 텅스텐-함유 전구체 및 상기 환원제의 동시 흐름들로 상기 기판을 노출시키는 단계를 포함하며,
    상기 기판은 상기 텅스텐-함유 전구체 및 상기 환원제의 동시 흐름들로의 노출 동안 질소에 간헐적으로 노출되고, 상기 챔버의 압력은 상기 제 1 CVD 프로세스 동안 약 60토르보다 큰, 반도체 기판 상에 텅스텐 막을 형성하는 방법.
  2. 제 1 항에 있어서,
    상기 제 1 CVD 프로세스 동안의 상기 기판의 온도는 약 420℃ 보다 큰, 반도체 기판 상에 텅스텐 막을 형성하는 방법.
  3. 제 2 항에 있어서,
    상기 제 1 CVD 프로세스 이전에 수행된 제 2 CVD 프로세스를 더 포함하며,
    상기 제 2 CVD 프로세스 동안의 상기 기판의 온도는 약 350℃ 보다 작은, 반도체 기판 상에 텅스텐 막을 형성하는 방법.
  4. 제 1 항에 있어서,
    상기 증착된 텅스텐 막의 저항은, 500옹스트롬에서 약 10마이크로-옴-센티미터보다 작은, 반도체 기판 상에 텅스텐 막을 형성하는 방법.
  5. 제 1 항에 있어서,
    상기 제 1 CVD 프로세스 이전에 상기 기판 상에 텅스텐 핵형성 (nucleation) 층을 증착시키는 단계를 더 포함하는, 반도체 기판 상에 텅스텐 막을 형성하는 방법.
  6. 제 5 항에 있어서,
    상기 텅스텐 핵형성 층을 증착시키는 단계는, 펄싱된 (pulsed) 핵형성 층 (PNL) 프로세스에 의해 상기 핵형성 층을 증착시키기 위해 상기 기판에 걸쳐 환원제 및 텅스텐 함유 전구체의 펄스들을 교번하는 (alternating) 단계를 포함하는, 반도체 기판 상에 텅스텐 막을 형성하는 방법.
  7. 제 6 항에 있어서,
    상기 PNL 프로세스 동안의 상기 기판의 온도는 약 350℃ 보다 작은, 반도체 기판 상에 텅스텐 막을 형성하는 방법.
  8. 제 1 항에 있어서,
    상기 제 1 CVD 프로세스 이전에 환원제의 다수의 펄스들로 상기 기판을 노출시키는 단계를 더 포함하는, 반도체 기판 상에 텅스텐 막을 형성하는 방법.
  9. 제 8 항에 있어서,
    상기 환원제의 다수의 펄스들은 임의의 개재된 텅스텐-함유 전구체 펄스들이 없는, 반도체 기판 상에 텅스텐 막을 형성하는 방법.
  10. 제 1 항에 있어서,
    상기 증착된 막은 2nm 이하의 거칠기를 갖는, 반도체 기판 상에 텅스텐 막을 형성하는 방법.
  11. 제 1 항에 있어서,
    상기 환원제는 수소인, 반도체 기판 상에 텅스텐 막을 형성하는 방법.
  12. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 챔버의 압력은 약 80토르와 100토르 사이에 있는, 반도체 기판 상에 텅스텐 막을 형성하는 방법.
  13. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 텅스텐-함유 전구체 및 상기 환원제의 동시 흐름들로의 노출 동안 질소에 간헐적으로 노출하는 것은, 질소의 부재 시에 상기 텅스텐 막의 제 1 서브-층을 증착시키기 위해 상기 환원제 및 상기 텅스텐-함유 전구체를 흐르게 하는 것, 및 질소의 존재 시에 상기 텅스텐 막의 제 2 서브-층을 증착시키기 위해 상기 환원제, 상기 텅스텐-함유 전구체, 및 상기 질소를 흐르게 하는 것을 포함하는, 반도체 기판 상에 텅스텐 막을 형성하는 방법.
  14. 제 13 항에 있어서,
    상기 텅스텐-함유 전구체의 흐름은 상기 제 1 서브-층 및 상기 제 2 서브-층의 증착 사이에서 우회되거나 중지되는, 반도체 기판 상에 텅스텐 막을 형성하는 방법.
  15. 챔버에서 반도체 기판 상에 텅스텐 막을 형성하는 방법으로서,
    2개 이상의 사이클들을 포함하는 화학 기상 증착 (CVD) 프로세스에 의해 텅스텐 벌크 층을 증착시키는 단계를 포함하며,
    일 사이클은, 텅스텐-함유 전구체가 텅스텐을 증착시키기 위해 질소의 부재 시에 환원제에 의해 환원되는 적어도 하나의 CVD 동작, 및 텅스텐-함유 전구체가 텅스텐을 증착시키기 위해 질소의 존재 시에 환원제에 의해 환원되는 적어도 하나의 CVD 동작을 포함하고,
    상기 CVD 프로세스 동안의 프로세스 압력은 적어도 약 60토르로 유지되는, 반도체 기판 상에 텅스텐 막을 형성하는 방법.
  16. 제 15 항에 있어서,
    상기 프로세스 압력은 상기 CVD 프로세스 전반에 걸쳐 적어도 약 80토르로 유지되는, 반도체 기판 상에 텅스텐 막을 형성하는 방법.
  17. 제 15 항 또는 제 16 항에 있어서,
    상기 CVD 프로세스 전반에 걸친 상기 기판의 온도는 적어도 약 420℃ 인, 반도체 기판 상에 텅스텐 막을 형성하는 방법.
  18. 기판 상에 텅스텐 막을 증착시키기 위한 장치로서,
    a) 프로세싱 챔버 내의 제 1 스테이션으로서, 상기 제 1 스테이션은 제 1 기판 지지부를 포함하는, 상기 제 1 스테이션;
    b) 상기 제 1 스테이션으로의 하나 이상의 가스 입구들; 및
    c) 상기 제 1 스테이션에서 동작들을 제어하기 위한 제어기로서, 상기 제어기는 텅스텐-함유 전구체 및 환원제를 상기 제 1 스테이션으로 흐르게 하기 위한 명령들; 및 상기 텅스텐-함유 전구체 및 상기 환원제를 흐르게 하는 동안, 펄스들 사이의 지연들을 이용하여 상기 스테이션에서 질소를 펄싱하고, 적어도 60토르의 스테이션 압력을 유지하기 위한 명령들을 포함하는, 상기 제어기를 포함하는, 기판 상에 텅스텐 막을 증착시키기 위한 장치.
  19. 제 18 항에 있어서,
    상기 프로세싱 챔버는 하나 이상의 부가적인 스테이션들을 포함하는, 기판 상에 텅스텐 막을 증착시키기 위한 장치.
  20. 제 18 항에 있어서,
    상기 프로세싱 챔버는 기판 지지부를 갖는 하나의 스테이션만을 포함하는, 기판 상에 텅스텐 막을 증착시키기 위한 장치.
  21. 제 18 항에 있어서,
    상기 제어기는 적어도 80토르의 스테이션 압력을 유지하기 위한 명령들을 포함하는, 기판 상에 텅스텐 막을 증착시키기 위한 장치.
  22. 제 18 내지 제 21 항 중 어느 한 항에 있어서,
    상기 제어기는 적어도 약 420℃ 의 기판 온도를 유지하기 위한 명령들을 포함하는, 기판 상에 텅스텐 막을 증착시키기 위한 장치.
KR1020130108151A 2012-09-09 2013-09-09 낮은 거칠기 및 낮은 저항을 갖는 텅스텐 막을 증착시키기 위한 방법 KR20140034081A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261698700P 2012-09-09 2012-09-09
US61/698,700 2012-09-09
US13/633,798 2012-10-02
US13/633,798 US8853080B2 (en) 2012-09-09 2012-10-02 Method for depositing tungsten film with low roughness and low resistivity

Publications (1)

Publication Number Publication Date
KR20140034081A true KR20140034081A (ko) 2014-03-19

Family

ID=50233683

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130108151A KR20140034081A (ko) 2012-09-09 2013-09-09 낮은 거칠기 및 낮은 저항을 갖는 텅스텐 막을 증착시키기 위한 방법

Country Status (3)

Country Link
US (1) US8853080B2 (ko)
KR (1) KR20140034081A (ko)
TW (1) TWI605522B (ko)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
KR102131581B1 (ko) 2012-03-27 2020-07-08 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
CN105493229B (zh) * 2013-08-19 2019-04-05 应用材料公司 用于杂质分层外延法的设备
JP6554418B2 (ja) * 2013-11-27 2019-07-31 東京エレクトロン株式会社 タングステン膜の成膜方法および成膜装置
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9595470B2 (en) * 2014-05-09 2017-03-14 Lam Research Corporation Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
WO2016046909A1 (ja) * 2014-09-24 2016-03-31 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、半導体装置およびプログラム
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
CN106653678A (zh) * 2015-11-03 2017-05-10 中芯国际集成电路制造(上海)有限公司 导电插塞结构及其形成方法
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10510590B2 (en) 2017-04-10 2019-12-17 Lam Research Corporation Low resistivity films containing molybdenum
KR20200032756A (ko) 2017-08-14 2020-03-26 램 리써치 코포레이션 3차원 수직 nand 워드라인을 위한 금속 충진 프로세스
JP7018748B2 (ja) * 2017-11-28 2022-02-14 東京エレクトロン株式会社 成膜方法及び成膜条件の算出方法
JP2021523292A (ja) 2018-05-03 2021-09-02 ラム リサーチ コーポレーションLam Research Corporation 3d nand構造内にタングステンおよび他の金属を堆積させる方法
WO2019236909A1 (en) * 2018-06-07 2019-12-12 Lam Research Corporation Reduction of diffusion across film interfaces
CN113166929A (zh) 2018-12-05 2021-07-23 朗姆研究公司 无空隙低应力填充
CN113424300A (zh) 2018-12-14 2021-09-21 朗姆研究公司 在3d nand结构上的原子层沉积
KR20220139417A (ko) 2019-01-28 2022-10-14 램 리써치 코포레이션 금속 막들의 증착
US11205589B2 (en) * 2019-10-06 2021-12-21 Applied Materials, Inc. Methods and apparatuses for forming interconnection structures
US20220068709A1 (en) * 2020-08-25 2022-03-03 Applied Materials, Inc. Low Resistivity Tungsten Film And Method Of Manufacture

Family Cites Families (165)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
JPS62216224A (ja) 1986-03-17 1987-09-22 Fujitsu Ltd タングステンの選択成長方法
JPS62260340A (ja) 1986-05-06 1987-11-12 Toshiba Corp 半導体装置の製造方法
US4746375A (en) 1987-05-08 1988-05-24 General Electric Company Activation of refractory metal surfaces for electroless plating
US5250329A (en) 1989-04-06 1993-10-05 Microelectronics And Computer Technology Corporation Method of depositing conductive lines on a dielectric
GB8907898D0 (en) 1989-04-07 1989-05-24 Inmos Ltd Semiconductor devices and fabrication thereof
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
EP0437110B1 (en) 1990-01-08 2001-07-11 Lsi Logic Corporation Structure for filtering process gases for use with a chemical vapour deposition chamber
KR100209856B1 (ko) 1990-08-31 1999-07-15 가나이 쓰도무 반도체장치의 제조방법
US5250467A (en) 1991-03-29 1993-10-05 Applied Materials, Inc. Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
US5567583A (en) 1991-12-16 1996-10-22 Biotronics Corporation Methods for reducing non-specific priming in DNA detection
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5326723A (en) 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
KR950012738B1 (ko) 1992-12-10 1995-10-20 현대전자산업주식회사 반도체소자의 텅스텐 콘택 플러그 제조방법
KR970009867B1 (ko) 1993-12-17 1997-06-18 현대전자산업 주식회사 반도체 소자의 텅스텐 실리사이드 형성방법
EP0704551B1 (en) 1994-09-27 2000-09-06 Applied Materials, Inc. Method of processing a substrate in a vacuum processing chamber
JPH08115984A (ja) 1994-10-17 1996-05-07 Hitachi Ltd 半導体装置及びその製造方法
US6001729A (en) 1995-01-10 1999-12-14 Kawasaki Steel Corporation Method of forming wiring structure for semiconductor device
JP2737764B2 (ja) 1995-03-03 1998-04-08 日本電気株式会社 半導体装置及びその製造方法
JPH0927596A (ja) 1995-07-11 1997-01-28 Sanyo Electric Co Ltd 半導体装置の製造方法
US5863819A (en) 1995-10-25 1999-01-26 Micron Technology, Inc. Method of fabricating a DRAM access transistor with dual gate oxide technique
US6017818A (en) 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
US5833817A (en) 1996-04-22 1998-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving conformity and contact bottom coverage of sputtered titanium nitride barrier layers
KR100214852B1 (ko) 1996-11-02 1999-08-02 김영환 반도체 디바이스의 금속 배선 형성 방법
US6310300B1 (en) 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
US6297152B1 (en) 1996-12-12 2001-10-02 Applied Materials, Inc. CVD process for DCS-based tungsten silicide
US5804249A (en) 1997-02-07 1998-09-08 Lsi Logic Corporation Multistep tungsten CVD process with amorphization step
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6037248A (en) 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
US5956609A (en) 1997-08-11 1999-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing stress and improving step-coverage of tungsten interconnects and plugs
US5795824A (en) 1997-08-28 1998-08-18 Novellus Systems, Inc. Method for nucleation of CVD tungsten films
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US5926720A (en) 1997-09-08 1999-07-20 Lsi Logic Corporation Consistent alignment mark profiles on semiconductor wafers using PVD shadowing
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US7829144B2 (en) * 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
JPH11260759A (ja) 1998-03-12 1999-09-24 Fujitsu Ltd 半導体装置の製造方法
US6452276B1 (en) 1998-04-30 2002-09-17 International Business Machines Corporation Ultra thin, single phase, diffusion barrier for metal conductors
US6066366A (en) 1998-07-22 2000-05-23 Applied Materials, Inc. Method for depositing uniform tungsten layers by CVD
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100273767B1 (ko) 1998-10-28 2001-01-15 윤종용 반도체소자의 텅스텐막 제조방법 및 그에 따라 제조되는 반도체소자
US6037263A (en) 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
US6331483B1 (en) 1998-12-18 2001-12-18 Tokyo Electron Limited Method of film-forming of tungsten
US20010014533A1 (en) 1999-01-08 2001-08-16 Shih-Wei Sun Method of fabricating salicide
JP3206578B2 (ja) 1999-01-11 2001-09-10 日本電気株式会社 多層配線構造をもつ半導体装置の製造方法
JP4570704B2 (ja) 1999-02-17 2010-10-27 株式会社アルバック バリア膜製造方法
US6245654B1 (en) 1999-03-31 2001-06-12 Taiwan Semiconductor Manufacturing Company, Ltd Method for preventing tungsten contact/via plug loss after a backside pressure fault
US6294468B1 (en) 1999-05-24 2001-09-25 Agere Systems Guardian Corp. Method of chemical vapor depositing tungsten films
US6720261B1 (en) 1999-06-02 2004-04-13 Agere Systems Inc. Method and system for eliminating extrusions in semiconductor vias
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
US6265312B1 (en) 1999-08-02 2001-07-24 Stmicroelectronics, Inc. Method for depositing an integrated circuit tungsten film stack that includes a post-nucleation pump down step
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
KR100760408B1 (ko) 1999-08-30 2007-09-19 가부시키가이샤 에바라 세이사꾸쇼 도금액중의 레벨러농도측정방법
US6309966B1 (en) 1999-09-03 2001-10-30 Motorola, Inc. Apparatus and method of a low pressure, two-step nucleation tungsten deposition
US6303480B1 (en) 1999-09-13 2001-10-16 Applied Materials, Inc. Silicon layer to improve plug filling by CVD
US6610151B1 (en) 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US6924226B2 (en) 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6277744B1 (en) 2000-01-21 2001-08-21 Advanced Micro Devices, Inc. Two-level silane nucleation for blanket tungsten deposition
US6777331B2 (en) 2000-03-07 2004-08-17 Simplus Systems Corporation Multilayered copper structure for improving adhesion property
US6429126B1 (en) 2000-03-29 2002-08-06 Applied Materials, Inc. Reduced fluorine contamination for tungsten CVD
EP1290746B1 (en) 2000-05-18 2012-04-25 Corning Incorporated High performance solid electrolyte fuel cells
JP3651360B2 (ja) 2000-05-19 2005-05-25 株式会社村田製作所 電極膜の形成方法
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6218301B1 (en) 2000-07-31 2001-04-17 Applied Materials, Inc. Deposition of tungsten films from W(CO)6
DE60113214T2 (de) 2000-11-02 2006-06-08 Shipley Co., L.L.C., Marlborough Plattierungsbadanalyse
US6740591B1 (en) 2000-11-16 2004-05-25 Intel Corporation Slurry and method for chemical mechanical polishing of copper
JP2004514289A (ja) 2000-11-17 2004-05-13 東京エレクトロン株式会社 金属配線の形成方法および金属配線形成用半導体製造装置
US6908848B2 (en) 2000-12-20 2005-06-21 Samsung Electronics, Co., Ltd. Method for forming an electrical interconnection providing improved surface morphology of tungsten
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
KR20020072996A (ko) 2001-03-14 2002-09-19 주성엔지니어링(주) 금속 플러그 형성방법
US6740221B2 (en) 2001-03-15 2004-05-25 Applied Materials Inc. Method of forming copper interconnects
US20020168840A1 (en) 2001-05-11 2002-11-14 Applied Materials, Inc. Deposition of tungsten silicide films
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7262125B2 (en) 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US6686278B2 (en) 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
JP2005518088A (ja) 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
JP2005504885A (ja) 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6566262B1 (en) 2001-11-01 2003-05-20 Lsi Logic Corporation Method for creating self-aligned alloy capping layers for copper interconnect structures
TWI253478B (en) 2001-11-14 2006-04-21 Mitsubishi Heavy Ind Ltd Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US20030091870A1 (en) 2001-11-15 2003-05-15 Siddhartha Bhowmik Method of forming a liner for tungsten plugs
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6566250B1 (en) 2002-03-18 2003-05-20 Taiwant Semiconductor Manufacturing Co., Ltd Method for forming a self aligned capping layer
KR100446300B1 (ko) 2002-05-30 2004-08-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US7144488B2 (en) 2002-06-05 2006-12-05 Shipley Company, L.L.C. Electrode, electrochemical cell, and method for analysis of electroplating baths
US6905543B1 (en) 2002-06-19 2005-06-14 Novellus Systems, Inc Methods of forming tungsten nucleation layer
TWI287559B (en) 2002-08-22 2007-10-01 Konica Corp Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film
US6706625B1 (en) 2002-12-06 2004-03-16 Chartered Semiconductor Manufacturing Ltd. Copper recess formation using chemical process for fabricating barrier cap for lines and vias
US6962873B1 (en) 2002-12-10 2005-11-08 Novellus Systems, Inc. Nitridation of electrolessly deposited cobalt
WO2005003033A2 (en) 2002-12-23 2005-01-13 Applied Thin Films, Inc. Aluminum phosphate coatings
JP2004235456A (ja) 2003-01-30 2004-08-19 Seiko Epson Corp 成膜装置、成膜方法および半導体装置の製造方法
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US7754604B2 (en) 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
JP4606006B2 (ja) 2003-09-11 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6924223B2 (en) 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
KR100557626B1 (ko) 2003-12-23 2006-03-10 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
KR101108304B1 (ko) 2004-02-26 2012-01-25 노벨러스 시스템즈, 인코포레이티드 질화 텅스텐의 증착
CN100370585C (zh) 2004-04-12 2008-02-20 株式会社爱发科 隔离膜的形成方法及电极膜的形成方法
US8087966B2 (en) 2004-04-21 2012-01-03 Koninklijke Philips Electronics N.V. Method for the thermal treatment of tungsten electrodes free from thorium oxide for high-pressure discharge lamps
US7605469B2 (en) 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
KR20060074593A (ko) 2004-12-27 2006-07-03 동부일렉트로닉스 주식회사 반도체 소자의 농도적정 모니터링 장치 및 그 방법
KR100642750B1 (ko) 2005-01-31 2006-11-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
JP4738178B2 (ja) 2005-06-17 2011-08-03 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4945937B2 (ja) 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
US7517798B2 (en) 2005-09-01 2009-04-14 Micron Technology, Inc. Methods for forming through-wafer interconnects and structures resulting therefrom
US7524765B2 (en) 2005-11-02 2009-04-28 Intel Corporation Direct tailoring of the composition and density of ALD films
US7276796B1 (en) 2006-03-15 2007-10-02 International Business Machines Corporation Formation of oxidation-resistant seed layer for interconnect applications
JP2007250907A (ja) 2006-03-16 2007-09-27 Renesas Technology Corp 半導体装置およびその製造方法
US8258057B2 (en) 2006-03-30 2012-09-04 Intel Corporation Copper-filled trench contact for transistor performance improvement
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
KR100884339B1 (ko) 2006-06-29 2009-02-18 주식회사 하이닉스반도체 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법
US8153831B2 (en) 2006-09-28 2012-04-10 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
US7675119B2 (en) 2006-12-25 2010-03-09 Elpida Memory, Inc. Semiconductor device and manufacturing method thereof
US20080254619A1 (en) 2007-04-14 2008-10-16 Tsang-Jung Lin Method of fabricating a semiconductor device
TWI493058B (zh) 2007-05-15 2015-07-21 Applied Materials Inc 鎢材料的原子層沈積法
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US7879222B2 (en) 2007-08-27 2011-02-01 Eci Technology, Inc. Detection of additive breakdown products in acid copper plating baths
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
KR101163825B1 (ko) 2008-03-28 2012-07-09 도쿄엘렉트론가부시키가이샤 정전척 및 그 제조 방법
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US7825024B2 (en) 2008-11-25 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming through-silicon vias
US8110877B2 (en) 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
JP2010180303A (ja) 2009-02-04 2010-08-19 Sumitomo Chemical Co Ltd 親水化剤、その製造方法およびその用途
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8207062B2 (en) 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
DE102009055392B4 (de) 2009-12-30 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8865594B2 (en) 2011-03-10 2014-10-21 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8617985B2 (en) 2011-10-28 2013-12-31 Applied Materials, Inc. High temperature tungsten metallization process
KR102147003B1 (ko) 2011-12-12 2020-08-24 노벨러스 시스템즈, 인코포레이티드 전기도금 용액 내에서의 평탄화제 농도 모니터링
JP6195898B2 (ja) 2012-03-27 2017-09-13 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 核形成の抑制を伴うタングステンによるフィーチャ充填
KR102131581B1 (ko) 2012-03-27 2020-07-08 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features

Also Published As

Publication number Publication date
US20140073135A1 (en) 2014-03-13
TWI605522B (zh) 2017-11-11
TW201428853A (zh) 2014-07-16
US8853080B2 (en) 2014-10-07

Similar Documents

Publication Publication Date Title
US8853080B2 (en) Method for depositing tungsten film with low roughness and low resistivity
KR102603859B1 (ko) 매우 낮은 저항률의 텅스텐을 증착하는 방법
KR102641077B1 (ko) 텅스텐 클로라이드 전구체를 사용하여 텅스텐 박막 및 텅스텐 나이트라이드 박막을 준비하는 방법들
KR102466639B1 (ko) 몰리브덴을 함유하는 저 저항률 막들
US8551885B2 (en) Method for reducing tungsten roughness and improving reflectivity
JP5376361B2 (ja) タングステン膜の製造方法および装置
US9159571B2 (en) Tungsten deposition process using germanium-containing reducing agent
KR102515236B1 (ko) 저 저항 텅스텐 피처 충진을 가능하게 하는 텅스텐 핵생성 프로세스
KR102173770B1 (ko) 작은 임계 치수의 피쳐에서 텅스텐 컨택 저항을 개선하는 방법
KR101383384B1 (ko) 작은 임계 치수를 가지는 텅스텐 컨택트 및 인터커넥트 형성 방법
US8501620B2 (en) Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
KR20110105645A (ko) 낮은 저항 및 강한 미소-접착 특성을 가진 텅스텐 박막의 증착 방법

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X601 Decision of rejection after re-examination