JP2010251759A - 小臨界次元のタングステン接点装置及び相互接続子の製法 - Google Patents

小臨界次元のタングステン接点装置及び相互接続子の製法 Download PDF

Info

Publication number
JP2010251759A
JP2010251759A JP2010093522A JP2010093522A JP2010251759A JP 2010251759 A JP2010251759 A JP 2010251759A JP 2010093522 A JP2010093522 A JP 2010093522A JP 2010093522 A JP2010093522 A JP 2010093522A JP 2010251759 A JP2010251759 A JP 2010251759A
Authority
JP
Japan
Prior art keywords
tungsten
substrate
feature
chemical vapor
vapor deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010093522A
Other languages
English (en)
Other versions
JP2010251759A5 (ja
JP5700327B2 (ja
Inventor
Anand Chandrashekar
チャンドラセカール、アナンド
Feng Chen
チェン、フェン
Raashina Humayun
フマーユーン、ラッシナ
Michal Danek
ダネク、マイケル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of JP2010251759A publication Critical patent/JP2010251759A/ja
Publication of JP2010251759A5 publication Critical patent/JP2010251759A5/ja
Application granted granted Critical
Publication of JP5700327B2 publication Critical patent/JP5700327B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】高縦横比の特徴部のボイドなしの充填方法を提供する。
【解決手段】種々の実施例に於いて、この方法は低温化学蒸着工程によるタングステンでの特徴部の充填に関する。或る実施例に於いて、工程温度は特徴部充填の化学蒸着の間約350°C以下に維持される。この低温化学蒸着タングステン充填により、標準の化学蒸着充填と同様は薄膜抵抗を達成する一方、高縦横比の特徴部への向上された充填と下地層へとのフッ素移動への向上されたバリヤが得られる。発明は更に低抵抗を有するタングステンフィルムの堆積方法に関する。種々の実施例に於いて、この方法ではタングステンバルク層の堆積及び/或は低温化学蒸着によるバルク層の堆積の前に堆積された核形成層に低温低抵抗処理を実施し、その後高温化学蒸着を実施する。
【選択図】図3

Description

本発明は、小臨界次元のタングステン接点装置及び相互接続子の製法に関する。
化学蒸着(CVD)技術使用によるタングステンフィルムの成膜は多くの半導体製造工程における重要な部分である。タングステンフィルムは水平方向相互接続子、隣接金属層間のビアホール、及び第一金属層とシリコンサブストレイト間の接点装置の形態で低抵抗電気的連結に使用されることがある。従来のタングステン堆積工程に於いて、ウエファは真空チェンバ内で加工温度に加熱され、次いで種子即ち核形成層として機能するタングステンの極めて薄い部分が堆積される。その後、タングステンフィルムの残余部(バルク層)が核形成層の上に堆積される。従来例に於いて、タングステンバルク層は成長中のタングステン層の上で六フッ化タングステン(WF)の水素(H)による還元によって形成される。
半導体装置の寸法が32nmの技術ノードを超えると、接点装置やビアホールの寸法の縮小がタングステンの化学蒸着の問題点となる。縦横比が増加すると装置特徴部にボイドや大きなシームが生じ、生産高を低下させ、マイクロプロセッサやメモリチップの性能低下の結果となりかねない。ITRS(International Technology Roadmap for Semiconductors)は縦横比が20:1以上の32nm層状DRAM接点装置を要求して居る。論理接点装置はDRAM接点装置程ではないが縦横比が10:1以上となると問題になる。従来例のCVDタングステン堆積技術を使用するのでは、これらの積極的特徴部に於けるボイド無しの充填は問題となる。
本発明はその一面に於いて縦横比の高い特徴部のボイド無しのタングステン充填の方法に関する。種々の実施例に於いて、この方法では特徴部をタングステンで充填するのに低温化学蒸着(CVD)工程が使用される。或る実施例に於いては特徴部の充填に化学蒸着の間工程温度が350°C以下に保持される。低温化学蒸着タングステン充填は標準的化学蒸着充填と同様な薄膜抵抗を可能とする一方、縦横比の高い特徴部への向上されたタングステン充填及び下地層へのフッ素の移動に対する向上されたバリヤを提供するものである。その他の面に於いて、本発明は低抵抗のタングステン薄膜を堆積する方法に関する。種々の実施例に於いて、この方法はタングステンバルク層の堆積及び/或は低温化学蒸着工程の後高温化学蒸着工程によるバルク層の堆積をする前に堆積された核形成層に低温低抵抗処理を施行することに関する。
或る実施例に於けるタングステン核形成及びバルク層で充填された特徴部の略図である。 12Å及び50Åの場合の核形成層で占められた特徴部の体積パーセンテイジを技術ノード(特徴部サイズ)の関数として描く図である。 或る実施例に従い特徴部をタングステンで充填する処理を示すフロー図である。 或る実施例に於ける種々の段階に於ける特徴部の断面の概要を示す図である。 特徴部充填工程の後に於けるサブストレイトの断面の略図である。 (1)パルス核形成層(PNL)工程と低温化学蒸着(CVD)工程及び(2) PNL工程のみで堆積されたフィルムについて、欠陥をフィルムの厚さの関数として示す図である。 32nm特徴部の高温及び低温CVD充填の画像を示す。 高温及び低温CVDで堆積されたフィルムについて、抵抗をフィルムの厚さの関数として示す図である。 種々の工程で堆積されたタングステンフィルムについて、抵抗をフィルムの厚さの関数として示す図である。 特徴部をタングステンで充填する方法の種々の実施例を示す工程フロー図である。 特徴部をタングステンで充填する方法の種々の実施例を示す工程フロー図である。 特徴部をタングステンで充填する方法の種々の実施例を示す工程フロー図である。 或る実施例に使用可能なタングステン核形成層堆積方法の工法を示す工程フロー図である。 種々の実施例に於ける低抵抗処理のガスパルス順序を示す。 種々の実施例に於ける低抵抗処理のガスパルス順序を示す。 或る実施例による特徴部充填工程後の特徴部断面の略図である。 低抵抗処理工程の間の50nm及び10nmフィルムの抵抗を還元剤露出の関数として示す図である。 高温化学蒸着のみで充填された特徴部及び低温と高温化学蒸着で充填された特徴部の低抵抗処理のための還元剤露出の関数として50nmフィルムの抵抗を示す図である。 種々の実施例に於ける抵抗をフィルムの厚さの関数として示す図である。 タングステンで特徴部を充填する種々の実施例に於ける方法の工程を示す工程フロー図である。 種々の実施例に於ける抵抗をフィルムの厚さの関数として示す図である。 実施例によるタングステン堆積工程の実施に好適なシステムの略図である。 実施例によるタングステン堆積の原理を示す図である。
以下の記述に於いてはタングステン薄膜形成に関する本発明の完全理解のために多くの実施例が開示されるが、ここに示され論じられる特定の方法や装置への変更、実施、変化などは本発明の範囲の中に於いて当業者には明白であろう。
タングステンを32nm以下技術に延長することは、メモリ及びロジック両方の装置に於けるビアホール/接点装置性能及び信頼性を維持するのに重要である。装置の寸法がより小さい技術ノードに進展するにつれ、タングステン充填には種々の課題が起こるもので、その一つとは接点装置やビアホールに於ける薄いフィルムに起因する抵抗増加を防止することである。特徴部が小さくなるに従い、より薄くなるタングステンフィルム内での散乱効果によりタングステン接触及び線抵抗が増加する。効果的タングステン堆積工程はタングステン核形成層を必要とするが、典型的にこれらの層はバルクタングステン層より電気抵抗が高い。特徴部が小さくなると低抵抗タングステンフィルムで集積回路デザインに於ける電力消費と加熱は最小化される。抵抗がより高い薄いバリヤ及びタングステン核形成フィルムがより小さい特徴部に於いてより大きな割合を占める。
図1はビアホール/接点装置構成100に於ける核形成フィルム110及びバルクタングステン材料120で占められる容量を示す。図2は12Å及び50Åの核形成フィルムで占められた体積パーセンテイジを技術ノードの関数として示す。核形成層の抵抗はバルク層のそれより高いので、総抵抗値を可及的に低く保つため、核形成層の厚さは最小化されるべきものである。その一方、下地のサブストレイトを十分に覆って上質のバルク堆積を成就するため、タングステン核形成は十分厚くなくてはならない。
装置の寸法がより小さい技術ノードの程度になるに従ってのタングステン栓充填の課題とは段差を覆うことである。例えば層状コンデンサDRAM接点装置の場合、32nmノードに於いて20:1以上の高縦横比の特徴部のタングステン充填が要求される。より小さい接点装置開口部が要求される縦横比を10:1に近接させるに従い、ロジック接点装置はDRAM接点装置ほどに積極的ではないがやはり課題を有する。メモリ装置は典型的にかなり順応的な化学蒸着TiClをもとにするTi/TiN裏地/バリヤを使用する。しかし、ロジック装置は依然としてリエントラント型或はピンチオフを生成する大きな張り出しに関する追加的段差覆いの課題を生成するPVD/MOCVDをもとにするTi/TiNフィルムに依頼して居る。裏地/バリヤフィルムからのPVD張り出しは小さな特徴部の充填の困難を増加するものであり、これは核形成フィルムのみならず終局的にバルク化学蒸着フィルムで特徴部を充填することを困難にする。入り込む張り出しと高い縦横比の構成の寸法とを併せると、従来例の技術ノードに使用される化学蒸着タングステン堆積工法を使用するボイド無しの栓充填の実施が困難又は不可能となる。
種々の実施例に於いて、本発明は核形成フィルムの厚さの減少及び充填工程での段差覆いの向上を含め、強烈な縦横比及び裏地/バリヤ段差覆い限定を克服するためのタングステン充填工程を提供するものである。或る実施例に於いて、この方法はフッ素による下地のバリヤ/裏地層への侵略に対する良好なバリヤフィルムを提供するものである。
図3は或る実施例に従う充填を提供する方法での工程を示すフロー図である。工法はまず高縦横比の特徴部が中に形成されたサブストレイトを準備することで開始される(302)。発明の実施例は高縦横比の特徴部に限定されるものではないが、従来の技術ノードでの特徴部の充填に使用される化学蒸着工法が適当な充填を提供しない高縦横比特徴部に良好なボイド無しの充填を実施するのに此処に記載される方法は重要なのである。種々の実施例に於いて、サブストレイト特徴部の縦横比は少なくとも10:1、少なくとも15:1、少なくとも20:1、少なくとも25:1、或は少なくとも30:1である。又、種々の実施例に於いて特徴部のサイズは縦横比に加え、或はその代わりに、特徴部開口部サイズで特徴付けされる。開口部の幅は10nm−100nm 、或は10nm−50nmでよい。例えば或る実施例に於いて、この方法は縦横比の如何に拘らず開口部の狭い特徴部について有利に使用出来る。
或る実施例に於いて、陥凹特徴部は特徴部の底部が下地の金属層との接点を形成してサブストレイトの上の誘電層の中に形成される。又或る実施例に於いて、特徴部はその側壁及び/或は底部の上に裏地/バリヤ層を含む。裏地層の例にはTi/TiN、TiN及びWNが含まれる。拡散バリヤ層に追加的、或はその代わりに特徴部は粘着層、核形成層、これらの結合、或は特徴部の側壁及び底部の裏地材料に適するその他の物質を含んでよい。
或る実施例の特徴部はリエントラント特徴部、即ち特徴部開口を部分的に閉塞する張り出しを形成する裏地層或はその他の物質である。堆積工法の多くは良好な段差を覆う特性がないので、物質は特徴部の内部より場の領域上開口部によけい堆積され、裏地層は例えば特徴部の内部より開口部の近くで厚くなる。此処での記載では"開口の近く"とは場の領域から測定して特徴部の深さの約0−10%に相当する大略位置或は特徴部の内部領域と定義される。或る実施例に於いて、開口に近くの領域とは開口部の領域に相当する。更に"特徴部の内部"とは特徴部の頂上部の場の領域から測定して特徴部の深さの約20−60%に相当する大略位置或は特徴部の内部領域と定義される。典型的に、或るパラメタ(例えば厚さ)の値が"開口の近く"或は"特徴部の内部"と特定された場合、これらの値はこのような位置/領域での複数回の測定値の平均を現すものである。或る実施例の場合、開口の近くでの下地層の厚さは特徴部の内部より少なくとも約10%大きい。より特別の実施例に於いては、この相違が約25%、少なくとも約50%、或は少なくとも約100%である。物質の特徴部の中での分布はその段差の覆いでも特徴付けられる。此処での記述の目的に於いて、"段差の覆い"は二つの厚さの比、即ち特徴部の内部の物質の厚さを開口部の近くでの物質の厚さで割った比で定義される。或る実施例に於いて、裏地或は他の下地層の段差の覆いは約50%である。
図3に戻り、タングステン核形成層が次いで特徴部の中に堆積され、典型的に順応的に特徴部の側壁と底部とが塗布される(304)。一般的に、核形成層はその後その上にバルク物質を形成するのを容易にするための薄膜である。下地の特徴部との一致は高質の堆積の為に重要である。核形成層の形成には化学蒸着(CVD)工程、原子層堆積(ALD)工程、及び脈動核形成層(PNL)堆積工法を含みそれに限定されない種々の工法が使用されてよい。
PNL工法に於いて、反応物質のパルスは典型的に反応物質間の浄化ガスのパルスによって反応室から順々注入され浄化される。第一反応物質は典型的にサブストレイトの上へ吸収され、次の反応物質との反応に供される。この工程は所望の厚さが得られるまで周期的に繰り返される。PNLは文献によって報告された原子層堆積技術と同様である。PNLは一般的にALDとはそのより高い工程圧力範囲(1Torr以上)及びより高い周期毎の成長率(周期毎に1単層フィルム以上の成長)によって相違する。此処での記載のコンテキストに於いて、PNLとは一般的に言って半導体サブストレイトに反応物質を順々に追加する周期的工程を具現するものである。従って、この概念は従来ALDと言及されていた技術を具現する。此処での記載のコンテキストに於いて、CVDは反応物資が蒸気相反応用に一緒に反応器に導入される工程を具現する。PNL及びALD工程はCVDと別個であり、又その逆も真である。
PNLサイクルを一回以上使用して核形成層を形成する方法は米国特許6844258、7005372、7141494、7262125及び7589017、米国特許公開2008/0254623及び2009/0149022、及び米国特許出願12/407541(すべて全体的に参照して本願に編入されるものとする)に開示されてある。これらPNL核形成層工法に於いては、サブストレイトが種々の順序で還元剤及びタングステン前駆物質に露出され、望まれる厚さの核形成層が成長される。 PNL-CVD併合工法での核形成層の堆積は米国特許7655567(これもその全部が編入される)に開示されてある。
核形成層は高質の堆積のために十分の厚さとする。或る実施例に於いて、必要とされる厚さは一部核形成層堆積の方法に依存する。以下記載される如く、或る実施例に於いて、厚さ僅かに12Å(典型的核形成フィルムの厚さ50Åと比較)で段差の覆いが殆ど100%の核形成フィルムを提供するPNL工法が或る実施例で使用されてもよい。しかし、核形成層堆積に使用される方法に拘らず、特徴部の充填に使用される低温化学蒸着工法が従来の高温化学蒸着に必要な厚さより薄い核形成層に使用可能である。特種の原理に拘束されることなく、これは低温に於ける低速化学が完全に成長されて居ない核形成箇所での成長をも向上させるからであると信じられる。種々の実施例に於いて約30−50Å(3−5nm )の、或る実施例では僅か10−15Åの核形成層が形成できる。
或る実施例に於いては、核形成層の堆積の後に抵抗の向上の為の堆積後処理工程が実施される。このような処理工程は以下説明され、更なる詳細は米国特許公開2009/0149022及び米国特許出願12/407541(共に全体的に参照して本願に編入されるものとする)に開示されてある。
一度核形成層が形成されると、特徴部を低温化学蒸着タングステンフィルムで充填して工程は継続する(306)。この工程に於いて、還元剤とタングステン含有前駆物質が堆積室に流入させられ、バルク充填層が特徴部に堆積される。不活性キャリヤガスが反応物質の流れの導入に使用されてもよく、これらは事前に混合されて居ても、居なくてもよい。PNL及びALD工程と異なり、この工程は一般的に所望の量が堆積されるまで反応物質を連続的に流入することから成る。或る実施例に於いて、化学蒸着工程は一部の反応物質流が転送される期間を挟んで連続的及び同時に流れる複数の期間を有する複数の段階に分けて実施されてよい。
タングステン含有前駆物質として使用できるタングステン含有ガスの例にはWF,WCl及びW(CO)があるが、これらに限られるものではない。或る実施例に於いて、タングステン含有前駆物質は WFのようなハロゲン含有化合物である。或る実施例に於いて、還元剤は水素ガスであるが、その他、シラン(SiH)、ジシラン(Si)、ヒドラジン(N)、ジボラン(B)、ゲルマン(GeH)のような還元剤が使用されてもよい。多くの実施例に於いて、水素ガスが化学蒸着工程で還元剤として使用される。
特徴部の化学蒸着充填は低温で行われる。種々の実施例に於いて、低温(工程及び/或はサブストレイト温度)とは以下の範囲の中の一つである:約250−350°Cの間、約250−340°Cの間、約250−330°Cの間、約250−325°Cの間、約250−320°Cの間、約250−315°Cの間、約250−310°Cの間、約250−305°Cの間、約250−300°Cの間。また、種々の実施例に於いて、工程及び/或はサブストレイト温度は以下の一つである:約260−310°Cの間、約270−310°Cの間、約280−310°Cの間、 約290−310°Cの間。或る実施例に於いて、工程及び/或はサブストレイト温度は約300°Cである。
特徴部が充填された後、高温化学蒸着層の堆積の為に温度が上昇される(308)。高温とは以下の範囲の中の一つである:約350°C-450°Cの間; 約360°C-450°Cの間;約370°C-450°Cの間;約380°C-450°Cの間;約390°C-450°Cの間;約400°C-450°Cの間。或る実施例に於いて、高温化学蒸着は約395°Cで行われる。温度の上昇はサブストレイト温度の上昇によるものでもよい。種々の実施例に於いて、温度は少なくとも約50°C 少なくとも約60°C、少なくとも約70°C 少なくとも約80°C、少なくとも約90°C、少なくとも約100°C、或は少なくとも約110°C上昇される。高温化学蒸着層はその後堆積される(310)。或る実施例に於いて、工程308及び310は実施されない。即ち、低温化学蒸着工程の完了し特徴部が充填された後、サブストレイトは平滑化のような工程を更に実施されるべく運搬される。
或る実施例に於いて、工程306から工程308に移るとはサブストレイトを或る堆積部署から複数部署のチェンバ内の別の部署へ移動することに関する。更に工程304、堆積後抵抗処理(もし実施されるならば)工程306及び工程308が同じ複数部署チェンバ内の異なる部署で実施される。
代行的実施例に於いて単一部署で工程306と308が実施される場合、工程306から工程308への移行はサブストレイトの温度を上昇させながらタングステン前駆物質の流れの閉鎖(選択的に水素或はその他のガス及び/或はキャリヤガスを流す)に関する。一度サブストレイト温度が安定化すると、タングステン前駆物質及びその他のガスが、もし必要ならば、高温堆積用に反応室へと流入される。他の実施例に於いて、工程306からの移行は移行期間に堆積を継続させながらサブストレイト温度を上昇させることに関する。
高温タングステン化学蒸着フィルムが堆積される実施例に於いて、これは充填された特徴部に過重負担層として堆積されてもよい。図4は特徴部410が低温化学蒸着で充填された後高温化学蒸着層が堆積される堆積工程の異なる段階に於ける特徴部の断面の一例を示すものである。断面401はタングステン堆積が起こる前の特徴部410の例を現す。この例に於いて、特徴部410は誘電体層413の中に形成されて居り、サブストレイトの上面405に開口425を有し、TiN層のような裏地層413がある。或る実施例に於いて、開口425近くの空洞の大きさは例えば図4に示されるように下地の層413の張り出し415に起因して特徴部内部より狭い。
断面411は低温化学蒸着が実施されて特徴部が低温化学蒸着バルク層453で充填された後の特徴部を現す。(タングステン核形成層は図4に示されて居ない。)或る実施例に於いて、低温化学蒸着は少なくとも特徴部隅部417(サブストレイトが平面部から陥凹特徴部へ遷移する点)が低温化学蒸着タングステンで覆われるまで実施される。これは或る実施例に於いて裏地、誘電体或は他の下地層が特に特徴部隅部でのFによる攻撃に弱いからである。下記の如く、低温化学蒸着タングステンが予期された以上に良好なバリヤ性を有して居り、その後の高温化学蒸着堆積の間でのFへの露出から下地層を保護するのである。
断面421は高温化学蒸着が実施されて過重負担層455が堆積された後の特徴部を現す。特徴部側壁及び隅部はFによる攻撃から低温化学蒸着フィルム453によって保護されて居る。断面431は従来例の(高温)工程で充填された断面401に示されたような狭い特徴部の比較例を供する。高温工程では、張り出し415及び高温層455の比較的拙劣な段差の覆いにより、閉鎖された特徴部は充填されないボイド429(即ちシーム)を有する。種々の理由、例えば特徴部の抵抗増加及び化学的-機械的平滑化(CMP)工程に於ける問題などによりシームは問題である。略図に於いては見られないが、隅部及び特徴部のその他の部分はFの攻撃に起因する粘着の問題があり、剥離及び/或は欠陥を呈する。このような欠陥については図6を参照して後述する。
或る実施例に於いて、タングステンによって充填されるべき高縦横比特徴部と低縦横比特徴部と両方有するサブストレイトが提供される。例えば、サブストレイトは少なくとも約10:1の縦横比を有する一個以上の特徴部と、約5:1、或は1:1、或は1:2以下の縦横比を有する一個以上の特徴部を有するかも知れない。此の場合、低温化学蒸着工程が行われて一個以上の高縦横比特徴部が充填され、その後高温化学蒸着工程が実施されて低縦横比特徴部が充填されてもよい。図5はこのようにして充填された高縦横比特徴部510と低縦横比特徴部520の例を示す。特徴部510は低温化学蒸着フィルム553で充填され、これは開口が狭い高縦横比特徴部に良好なボイドのない充填を施行するのに重要である。その広い開口のため(例えば数百ナノメートルから数ミクロンの程度)、特徴部520の中へは些細の量の低温化学蒸着フィルムしか堆積されない。高温化学蒸着工程はその後実施されて高温化学蒸着フィルム555、此の場合堆積過重負担で特徴部520が充填される。
低温化学蒸着は高質のタングステン充填を狭くて高縦横比の特徴部に実施するのに重要である。現行のタングステン化学蒸着は400°C前後で実施されて居る。良好な栓充填を得ることは課題であり、特徴部が(図4の断面401で例示するような)縮みこんだ開口を有する場合は尚更である。TiNバリヤを薄くしてタングステン充填用によけいの空間を特徴部の中に許容することは更に別の課題である。或る実施例に於いて、特徴部は厚さ5nm以下、更には1nm以下のバリヤ層を有するものである。従来例の化学蒸着工程でのWFからTi裏地領域へのフッ素の移動は結果としてフッ素の裏地への攻撃及び生産高のロスを含む集積の問題となる。
上記による低温化学蒸着は高質の栓充填を得るために重要である。特別な理論に拘束されることなく、低温化学蒸着で提供される高質栓充填は多くの要因によるものと信じられて居る。第一に、より低い化学蒸着温度はタングステン含有前駆物質の熱分解を減少することによりタングステンの分解を軽減する。これは特徴部開口でのタングステンの分解を減少し、従ってよけいのWF(或はその他のタングステン含有前駆物質)分子が特徴部の下部に到着させられ、それによって高縦横比の狭い特徴部の栓充填に役立つものと信じられる。従来例の化学蒸着工程に於いて、特徴部の頂点部への堆積は前駆物質の特徴部の下部への分散を妨害するものである。その結果は図4の断面431に示されるような特徴部の内部領域でのボイド又はシームである。より良好な栓充填には多くの利点があり、結果として特徴部の中によけいのタングステンが残り、電子運動が向上され、接触及び線抵抗が減少し、CMP後の諸問題を予防する。例えば、CMPスラリがシームやボイドに引き止められる可能性が減少する。
上記の機構に加え、優秀な栓充填であることは、仮令フッ素原子或は六フッ化タングステン分子が移動するにせよ、タングステン核形成及びフッ素のTiN層を通じての移動を促進する低温に於ける不十分なエネルギ及び/或はTiとFの間或はTiとWFの間の反応からTiFxを形成するに不十分なエネルギによるものと信じられる。低温化学蒸着反応はフッ素のTi攻撃を最小化する。
上記に加えて、低温化学蒸着タングステンフィルムは他の工程で堆積されたタングステンフィルムに比して予期されない良好なフッ素ばりや特性を供するものであることが見出された。図6は従来例のPNLタングステン及びPNLタングステン+低温化学蒸着で行った欠陥の試験の結果を示す。PNLタングステンのみ或はPNLタングステン+低温タングステンフィルムは厚さを以下のようにしてTi/TiNサブストレイトに堆積された:
PNLタングステンのみ:34Å,54 Å及び76Å
PNLタングステン+低温化学蒸着タングステン: 22Å PNL+8Å 化学蒸着 (計30Å), 22Å PNL+10Å 化学蒸着 (計32Å), 22Å PNL+15Å 化学蒸着 (計37Å)
PNLタングステンも低温化学蒸着も300°Cで起こった。次に、このタングステンフィルムは395°CでWFに露出される耐久試験に供された。フッ素がタングステンフィルム及びTiNを通じて拡散すると、これは下地のTiと反応して揮発性のTiF化合物を形成し、結果とて局地的剥離、割れ、及びバブリングのみならず典型的な"火山的"欠陥を生じる。このような欠陥は光学的顕微鏡で見ることが出来る。図6に示されるように、PNLタングステン薄膜と共に低温化学蒸着タングステンはPNLタングステンのみの場合より優れたタングステン拡散層として機能した。このことは全体のタングステンフィルムの厚さを同じとして低温化学蒸着フィルムがフッ素バリヤとして向上された特性を有するという意味に於いて予期されて居なかった結果である。薄手のPNL+低温化学蒸着層が同じ温度で堆積された薄手のPNL層と同様な欠陥の数を持つであろうとは予期されることであったろう。
フッ素攻撃試験は100nmの開口/PVDのTiを含む縦横比10:1の特徴部/MOCVDのTiNバリヤ層でパタニングされたウエファを使用して行った。タングステン核形成層が誇張信号を生成するように使用される薄(12Å)膜と共に特徴部の中に堆積された。これらの特徴部は395°Cのタングステン或いは350°Cの化学蒸着タングステンで充填された。特徴部充填の試験と比較が実施された。低温化学蒸着充填の方が栓充填は良好であり、フッ素攻撃は減少して居た。減少したフッ素攻撃に加えて結果の示すことは低温に於いて薄手の核形成層に向上された段差の覆いが供されるということである。いずれの特別な理論にも拘束されず、低温工程の低速化学によると完全に成長されて居ない核形成領域でも成長が可能であると信じられる。
32nmリエントリ性特徴部の充填が300°Cと395°Cとで実施された。充填された特徴部は比較され、フィルムは火山的欠陥について試験された。低温化学蒸着の方がシームやボイドが少なく、或いは無く、良好な充填を供した。高温化学蒸着充填の特徴部にボイドは観察された。図7は395°Cフィルム(701)と300°Cフィルム(702)の顕微鏡画像を示す。395°Cフィルムには多くの火山的欠陥が見られるが、300°Cフィルムには無い。向上された栓充填及びフッ素攻撃の減少を提供するのみならず、低温フィルムは高温フィルムと同程度の抵抗を有する。このことは図8に示されている。
さらに提供されるものは、超低抵抗のタングステンフィルムの向上された堆積方法である。種々の実施例に於いて、これらの方法は薄手のPNL核形成層を堆積し、核形成層に低抵抗処理を実施し、高温化学蒸着層を堆積して特徴部を充填することに関する。或る実施例に於いて、低抵抗処理には低温化学蒸着工程が含まれる。
厚さ20nm以上に低抵抗タングステンを成長させる低抵抗工程では20nm以下の厚さの低抵抗タングステンが成長出来ないかも知れないことが見出されている。装置の臨界的大きさが40nm以下であると、構成の中でのタングステンの厚さは20nm以下である。図9は、第一低抵抗工程(905)を使用した工程のフィルム及び或る実施例に従い薄膜低抵抗工程を使用した工程のフィルム(901)について、フィルム抵抗を厚さの関数として示したものである。比較のため、低抵抗処理なしで堆積されたフィルム(907)の場合も示されている。
905で示されるフィルムの堆積に使用される工法は低温の水素なしの雰囲気に於いてPNL核形成層を堆積し、その後高温低抵抗処理を実施することに関する。処理されないフィルム(データ907)は低抵抗処理なしのPNL核形成層により堆積された。約20−25Åの核形成層が堆積され、残りの厚さは低温化学蒸着で堆積された。120Å(12nm)を超える厚さの場合高温処理の結果は低抵抗のフィルムとなるが、厚さが120Åの場合にはその逆となる。フィルム堆積の工法パラメタは以下のように示される:
B= B2H6 / W = WF6 / S = SiH4
高温工法で処理された薄いフィルムの抵抗増加は予期されて居なかったものである。図に見られる如く、本発明の一実施例による低抵抗処理によっては120Å以下のフィルムにさえ低抵抗が供される。種々の実施例に於いて、薄膜抵抗処理は堆積された核形成層を低温に於いて還元剤の複数のパルスに露出する低温抵抗処理に関するものである。還元剤のこの複数のパルスにはタングステン含有前駆物質の介在的パルスが含まれて居ても居なくてもよい。又、種々の実施例に於いて、この薄膜抵抗処理とは高温化学蒸着による充填の完了前の低温化学蒸着による部分的充填を含むものである。或る量のバルク化学蒸着物質を堆積する一方、この低温化学蒸着工程は低抵抗処理とみなされもよい。或る実施例に於いては、これらの工程に図9のデータシリーズ901で示される如く、還元剤の複数のパルスへの低温露出と低温化学蒸着による部分的充填の両方が含まれる。
此処に記載されるこれらの工程は40nm以下の臨界次元を有する特徴部、また特に32nm以下の臨界次元を有するフィルムに好適であるが、これらはより厚いフィルムにも使用可能である。下記の如く、より厚いフィルムに於いても向上された抵抗が観察される。
図10−12は特徴部を低抵抗タングステンで充填する種々の実施例による方法での工程フロー図である。第一に、図10に於いて、高縦横比の陥凹特徴部を有するサブストレイトが堆積チェンバに準備される(1002)。上記の如く、この特徴部は例えば幅40nm以下の狭い開口を有するものであってもよい。また或る実施例に於いて、この方法はより低い縦横比及び/或はより広い開口を有する特徴部の充填にも使用可能である。タングステンの核形成層はその後特徴部に堆積される(1004)。
核形成層は如何なる既知の方法で堆積されてもよいが、或る実施例に於いて、核形成層を低温で堆積した後、次いで複数パルスの低抵抗処理を実施することにより、向上された抵抗が得られる。かような核形成層を堆積する方法は米国特許7589017及び米国特許公開2008/0254623(共に全体的に参照して本願に編入されるものとする)に記載されてある。
或る例に於いて、核形成層は図13に示されるように堆積される。核形成層のないサブストレイト(例えば図4に於ける401)が準備された後、この準備されたようなサブストレイトはホウ素含有の還元剤に露出されてサブストレイト表面にホウ素含有層を形成する(1302)。このホウ素含有層は往々ホウ素原子の層であるが、その他化学的種とかホウ素含有種自身或いは反応室の残余ガスの不純物などが或る実施例では含まれていてもよい。ボラン(BH)、ジボラン(B),トリボランなど、如何なるホウ素含有種が使用されてもよい。ホウ素含有種のその他の例として、ハロゲンとのハロゲン化ホウ素(例えばBF,BCl)がある。
サブストレイトの温度は低く、約350°C以下、例えば約250°Cと350°Cの間、或いは250°Cと325°Cの間である。或る実施例に於いて、この温度は300°C前後である。或る実施例に於いて、ジボランは希釈された原料(例えば5%ジボラン+95%窒素)から供給される。ジボランは窒素及び/或はアルゴンのようなその他或いは追加的キャリヤガスと使用して反応室に導入されてよい。重要なことは、水素を使用しないと言うことである。
一度十分な厚さまでにホウ素含有層が堆積されると、ホウ素含有種の反応室への流入が停止され、反応室はアルゴン、水素、窒素、或いはヘリウムのようなキャリヤガスで浄化される。或る実施例に於いてはアルゴンのみがキャリヤガスとして使用される。このガス浄化により、次の反応工程での新鮮なガス状反応物質と反応する可能性のある残留反応性ガスをサブストレイトの表面近くの領域から除去する。
図13に於ける次の工程に進み、サブストレイトはタングステン含有前駆物質と接触されタングステン核形成層の一部を形成する(1304)。如何なる適当なタングステン含有前駆物質が使用されてもよい。或る実施例に於いて、タングステン含有前駆物質としてWF,WCl、W(CO)の中の一つが使用される。タングステン含有前駆物質は典型的にアルゴン、窒素、或いはこれらの混合の希釈ガスの中に供される。ホウ素含有前駆物質パルスの場合のように、タングステン含有前駆物質も水素のない雰囲気で配達される。サブストレイトの温度は低く、約350°C以下、例えば約250°Cと350°Cの間、或いは250°Cと325°Cの間である。或る実施例に於いて、この温度は300°C前後である。多くの場合に於いて、サブストレイトの温度はホウ素含有種への露出の期間と同じとする。タングステン含有前駆物質の用量及びサブストレイト露出時間は多くの要因に依存して変化する。一般的に、サブストレイトは吸収されたホウ素種がタングステン含有前駆物質との反応により十分消費されてタングステン核形成層の一部を生成するまで露出される。その後、タングステン含有前駆物質の反応室への流入が停止され、反応室が浄化される。ホウ素含有還元剤/タングステン含有前駆物資の一PNLサイクルによる結果のタングステン核形成層の部分は約5Åである。
低温ホウ素含有還元剤パルス及びタングステン前駆物質パルス工程は繰り返されて核形成層が所望の厚さとされる(1306)。約2−7PNLサイクルが或る実施例に於いては非常に薄い核形成層の堆積に必要であるが、或る実施例に於いては1サイクルで十分である。サブストレイトによることながら、最初の1サイクルまたは2サイクルの間、核形成の遅延により、厚さが増加しないこともある。上記の如く、タングステン核形成層は十分薄手であり、タングステンフィルムを不当に増加させることなく、しかも高質のバルクタングステン堆積を支持するのに十分の厚さであるべきものである。上記の工程により、高縦横比及び/或は幅の狭い特徴部に10Å程の高質バルク堆積を支持することが可能なタングステン核形成層を堆積することが出来る。堆積された核形成層の厚さは典型的に約10Åと50Åの間、或いは例えば10Åと30Åの間である。
温度は堆積されるタングステンの量に影響する工程条件の一つである。その他の条件としては圧力、流量、及び露出時間がある。温度を約350°C或はそれ以下に保つと、1サイクルに堆積される量が少なくなる結果となる。これは更に低い抵抗の結果となる。或る実施例に於いて、温度は約300°C或は200°Cである。
図10に戻り、タングステン核形成層が堆積された後、堆積された核形成層は低温複数パルス処理によって抵抗を低下される(1006)。図14A及び図14Bは種々の実施例の低抵抗処理に使用可能なパルス順序の例を示す。 図14Aは米国特許公開2009/0149022(全体的に参照して本願に編入されるものとする)に記載されるようなパルス順序の例を示す。此処に記載の処理工程は堆積された核形成層を還元剤の複数のパルスに露出する(その他の反応性化合物のパルスを挟まず)ことを意味する。図14Aに於いてジボランが還元剤とされて居るが、その他の還元剤が使用されてもよい。この処理により良好な粘着性と抵抗の不均一性が供されると共に抵抗が低下される。特に、複数の還元剤パルスを使用すると、全体的露出時間が同じでも、単一パルスを使用する場合より著しく向上した抵抗が供されることが示される。しかし、パルスの数が多過ぎると、最終的タングステンフィルムの下地層への粘着が悪化する。最適のパルス数、例えば2−8のパルス数により、低抵抗、低不均一性、及び容認可能な粘着性が得られる。図13に示される核形成層堆積と異なり、この処理工程は背景に水素を使用して実施可能である。従って、核形成から処理工程への移行は或る実施例に於ける水素の導入を伴うことにもなる。更に或る実施例に於いて、核形成層は複数部署の堆積チェンバでの第一部署で堆積され、低抵抗処理が第二部署で実施される。核形成層の堆積から低抵抗処理への移行はサブストレイトを第二部署へ移動することを伴う。
図14Bは核形成層が還元剤とタングステン含有前駆物質との複数の交互パルスに露出されるパルス順序のべつの例を示す。ジボランB及び六フッ化タングステンWF6が夫々還元剤とタングステン含有前駆物質として示されて居るが、或る実施例に於いては他の化合物が使用されてもよい。還元剤とタングステン含有前駆物質の交互パルスはタングステン核形成層の堆積にも使用されるが、処理工程に於いて典型的にタングステンは堆積されない。タングステン含有前駆物質の流量及び/或はパルス時間は低抵抗処理からの表面上及びチェンバ内の過剰ホウ素を集めてホウ素不純物を減少することのみに限定される。これにより、更に結果として或る実施例に於いては微少剥離及び向上されたフィルム粘着性となる。従って、処理の期間に於けるタングステン含有前駆物質露出時間及び/或は流量(還元剤パルスと相対的)は核形成層の堆積の場合より少なくてよい。
図14A及び14Bに示されるパルス順序の或るコンビネイションも或る実施例に於いては実施することが出来る。此処に記載される実施例に於いて、複数パルス処理工程は約350°C以下、例えば約250°Cと350°Cの間、或いは250°Cと325°Cの間の低温で実施される(1006)。或る実施例に於いて、この温度は300°C前後である。図9で示され、又下記に於いて説明されるように、薄手のフィルムの場合、低温で低抵抗処理を実施すると予期に反して処理を高温で実施する場合より好適な抵抗が得られる。特別な理論に拘束されることなく、これはサブストレイトによって見られるホウ素の量によるものと信じられる。このことは以下に於いて図16Aを参照して説明する。種々の実施例に於いて、ジボラン(或はその他のホウ素含有還元剤)露出の総量は複数パルス処理の期間中約1E−5から1E−2モルの間、特に約1E−4から1E−3モルの間であってよい。次に化学蒸着バルク層が堆積されて特徴部が充填される(1008)。これには低温充填、高温充填、或は或る実施例に於いて両者のコンビネイションが伴ってよい。
図11は核形成層が堆積された後低温化学蒸着が使用されて部分的に特徴部が充填される或る実施例に従って低抵抗タングステンで特徴部を充填する方法の工程フロー図である。高温化学蒸着がその後実施されて特徴部の充填が完了する。高縦横比及び/或は狭い開口を有するサブストレイトが図10に記載されるように準備される(1102)。次に核形成層が特徴部の中に堆積される(1104)。上記の如く、或る実施例に於いては核形成層が図13に記載のように低温で水素のない環境でジボランとタングステン前駆物質の交互パルスにより堆積される。次いで複数パルス低抵抗処理が任意的に実施される(1106)。この処理には中間のタングステン前駆物質パルスなしで複数の還元剤パルス( 図14Aに示される如く)或は複数の還元剤/タングステン前駆物質パルス( 図14Bに示される如く)或はこれらのコンビネイションが伴うものでよい。種々の実施例に於いて、この複数パルス処理はサブストレイトの約350°Cから450°Cの間、例えば約395°Cへの加熱、及び温度を安定化させサブストレイトの温度を保持しながら核形成層を複数のパルスへ露出することが伴われる。他の実施例に於いては、複数パルス処理が図10に記載されるように低温で実施される。
次に特徴部は低温化学蒸着バルク層で部分的に充填される(1108)。 WF,WCl、W(CO)を含みこれらに限られない種々のタングステン含有ガスがタングステン含有前駆物質として使用可能である。或る実施例に於いて、タングステン含有前駆物質はWFのようなハロゲン含有化合物である。或る実施例に於いて、還元剤はハロゲンガスであるが、シラン、ジシラン、ヒドラジン、ジボラン、ゲルマンのような他の還元剤が使用されてもよい。多くの実施例に於いて、水素ガスが化学蒸着工程で還元剤として使用される。
種々の実施例に於いて、低温(工程及び/或はサブストレイト温度)とは以下の範囲の中の一つに入るものである:約250°Cから350°Cの間、約250°Cから340°Cの間、 約250°Cから330°Cの間、 約250°Cから325°Cの間、 約250°Cから320°Cの間、 約250°Cから315°Cの間、 約250°Cから310°Cの間、 約250°Cから305°Cの間、 約250°Cから300°Cの間。また、種々の実施例に於いて、工程温度は約260−310°Cの間、 約270−310°Cの間、 約280−310°Cの間、或は 約290−310°Cの間である。或る実施例に於いて、工程及び/或はサブストレイト温度は約300°Cである。
充填は高温化学充填堆積で完了する(1110)。高温とは以下の範囲の中の一つに入るものである:約350°Cから450°Cの間、約360°Cから450°Cの間、約370°Cから450°Cの間、約380°Cから450°Cの間、約390°Cから450°Cの間、或いは約400°Cから450°Cの間。或る実施例に於いて、高温化学蒸着は約395°Cで行われる。温度の上昇にはサブストレイトの温度を上昇させてもよい。種々の実施例に於いて、温度は少なくとも約25°C、30°C、50°C、少なくとも約60°C、少なくとも約70°C、少なくとも約80°C、少なくとも約90°C、少なくとも約100°C、少なくとも約110°C、或いは少なくとも約125°C上昇させられる。工程の一例に於いて、低温化学蒸着工程は約250°Cに於いて、高温は350°Cとされる。或る実施例に於いては、熱ショック及びそれによるウエファの破損を防止するために温度は約150°C以下とし、或いは125°Cとする。
或る実施例に於いて、工程1108から工程1110への移行にはサブストレイトを複数部署チェンバ内での一部署から他の部署へ移動させることが伴う。これらの工程を単一部署で行う代行実施例に於いては、工程1108から工程1110への移行にサブストレイトの温度を上げながらタングステン前駆物質の流れの停止(任意的に水素或いは他の還元ガス及び/或はキャリヤガスを流させる)が伴うことがある。一度サブストレイトの温度が安定化すると、必要に応じ、タングステン前駆物質及び他のガスが高温堆積用に反応室に流入される。他の実施例の場合、工程1210からの移行の期間中堆積を継続させながらサブストレイトの温度を上昇させてもよい。
種々の実施例に於いては、バルク化学蒸着充填の全体の厚さの約0−70%に低温化学蒸着が使用されてもよい。図15は部分的低温化学蒸着充填と高温化学蒸着による完了充填の後の特徴部の断面の一例を模式的に示すものである。断面1501は等角部分充填低温化学蒸着層1553と高温充填1555とを示す。堆積された化学蒸着層の全体的厚さTが示されている(Tは特徴部充填マイナスタングステン核形成層の厚さである)。2T1は低温化学蒸着で堆積された全体の厚さである。或る実施例に於いて、バルク化学蒸着充填の全体の厚さの約30−80%或いは30−60%を堆積するのに低温化学蒸着が使用されてもよい。低温層はT1を約1−10nm或いは約2−8nmとして堆積された厚さで特徴付けされてもよい。
下記の如く、高温化学蒸着でギャップ充填を完了する前に低温化学蒸着で部分的にギャップ充填を行うことにより抵抗が向上する。一般的に低温化学蒸着工程によって或る量の等角的タングステンが特徴部に堆積されるが、これは低抵抗処理工程とみなされてよい。或る実施例に於いて、低温工程の露出時間及び/或は使用量は短く或いは少ないので実質的にタングステンは堆積されない。
図12は高温化学蒸着で充填が完了する前に低温複数パルス処理と低温化学蒸着による部分的充填の両方が実施される工程フロー図を示す。図10及び11を参照した場合と同様に、高縦横比の特徴部を有するサブストレイトが準備され(1202)、特徴部の中に核形成層が堆積される(1204)。或る実施例による核形成層の堆積が図13に示されている。次いで、図10を参照して上記に於いて説明されたように低温複数パルス処理が実施される(1206)。この場合、或る実施例に於いて、核形成層の形成及びその後の複数パルス処理工程にホウ素含有化合物が還元剤としてのみ使用される。即ち、シラン及びその他のホウ素を含有しない還元剤は化学蒸着堆積以前の工程では使用されない。低温化学蒸着による部分的充填が次いで実施される(1208)、その後図11を参照して説明されたような高温化学蒸着を使用した充填を完了する(1210)。
或る実施例に於いて、此処に記載される工程では堆積されたタングステン核形成層がジボラン或いは他のホウ素含有還元剤の複数のパルスに順々に露出される。例えば図10に関連した上記の説明を参照されたい。図16A核形成層への低温複数パルス処理工程に於けるブランケットタングステンフィルムの抵抗をジボラン露出総量(モル単位)の関数とした図である。示される如くにジボランが核形成層に添加された後、50nm或いは10nmのブランケットフィルムが化学蒸着で堆積された。50nmのタングステンフィルム抵抗は添加時間の増加と共に低下する。予期に反し、薄手の10nmのフィルムの場合、添加時間の増加と共に抵抗は増加する。約20nm以下の薄手のフィルムの或る実施例に於いて、複数パルス処理は実施されず、ジボラン露出は約1E−5から1E−3モル以下の露出総量に留められる。
上記の如く、低温化学蒸着による特徴部の部分的充填で抵抗が向上する。図16Bは部分的低温(300°C)化学蒸着及び高温(395°C)化学蒸着のみで充填された50nmブランケットフィルムの抵抗を複数パルス低抵抗タングステン(LRW)ジボランパルスの関数として示す図である。図13で示された工程で核形成層が堆積された後、図14Aで示されたような複数パルス処理が395°Cで行われた。部分的低温化学蒸着フィルムは6nmであり、残りのフィルムの厚さは高温化学蒸着で堆積された。両方のフィルムとも厚さは複数パルス処理に於けるサイクル数の増加と共に減少する。しかし、処理の後に薄手の低温化学蒸着フィルムが堆積されたフィルムは、高温のみの化学蒸着フィルムを有するフィルムより抵抗が低い。示されているように、厚手の(例えば>40nm)フィルムの場合、低温化学蒸着部分的充填により抵抗は向上する。或る実施例の場合、低温化学蒸着により低抵抗がより少ないジボランパルス数によって達成される。
図17は図10−12を参照して上記に於いて説明された工程によるフィルムの抵抗をフィルムの厚さの関数として示す図である。すべてのフィルムに於いて、厚さ約2nmの核形成層が5x(B/WF)(Hなしの雰囲気)の順序で300°Cで堆積された。ブランケットフィルムの堆積に使用された工程を以下に示す:
8nmと15nmの間、工程C(低温複数パルス処理及び部分的低温化学蒸着)は最低の抵抗であった。予期に反して、部分的低温化学蒸着(工程C)の結果は約7.5nm以上のフィルムの同一核形成及び処理工程に関し低温のみの化学蒸着(工程D)及び高温のみの化学蒸着(工程E)より低い抵抗であった。
工程AからEを比較するに、低温低抵抗処理の結果は、厚さ約9nm以下のフィルムの場合、より低い抵抗となる。しかし、図9を参照して上記に説明したように、低温のみの化学蒸着であると、高温低抵抗処理(工程B)は、厚さが約120nm以下のフィルムの殆ど全部の場合、低温低抵抗処理(工程D)の場合よりも高い抵抗となる。
或る実施例に於いては、低温化学蒸着の前に抵抗軽減の為にタングステン前駆物質の浸潤が行われる。図18はそのような工法の工程を示すフロー図である。第一に高縦横比の特徴部を有するサブストレイトが準備される(1802)。此処に記載されるすべての工程に於けると同様、この工程は他の幾何学的形態の特徴部にも適応される。次いでタングステン核形成層が適当な方法で特徴部の中に堆積され(1804)、その後、図14A及び14Bを参照して上記に於いて説明されたように、複数パルス処理が行われる(1806)。この点に於いて、サブストレイトはタングステン前駆物質浸潤工程に於いて、還元剤の存在なしでタングステン前駆物質に露出される(1808)。浸潤時間は約0.5秒から10秒、例えば約1−5秒でよい。浸潤工程の間の温度はその後の低温化学蒸着、例えば300°Cと同じでよい。タングステン前駆物質の浸潤後、特徴部は低温化学蒸着タングステンフィルムで充填される(1810)。代行的実施例に於いて、タングステン前駆物質浸潤は部分的充填低温化学蒸着の前に実施されてもよい。
図19はWF浸潤のある場合とない場合の両方に於ける低温化学蒸着によって堆積されたフィルムに関して薄フィルム抵抗をフィルムの厚さの関数として示す図である。すべてのフィルムについて、図13に示された工程を使用して核形成層が堆積された後、複数パルスジボラン低抵抗処理が行われた。約8−12nmのフィルムにつき、浸潤を行うと浸潤を行わない工程より低い抵抗が達成される。或る実施例に於いては、図3を参照して説明された高質栓充填で低抵抗を達成するのに図18に示された工程が使用される。
(装置)
本発明の方法は種々の販売業者から購入可能な種々の堆積装置で実行され得る。適当な装置の例にはNovellus Concept−1 AltusTM, Concept 2 AltusTM, Concept−2 ALTUS−STM, Concept 3 Altus 堆積システム、及びAltus MaxTM 或はその他の購入可能な化学蒸着ツールがある。或る場合に於いて、工程は複数の堆積部署で順に行うことが出来る。例えば米国特許6143082(あらゆる目的に参照して本願に編入されるものとする)を参照されたい。或る実施例に於いて、核形成層は単一の堆積チェンバ内に位置する2、5或はそれ以上の数の堆積部署の中の一つである第一部署で例えばパルスによる核形成工程によって堆積される。従って、還元ガス及びタングステン含有ガスは第一部署に於いてサブストレイトの表面に局地的雰囲気を生成する個別のガス供給システムを使用して交互にサブストレイトの表面に導入される。
第二部署は核形成層堆積の完了或は複数パルス低抵抗処理の実施に使用されてよい。或る実施例に於いて、単一パルス低抵抗処理が行われてもよい。
一つ以上の部署が次に使用されて上記のような化学蒸着が実施される。二つ以上の部署が化学蒸着の並列工程に使用されてもよい。代行的に、索引をウエファに付けて化学蒸着工程を二個以上の部署で順番に実施してもよい。例えば低温と高温両方の化学蒸着を要する工程に於いて、ウエファ或はその他のサブストレイトに各工程のために一つの化学蒸着部署から別の部署へと索引を付ける。
図20は本発明の実施例によるタングステン薄膜堆積工程の実施に好適な工程システムのブロック図である。システム2000は運搬モジュール2003を有する。運搬モジュール2003はサブストレイトが種々の反応器モジュールの間を移動する間に汚染される危険を最小化するため、清潔な加圧された環境を提供する。PNL堆積、所望されるならば複数パルス処理、及び本発明の実施例による化学蒸着の実施が可能である複数部署の反応器2009が運搬モジュール2003の上に設置される。チェンバ2009はこれらの工程を順々に実施する複数の部署2011、2013、2015及び2017が含まれてもよい。例えばチェンバ2009は部署2011がPNL堆積を実施し、部署2013が複数パルス処理を実施し、部署2015と2017とが化学蒸着を実施するように形成されてもよい。各堆積部署は加熱されたウエファ台、及びシャワーヘッド、分散板、或は他のガス流入口を有する。堆積部署2100の一例が図21に示されて居り、ここにはウエファ支持2102とシャワーヘッド2103が含まれて居る。台部2101の中にはヒータがあってもよい。
プラズマ或いは化学(プラズマを除く)予備洗浄を実施可能な単一或いは複数部署の一個以上のモジュール2007が更に運搬モジュールの上に設置されていてもよい。モジュールは裏地窒化タングステン後処理のような種々その他の処理のために使用されてもよい。システム2000は更に工程の前後にウエファを格納する一個以上(此処の場合には二個)のウエファ源モジュール2001を含んでもよい。大気運搬チェンバ2019内の大気ロボット(図示せず)が先ずウエファを源モジュール2001からロードロック2021へと取り出す。運搬モジュール2003内のウエファ運搬装置(通常ロボットアームユニット)がロードロック2021からウエファを運搬モジュール2003に設置されたモジュールへ及びモジュールの間を運搬する。
或る実施例に於いては、堆積期間の工程条件を制御するシステム制御装置2029が使用される。典型的に制御装置は一個以上のメモリ装置と一個以上のプロセッサを有する。プロセサはCPU或いはコンピュータ、アナログ及び/或はデジタル入力/出力接続子、ステッパモータ制御盤などから成る。
制御装置とは堆積装置の行動全体を制御するものでよい。システム制御装置はタイミング、ガスの混合、チェンバの圧力、チェンバの温度、ウエファの温度、RF電力、ウエファチャック及び台の位置、及び特別な工程の他のパラメタに関する命令などを含むシステム制御ソフトウエアを実施する。或る実施例に於いては、制御装置に関連するメモリ装置に記録されたその他のコンピュタプログラムが実施されてもよい。
典型的には制御装置に関連したユーザインタフェイスが存在する。このユーザインタフェイスには表示スクリーン、装置及び/或は工程条件図示用ソフトウエア、及び指摘用装置、キーボード、タッチスクリーン、マイクロホンなどのようなユーザ入力装置が含まれてよい。
堆積及び工程配列内のその他の工程の制御用のコンピュータプログラムコードはC,C++,Pascal,Fortranその他のようなコンピュータが読める如何なる言語で記載されたものであってもよい。コンパイルされたコード或いはスクリプトは、プログラムで特定されたタスクが実行されるようにプロセサによって実施される。
制御装置のパラメタは工程ガス構成及び流量、温度、圧力、RF電力レベル及び低周波RF周波数などのようなプラズマ条件、冷却ガス圧、及びチェンバ壁温度などの工程条件に関する。これらのパラメタはレシピの形態でユーザインタフェイスを通じてユーザに供されてよい。
工程を監視する信号がシステム制御装置のアナログ及び/或はデジタル入力接続子に与えられてよい。工程制御用のこれらの信号は堆積装置のアナログ及びデジタル出力接続子に出力される。
システムソフトウエアは多くの異なる様式に設計或いは形成されたものでよい。例えば、種々のチェンバ部品サブルーテン或いは制御オブジェクトが本発明の堆積工程の実施に必要なチェンバ部品の工程を制御するために書かれてよい。この目的のためのプログラム又はプログラムの部分にはサブストレイト位置付けコード、工程ガス制御コード、圧力制御コード、ヒータ制御コード、及びプラズマ制御コードが含まれる。
サブストレイト位置付けプログラムはサブストレイトを台或いはチャックに載せたりサブストレイトとガス注入口及び/或は対象物のようなチェンバ内の他の部品との距離を制御したりするのに使用されるチェンバ部品を制御するプログラムコードを含んでよい。工程ガス制御コードはガス構成及び流量の制御の為、及び任意的にチェンバ内の圧力を安定化するように堆積前にガスをチェンバに流入させる為のコードを含んでよい。圧力制御コードは例えばチェンバの排出システムの絞り弁を調整してチェンバ内の圧力を制御するコードを含んでよい。ヒータ制御コードはサブストレイトの加熱用に使用される加熱ユニットへの電流を制御するコードを含んでよい。代行的に、ヒータ制御プログラムがヘリウムのような熱輸送ガスのウエファチャックへの配達を制御してもよい。
堆積期間に監視されてよいチェンバセンサの例には質量流制御装置、マノメタのような圧力センサ及び台或いはチャックに位置される熱電対がある。適宜にプログラムされたフィードバック及び制御アルゴリズムがこれらのセンサからのデータと共に所望の工程条件の維持に使用されてよい。本発明の実施例の実行には単一或いは複数チェンバの半導体工程ツールが使用される。
(応用)
本発明は多くの応用のための薄手低抵抗タングステン層の堆積に使用可能である。一応用例は電子装置に一般的に見られるビアホール、接点装置及びその他のタングステン構成物である。他の応用例としてメモリチップやマイクロプロセサのような集積回路での相互接続子がある。相互接続子は単一金属化層の上の電流線であり、一般的に長くて薄く平坦な構成である。相互接続子の基本的応用はメモリチップのビット線である。一般的に、本発明は薄くて低抵抗のタングステン層が必要とされる環境に応用面を見出すものである。
(その他の実施例)
本発明は若干の実施例に関して記述されたものであるが、本発明の範囲内には多くの変更、置換、代行、均等のものが入る。例えば、上記の記述は主として特徴部の充填に関するものであったが、上記の方法は低抵抗タングステンフィルムのブランケット面への堆積にも使用できるものである。これらはタングステン層のブランケット堆積(上記の工法による)の後、電流を通すタングステン線の位置を定義するパタニング工程とタングステン線の外側の領域からタングステンを除去する工程を行って形成することが出来る。
本発明の方法及び装置を実施する多くの代行的様相の存在することは銘記されるべきことである。従って、此処に添付される請求項は本発明の真の意図と範囲にあるかような変更、置換、代行、均等によるものを含むものとする。

Claims (19)

  1. サブストレイトの上の特徴部を充填する方法であって、
    場の領域と、前記場の領域から陥凹し、側壁、底部、開口部及び隅から成る第一特徴部とを有するサブストレイトとを準備する工程;
    陥凹特徴部の側壁と底部にタングステン核形成層を堆積する工程;及び
    化学蒸着(CVD)工程によって特徴部を低温CVDタングステンバルク層で充填する工程とから成り、
    CVD工程の間前記サブストレイトの温度が250°Cと350°Cの間に保たれるものである、方法。
  2. 第一陥凹特徴部を充填の後前記サブストレイトの温度を少なくとも50°C上昇させ、前記サブストレイトの温度を上昇させた後高温バルクタングステンCVD層を充填された前記第一陥凹特徴部の上に堆積する工法から更に成るものである、請求項1に記載の方法。
  3. 第一陥凹特徴部が少なくとも10:1の縦横比を有するものである、請求項1に記載の方法。
  4. 第一陥凹特徴部が少なくとも20:1の縦横比を有するものである、請求項1に記載の方法。
  5. 第一陥凹特徴部の開口部の幅が100mm未満である、請求項1に記載の方法。
  6. 第一陥凹特徴部の開口部の幅が50mm未満である、請求項1に記載の方法。
  7. 第一陥凹特徴部の開口部の幅が40mm未満である、請求項1に記載の方法。
  8. 第一陥凹特徴部の充填が特徴部の隅を低温CVDバルク層で覆う工程から成るものである、請求項1に記載の方法。
  9. 前記サブストレイトが、前記場の領域から陥凹し、第一特徴部より縦横比の低い第二陥凹特徴部から更に成るものである、請求項1に記載の方法。
  10. 第一陥凹特徴部が充填された後前記サブストレイトの温度を少なくとも50°C上昇させ、前記サブストレイトの温度を上昇させた後高温バルクタングステンCVD層を堆積して前記第二陥凹特徴部を充填する工程から更に成るものである、請求項9に記載の方法。
  11. 特徴部の低温CVDタングステンバルク層での充填が、ハロゲン化されたタングステン含有前駆物質及び還元剤をサブストレイトを収容する反応部署へ導入する工程から成るものである、請求項1に記載の方法。
  12. ハロゲン化された前記タングステン含有前駆物質が六フッ化タングステンである、請求項11に記載の方法。
  13. 前記特徴部が裏地層から成るものである、請求項11に記載の方法。
  14. 前記裏地層がTi/TiN層である、請求項13に記載の方法。
  15. 前記裏地層の厚さが5nm未満である、請求項13に記載の方法。
  16. タングステン核形成層を陥凹特徴部の側壁及び底部に堆積した後、特徴部を低温CVDタングステンバルク層で充填する前に、前記サブストレイトをタングステン前駆物質に浸潤させる工程から更に成るものである、請求項1に記載の方法。
  17. 前記CVD工程の間の前記サブストレイトの温度が250°Cと325°Cの間に保持されるものである、請求項1に記載の方法。
  18. サブストレイトにタングステンフィルムを堆積する器具であって、
    (イ)サブストレイト堆積多部署チェンバであって、
    サブストレイト支持材及び前記サブストレイトをガスのパルスに露出するように形成された一個以上のガス注入口を有するタングステン核形成層堆積部署、
    サブストレイト支持材及び前記サブストレイトをガスに露出するように形成された一個以上のガス注入口を有するバルク層堆積部署、から成る前記サブストレイト堆積多部署チェンバ、及び
    (ロ)多部署堆積チェンバ内の操作を制御する制御部であって、
    前記タングステン核形成層堆積部署内で複数の還元剤パルス/パージガスパルス/タングステン含有前駆物質パルスサイクルを脈動させ、サブストレイト表面の上のタングステン核形成層の上に堆積させ、
    前記サブストレイトを前記タングステン核形成層堆積部署から前記バルク層堆積部署へ運搬し、
    前記サブストレイトの温度を250°Cと350°Cの間に保持しながら還元剤とタングステン含有前駆物質とを同時に前記バルク層堆積部署に流入させるためのコンピュータで読める命令から成る制御部、
    とから成るものである器具。
  19. 更に低抵抗処理部署を有し、該低抵抗処理部署はサブストレイト支持材とサブストレイトをガスのパルスに露出するように形成されたガス注入口とを有し、制御部は更に複数の還元剤パルスを前記低抵抗処理部署へ脈動するコンピュータで読める命令から成り、サブストレイトを前記タングステン核形成層堆積部署から前記バルク層堆積部署へ運搬する命令はサブストレイトを前記タングステン核形成層堆積部署から低抵抗処理部署へ運搬する命令と、サブストレイトを前記低抵抗処理部署から前記バルク層堆積部署へ運搬する命令とから成るものである、請求項18に記載の器具。
JP2010093522A 2009-04-16 2010-04-14 小臨界次元のタングステン接点装置及び相互接続子の製法 Active JP5700327B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US16995409P 2009-04-16 2009-04-16
US61/169,954 2009-04-16
US12/755,248 US20100267230A1 (en) 2009-04-16 2010-04-06 Method for forming tungsten contacts and interconnects with small critical dimensions
US12/755,248 2010-04-06

Publications (3)

Publication Number Publication Date
JP2010251759A true JP2010251759A (ja) 2010-11-04
JP2010251759A5 JP2010251759A5 (ja) 2013-05-23
JP5700327B2 JP5700327B2 (ja) 2015-04-15

Family

ID=42981318

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2010093522A Active JP5700327B2 (ja) 2009-04-16 2010-04-14 小臨界次元のタングステン接点装置及び相互接続子の製法
JP2010093544A Active JP5791167B2 (ja) 2009-04-16 2010-04-14 サブストレイトの上に堆積する方法、サブストレイトの製造方法及び器具

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2010093544A Active JP5791167B2 (ja) 2009-04-16 2010-04-14 サブストレイトの上に堆積する方法、サブストレイトの製造方法及び器具

Country Status (4)

Country Link
US (4) US8623733B2 (ja)
JP (2) JP5700327B2 (ja)
KR (3) KR101495372B1 (ja)
TW (3) TWI536458B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018184636A (ja) * 2017-04-26 2018-11-22 東京エレクトロン株式会社 タングステン膜を形成する方法

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7955972B2 (en) * 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US7772114B2 (en) * 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
US8058170B2 (en) * 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US8207062B2 (en) * 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
US8709948B2 (en) * 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US8883637B2 (en) 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
US8546250B2 (en) 2011-08-18 2013-10-01 Wafertech Llc Method of fabricating vertical integrated semiconductor device with multiple continuous single crystal silicon layers vertically separated from one another
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN113862634A (zh) * 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US9969622B2 (en) * 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9169556B2 (en) * 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
WO2015026491A1 (en) * 2013-08-19 2015-02-26 Applied Materials, Inc. Apparatus for impurity layered epitaxy
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
TWI672737B (zh) * 2013-12-27 2019-09-21 美商蘭姆研究公司 允許低電阻率鎢特徵物填充之鎢成核程序
US9899234B2 (en) 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
WO2016046909A1 (ja) * 2014-09-24 2016-03-31 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、半導体装置およびプログラム
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10170320B2 (en) * 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US9449921B1 (en) 2015-12-15 2016-09-20 International Business Machines Corporation Voidless contact metal structures
CN107026113B (zh) 2016-02-02 2020-03-31 中芯国际集成电路制造(上海)有限公司 半导体装置的制造方法和系统
US9875959B2 (en) * 2016-06-09 2018-01-23 International Business Machines Corporation Forming a stacked capacitor
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
KR20180026995A (ko) 2016-09-05 2018-03-14 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
KR102572271B1 (ko) 2017-04-10 2023-08-28 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
CN108987347B (zh) 2017-05-31 2020-10-09 联华电子股份有限公司 半导体结构的制作方法
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
KR102401177B1 (ko) 2017-08-31 2022-05-24 삼성전자주식회사 반도체 장치
CN112041969A (zh) * 2018-04-24 2020-12-04 应用材料公司 无阻挡层的钨沉积
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
KR20200141522A (ko) * 2018-05-04 2020-12-18 어플라이드 머티어리얼스, 인코포레이티드 금속 필름들의 증착
KR20210007031A (ko) * 2018-06-07 2021-01-19 램 리써치 코포레이션 막 계면들을 가로지른 확산의 감소
KR20210028273A (ko) * 2018-07-31 2021-03-11 램 리써치 코포레이션 다층 피처 충진
CN113508465A (zh) 2018-11-30 2021-10-15 朗姆研究公司 存储器应用的线挠曲控制
SG11202106002VA (en) 2018-12-05 2021-07-29 Lam Res Corp Void free low stress fill
CN113424300A (zh) * 2018-12-14 2021-09-21 朗姆研究公司 在3d nand结构上的原子层沉积
CN113366144B (zh) 2019-01-28 2023-07-07 朗姆研究公司 金属膜的沉积
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
KR20210158419A (ko) * 2019-05-22 2021-12-30 램 리써치 코포레이션 핵생성-프리 텅스텐 증착
JP7295749B2 (ja) * 2019-09-13 2023-06-21 キオクシア株式会社 半導体装置の製造方法
US11205589B2 (en) * 2019-10-06 2021-12-21 Applied Materials, Inc. Methods and apparatuses for forming interconnection structures
US20230023235A1 (en) * 2021-07-26 2023-01-26 Applied Materials, Inc. Enhanced stress tuning and interfacial adhesion for tungsten (w) gap fill
TW202340505A (zh) * 2021-12-07 2023-10-16 美商蘭姆研究公司 利用成核抑制的特徵部填充

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10144688A (ja) * 1996-11-02 1998-05-29 Hyundai Electron Ind Co Ltd 大きさの異なるコンタクトホールを有する半導体装置のコンタクトプラグの形成方法
JPH10163132A (ja) * 1996-11-28 1998-06-19 Hyundai Electron Ind Co Ltd 半導体装置の金属配線およびその形成方法
JP2000208516A (ja) * 1999-01-11 2000-07-28 Nec Corp 多層配線構造をもつ半導体装置およびその製造方法。
JP2004235456A (ja) * 2003-01-30 2004-08-19 Seiko Epson Corp 成膜装置、成膜方法および半導体装置の製造方法
JP2007009298A (ja) * 2005-07-01 2007-01-18 Tokyo Electron Ltd タングステン膜の形成方法、成膜装置、記憶媒体及び半導体装置
JP2007027680A (ja) * 2005-06-17 2007-02-01 Fujitsu Ltd 半導体装置の製造方法および半導体装置
JP2008283220A (ja) * 2000-11-17 2008-11-20 Tokyo Electron Ltd タングステン膜の形成方法
JP2009024252A (ja) * 2007-05-15 2009-02-05 Applied Materials Inc タングステン材料の原子層堆積法

Family Cites Families (225)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
DE1763823B1 (de) * 1968-08-16 1971-11-11 Ellenberger & Poensgen Elektromagnetischer anlasschalter mit einem drehbaren magnet anker fuer einphaseninduktionsmotoren
JPS5629648A (en) 1979-08-16 1981-03-25 Toshiba Tungaloy Co Ltd High hardness sintered body
JPS62216224A (ja) * 1986-03-17 1987-09-22 Fujitsu Ltd タングステンの選択成長方法
JPS62260340A (ja) 1986-05-06 1987-11-12 Toshiba Corp 半導体装置の製造方法
US4746375A (en) * 1987-05-08 1988-05-24 General Electric Company Activation of refractory metal surfaces for electroless plating
US4962063A (en) 1988-11-10 1990-10-09 Applied Materials, Inc. Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing
US5250329A (en) * 1989-04-06 1993-10-05 Microelectronics And Computer Technology Corporation Method of depositing conductive lines on a dielectric
GB8907898D0 (en) 1989-04-07 1989-05-24 Inmos Ltd Semiconductor devices and fabrication thereof
US5028565A (en) * 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
EP1069610A2 (en) 1990-01-08 2001-01-17 Lsi Logic Corporation Refractory metal deposition process for low contact resistivity to silicon and corresponding apparatus
KR100209856B1 (ko) 1990-08-31 1999-07-15 가나이 쓰도무 반도체장치의 제조방법
US5250467A (en) 1991-03-29 1993-10-05 Applied Materials, Inc. Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
US5567583A (en) 1991-12-16 1996-10-22 Biotronics Corporation Methods for reducing non-specific priming in DNA detection
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5326723A (en) * 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
KR950012738B1 (ko) * 1992-12-10 1995-10-20 현대전자산업주식회사 반도체소자의 텅스텐 콘택 플러그 제조방법
KR970009867B1 (ko) * 1993-12-17 1997-06-18 현대전자산업 주식회사 반도체 소자의 텅스텐 실리사이드 형성방법
EP0704551B1 (en) * 1994-09-27 2000-09-06 Applied Materials, Inc. Method of processing a substrate in a vacuum processing chamber
JPH08115984A (ja) 1994-10-17 1996-05-07 Hitachi Ltd 半導体装置及びその製造方法
US6001729A (en) 1995-01-10 1999-12-14 Kawasaki Steel Corporation Method of forming wiring structure for semiconductor device
JP2737764B2 (ja) * 1995-03-03 1998-04-08 日本電気株式会社 半導体装置及びその製造方法
JPH0927596A (ja) 1995-07-11 1997-01-28 Sanyo Electric Co Ltd 半導体装置の製造方法
US5863819A (en) 1995-10-25 1999-01-26 Micron Technology, Inc. Method of fabricating a DRAM access transistor with dual gate oxide technique
US6017818A (en) 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
US5833817A (en) 1996-04-22 1998-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving conformity and contact bottom coverage of sputtered titanium nitride barrier layers
US5633200A (en) 1996-05-24 1997-05-27 Micron Technology, Inc. Process for manufacturing a large grain tungsten nitride film and process for manufacturing a lightly nitrided titanium salicide diffusion barrier with a large grain tungsten nitride cover layer
US5963833A (en) 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5916634A (en) 1996-10-01 1999-06-29 Sandia Corporation Chemical vapor deposition of W-Si-N and W-B-N
US6310300B1 (en) 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
US6297152B1 (en) 1996-12-12 2001-10-02 Applied Materials, Inc. CVD process for DCS-based tungsten silicide
JP3090074B2 (ja) 1997-01-20 2000-09-18 日本電気株式会社 半導体装置及びその製造方法
US5804249A (en) * 1997-02-07 1998-09-08 Lsi Logic Corporation Multistep tungsten CVD process with amorphization step
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6037248A (en) 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US5956609A (en) * 1997-08-11 1999-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing stress and improving step-coverage of tungsten interconnects and plugs
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US5795824A (en) * 1997-08-28 1998-08-18 Novellus Systems, Inc. Method for nucleation of CVD tungsten films
US5926720A (en) 1997-09-08 1999-07-20 Lsi Logic Corporation Consistent alignment mark profiles on semiconductor wafers using PVD shadowing
US6861356B2 (en) * 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6099904A (en) * 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
US6284316B1 (en) 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
JPH11260759A (ja) * 1998-03-12 1999-09-24 Fujitsu Ltd 半導体装置の製造方法
US6452276B1 (en) 1998-04-30 2002-09-17 International Business Machines Corporation Ultra thin, single phase, diffusion barrier for metal conductors
US6066366A (en) * 1998-07-22 2000-05-23 Applied Materials, Inc. Method for depositing uniform tungsten layers by CVD
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100273767B1 (ko) 1998-10-28 2001-01-15 윤종용 반도체소자의 텅스텐막 제조방법 및 그에 따라 제조되는 반도체소자
US6037263A (en) * 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
US6331483B1 (en) 1998-12-18 2001-12-18 Tokyo Electron Limited Method of film-forming of tungsten
KR100296126B1 (ko) 1998-12-22 2001-08-07 박종섭 고집적 메모리 소자의 게이트전극 형성방법
US20010014533A1 (en) * 1999-01-08 2001-08-16 Shih-Wei Sun Method of fabricating salicide
JP4570704B2 (ja) 1999-02-17 2010-10-27 株式会社アルバック バリア膜製造方法
US6306211B1 (en) 1999-03-23 2001-10-23 Matsushita Electric Industrial Co., Ltd. Method for growing semiconductor film and method for fabricating semiconductor device
US6245654B1 (en) * 1999-03-31 2001-06-12 Taiwan Semiconductor Manufacturing Company, Ltd Method for preventing tungsten contact/via plug loss after a backside pressure fault
US6294468B1 (en) * 1999-05-24 2001-09-25 Agere Systems Guardian Corp. Method of chemical vapor depositing tungsten films
US6720261B1 (en) * 1999-06-02 2004-04-13 Agere Systems Inc. Method and system for eliminating extrusions in semiconductor vias
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6355558B1 (en) * 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
US6265312B1 (en) * 1999-08-02 2001-07-24 Stmicroelectronics, Inc. Method for depositing an integrated circuit tungsten film stack that includes a post-nucleation pump down step
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
KR100760408B1 (ko) 1999-08-30 2007-09-19 가부시키가이샤 에바라 세이사꾸쇼 도금액중의 레벨러농도측정방법
US6309966B1 (en) * 1999-09-03 2001-10-30 Motorola, Inc. Apparatus and method of a low pressure, two-step nucleation tungsten deposition
US6303480B1 (en) * 1999-09-13 2001-10-16 Applied Materials, Inc. Silicon layer to improve plug filling by CVD
US6610151B1 (en) * 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US6924226B2 (en) * 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
EP1221178A1 (en) 1999-10-15 2002-07-10 ASM America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
KR100330163B1 (ko) 2000-01-06 2002-03-28 윤종용 반도체 장치의 텅스텐 콘택 플러그 형성 방법
US6277744B1 (en) 2000-01-21 2001-08-21 Advanced Micro Devices, Inc. Two-level silane nucleation for blanket tungsten deposition
US6777331B2 (en) * 2000-03-07 2004-08-17 Simplus Systems Corporation Multilayered copper structure for improving adhesion property
US6429126B1 (en) * 2000-03-29 2002-08-06 Applied Materials, Inc. Reduced fluorine contamination for tungsten CVD
JP5184731B2 (ja) 2000-05-18 2013-04-17 コーニング インコーポレイテッド 固体酸化物燃料電池用可撓性電極/電解質構造体、燃料電池装置、およびその作成方法
JP3651360B2 (ja) 2000-05-19 2005-05-25 株式会社村田製作所 電極膜の形成方法
US7253076B1 (en) * 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
US6875212B2 (en) * 2000-06-23 2005-04-05 Vertelink Corporation Curable media for implantable medical device
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7732327B2 (en) * 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6491978B1 (en) 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
US6218301B1 (en) 2000-07-31 2001-04-17 Applied Materials, Inc. Deposition of tungsten films from W(CO)6
DE60113214T2 (de) 2000-11-02 2006-06-08 Shipley Co., L.L.C., Marlborough Plattierungsbadanalyse
US6740591B1 (en) 2000-11-16 2004-05-25 Intel Corporation Slurry and method for chemical mechanical polishing of copper
KR100375230B1 (ko) * 2000-12-20 2003-03-08 삼성전자주식회사 매끄러운 텅스텐 표면을 갖는 반도체 장치의 배선 제조방법
US6908848B2 (en) * 2000-12-20 2005-06-21 Samsung Electronics, Co., Ltd. Method for forming an electrical interconnection providing improved surface morphology of tungsten
KR100399417B1 (ko) 2001-01-08 2003-09-26 삼성전자주식회사 반도체 집적 회로의 제조 방법
US20020117399A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
KR20020072996A (ko) 2001-03-14 2002-09-19 주성엔지니어링(주) 금속 플러그 형성방법
US6740221B2 (en) 2001-03-15 2004-05-25 Applied Materials Inc. Method of forming copper interconnects
US20020190379A1 (en) 2001-03-28 2002-12-19 Applied Materials, Inc. W-CVD with fluorine-free tungsten nucleation
US20020168840A1 (en) 2001-05-11 2002-11-14 Applied Materials, Inc. Deposition of tungsten silicide films
US7262125B2 (en) * 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US6635965B1 (en) * 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7589017B2 (en) * 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7141494B2 (en) * 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7955972B2 (en) * 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US6686278B2 (en) 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
TW581822B (en) 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
JP2005504885A (ja) * 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
JP4032872B2 (ja) * 2001-08-14 2008-01-16 東京エレクトロン株式会社 タングステン膜の形成方法
JP4595989B2 (ja) 2001-08-24 2010-12-08 東京エレクトロン株式会社 成膜方法
US6607976B2 (en) * 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
JP2003142484A (ja) 2001-10-31 2003-05-16 Mitsubishi Electric Corp 半導体装置の製造方法
US6566262B1 (en) * 2001-11-01 2003-05-20 Lsi Logic Corporation Method for creating self-aligned alloy capping layers for copper interconnect structures
TWI253478B (en) 2001-11-14 2006-04-21 Mitsubishi Heavy Ind Ltd Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US20030091870A1 (en) 2001-11-15 2003-05-15 Siddhartha Bhowmik Method of forming a liner for tungsten plugs
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6566250B1 (en) * 2002-03-18 2003-05-20 Taiwant Semiconductor Manufacturing Co., Ltd Method for forming a self aligned capping layer
US6797620B2 (en) 2002-04-16 2004-09-28 Applied Materials, Inc. Method and apparatus for improved electroplating fill of an aperture
KR100446300B1 (ko) 2002-05-30 2004-08-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US7144488B2 (en) 2002-06-05 2006-12-05 Shipley Company, L.L.C. Electrode, electrochemical cell, and method for analysis of electroplating baths
US6905543B1 (en) * 2002-06-19 2005-06-14 Novellus Systems, Inc Methods of forming tungsten nucleation layer
TWI287559B (en) 2002-08-22 2007-10-01 Konica Corp Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film
US6706625B1 (en) 2002-12-06 2004-03-16 Chartered Semiconductor Manufacturing Ltd. Copper recess formation using chemical process for fabricating barrier cap for lines and vias
US6962873B1 (en) 2002-12-10 2005-11-08 Novellus Systems, Inc. Nitridation of electrolessly deposited cobalt
EP1608791A2 (en) * 2002-12-23 2005-12-28 Applied Thin Films, Inc. Aluminum phosphate coatings
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
JP3956049B2 (ja) * 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
JP2005029821A (ja) 2003-07-09 2005-02-03 Tokyo Electron Ltd 成膜方法
US7754604B2 (en) 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
JP4606006B2 (ja) * 2003-09-11 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6924223B2 (en) 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
US7078341B2 (en) 2003-09-30 2006-07-18 Tokyo Electron Limited Method of depositing metal layers from metal-carbonyl precursors
KR100557626B1 (ko) * 2003-12-23 2006-03-10 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
US20050139838A1 (en) 2003-12-26 2005-06-30 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacturing semiconductor device
KR101108304B1 (ko) 2004-02-26 2012-01-25 노벨러스 시스템즈, 인코포레이티드 질화 텅스텐의 증착
WO2005101473A1 (ja) * 2004-04-12 2005-10-27 Ulvac, Inc. バリア膜の形成方法、及び電極膜の形成方法
JP5074183B2 (ja) 2004-04-21 2012-11-14 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 高圧ガス放電ランプを製造する方法、タングステン電極、高圧ガス放電ランプ、および照明ユニット
US7605469B2 (en) 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
US8495305B2 (en) * 2004-06-30 2013-07-23 Citrix Systems, Inc. Method and device for performing caching of dynamically generated objects in a data communication network
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060145190A1 (en) 2004-12-31 2006-07-06 Salzman David B Surface passivation for III-V compound semiconductors
KR100642750B1 (ko) 2005-01-31 2006-11-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7344983B2 (en) 2005-03-18 2008-03-18 International Business Machines Corporation Clustered surface preparation for silicide and metal contacts
US7220671B2 (en) * 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
JP4864368B2 (ja) 2005-07-21 2012-02-01 シャープ株式会社 気相堆積方法
US7517798B2 (en) 2005-09-01 2009-04-14 Micron Technology, Inc. Methods for forming through-wafer interconnects and structures resulting therefrom
US7235485B2 (en) 2005-10-14 2007-06-26 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7524765B2 (en) 2005-11-02 2009-04-28 Intel Corporation Direct tailoring of the composition and density of ALD films
US7276796B1 (en) 2006-03-15 2007-10-02 International Business Machines Corporation Formation of oxidation-resistant seed layer for interconnect applications
JP2007250907A (ja) 2006-03-16 2007-09-27 Renesas Technology Corp 半導体装置およびその製造方法
US8258057B2 (en) 2006-03-30 2012-09-04 Intel Corporation Copper-filled trench contact for transistor performance improvement
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US7557047B2 (en) 2006-06-09 2009-07-07 Micron Technology, Inc. Method of forming a layer of material using an atomic layer deposition process
KR100884339B1 (ko) * 2006-06-29 2009-02-18 주식회사 하이닉스반도체 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법
US7355254B2 (en) 2006-06-30 2008-04-08 Intel Corporation Pinning layer for low resistivity N-type source drain ohmic contacts
KR100705936B1 (ko) 2006-06-30 2007-04-13 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성방법
GB2440115A (en) * 2006-07-14 2008-01-23 Alpa Shantilal Pabari Nit and lice removal comb
US8153831B2 (en) 2006-09-28 2012-04-10 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
KR100894769B1 (ko) 2006-09-29 2009-04-24 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성방법
KR100881391B1 (ko) 2006-09-29 2009-02-05 주식회사 하이닉스반도체 반도체 소자의 게이트 형성방법
KR20080036679A (ko) * 2006-10-24 2008-04-29 삼성전자주식회사 불 휘발성 메모리 소자의 형성 방법
US7675119B2 (en) 2006-12-25 2010-03-09 Elpida Memory, Inc. Semiconductor device and manufacturing method thereof
US20080254619A1 (en) 2007-04-14 2008-10-16 Tsang-Jung Lin Method of fabricating a semiconductor device
JP2008288289A (ja) 2007-05-16 2008-11-27 Oki Electric Ind Co Ltd 電界効果トランジスタとその製造方法
US7655567B1 (en) * 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US7879222B2 (en) 2007-08-27 2011-02-01 Eci Technology, Inc. Detection of additive breakdown products in acid copper plating baths
CN102969240B (zh) 2007-11-21 2016-11-09 朗姆研究公司 控制对含钨层的蚀刻微负载的方法
US8080324B2 (en) 2007-12-03 2011-12-20 Kobe Steel, Ltd. Hard coating excellent in sliding property and method for forming same
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) * 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
KR101163825B1 (ko) 2008-03-28 2012-07-09 도쿄엘렉트론가부시키가이샤 정전척 및 그 제조 방법
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
KR101015526B1 (ko) * 2008-09-02 2011-02-16 주식회사 동부하이텍 마스크와 그를 이용한 반도체 소자 제조 방법
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US20100072623A1 (en) 2008-09-19 2010-03-25 Advanced Micro Devices, Inc. Semiconductor device with improved contact plugs, and related fabrication methods
JP2010093116A (ja) 2008-10-09 2010-04-22 Panasonic Corp 半導体装置及び半導体装置の製造方法
US7825024B2 (en) 2008-11-25 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming through-silicon vias
US7964502B2 (en) 2008-11-25 2011-06-21 Freescale Semiconductor, Inc. Multilayered through via
US8110877B2 (en) 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
CN102265383B (zh) 2008-12-31 2014-06-11 应用材料公司 用于沉积具有降低电阻率及改良表面形态的钨膜的方法
DE102009015747B4 (de) 2009-03-31 2013-08-08 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US8623733B2 (en) * 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US8039394B2 (en) 2009-06-26 2011-10-18 Seagate Technology Llc Methods of forming layers of alpha-tantalum
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8207062B2 (en) * 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
SG10201407519TA (en) 2009-11-19 2015-01-29 Univ Singapore Method For Producing T Cell Receptor-Like Monoclonal Antibodies And Uses Thereof
DE102009055392B4 (de) 2009-12-30 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
US8709948B2 (en) * 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8778797B2 (en) 2010-09-27 2014-07-15 Novellus Systems, Inc. Systems and methods for selective tungsten deposition in vias
US20120199887A1 (en) 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties
US20120225191A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US8865594B2 (en) 2011-03-10 2014-10-21 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8546250B2 (en) 2011-08-18 2013-10-01 Wafertech Llc Method of fabricating vertical integrated semiconductor device with multiple continuous single crystal silicon layers vertically separated from one another
US8916435B2 (en) 2011-09-09 2014-12-23 International Business Machines Corporation Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory
US8617985B2 (en) 2011-10-28 2013-12-31 Applied Materials, Inc. High temperature tungsten metallization process
MY167318A (en) 2011-12-12 2018-08-16 Novellus Systems Inc Monitoring leveler concentrations in electroplating solutions
CN104272440B (zh) 2012-03-27 2017-02-22 诺发系统公司 用核化抑制的钨特征填充
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
KR101990051B1 (ko) 2012-08-31 2019-10-01 에스케이하이닉스 주식회사 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법
KR20140028992A (ko) 2012-08-31 2014-03-10 에스케이하이닉스 주식회사 텅스텐 게이트전극을 구비한 반도체장치 및 그 제조 방법
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9169556B2 (en) 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US8975142B2 (en) 2013-04-25 2015-03-10 Globalfoundries Inc. FinFET channel stress using tungsten contacts in raised epitaxial source and drain
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10144688A (ja) * 1996-11-02 1998-05-29 Hyundai Electron Ind Co Ltd 大きさの異なるコンタクトホールを有する半導体装置のコンタクトプラグの形成方法
JPH10163132A (ja) * 1996-11-28 1998-06-19 Hyundai Electron Ind Co Ltd 半導体装置の金属配線およびその形成方法
JP2000208516A (ja) * 1999-01-11 2000-07-28 Nec Corp 多層配線構造をもつ半導体装置およびその製造方法。
JP2008283220A (ja) * 2000-11-17 2008-11-20 Tokyo Electron Ltd タングステン膜の形成方法
JP2004235456A (ja) * 2003-01-30 2004-08-19 Seiko Epson Corp 成膜装置、成膜方法および半導体装置の製造方法
JP2007027680A (ja) * 2005-06-17 2007-02-01 Fujitsu Ltd 半導体装置の製造方法および半導体装置
JP2007009298A (ja) * 2005-07-01 2007-01-18 Tokyo Electron Ltd タングステン膜の形成方法、成膜装置、記憶媒体及び半導体装置
JP2009024252A (ja) * 2007-05-15 2009-02-05 Applied Materials Inc タングステン材料の原子層堆積法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018184636A (ja) * 2017-04-26 2018-11-22 東京エレクトロン株式会社 タングステン膜を形成する方法

Also Published As

Publication number Publication date
US20160118345A1 (en) 2016-04-28
KR20100114855A (ko) 2010-10-26
US9236297B2 (en) 2016-01-12
TW201120959A (en) 2011-06-16
TW201643963A (zh) 2016-12-16
KR20100114856A (ko) 2010-10-26
TWI536458B (zh) 2016-06-01
KR101383384B1 (ko) 2014-04-08
TWI623040B (zh) 2018-05-01
KR101642917B1 (ko) 2016-07-26
US20140162451A1 (en) 2014-06-12
JP5791167B2 (ja) 2015-10-07
TW201118948A (en) 2011-06-01
KR20140096253A (ko) 2014-08-05
JP5700327B2 (ja) 2015-04-15
US20100267235A1 (en) 2010-10-21
US8623733B2 (en) 2014-01-07
JP2010251760A (ja) 2010-11-04
TWI529806B (zh) 2016-04-11
KR101495372B1 (ko) 2015-02-24
US20100267230A1 (en) 2010-10-21
US9673146B2 (en) 2017-06-06

Similar Documents

Publication Publication Date Title
JP5700327B2 (ja) 小臨界次元のタングステン接点装置及び相互接続子の製法
JP6980020B2 (ja) ルテニウムドーピングにより強化される耐コバルト凝集性及び間隙充填作用
US11587829B2 (en) Doping control of metal nitride films
KR102173770B1 (ko) 작은 임계 치수의 피쳐에서 텅스텐 컨택 저항을 개선하는 방법
TWI663278B (zh) 極低電阻率鎢之沉積方法
TWI493058B (zh) 鎢材料的原子層沈積法
KR102361226B1 (ko) 저 저항 텅스텐 피처 충진을 가능하게 하는 텅스텐 핵생성 프로세스
JP2017014615A (ja) フッ素含有量が少ないタングステン膜
TW201348492A (zh) 沉積錳與氮化錳的方法
KR102017944B1 (ko) 니켈 배선의 제조 방법
TWI817900B (zh) 具有複合接觸結構的半導體元件

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130411

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130411

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140304

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140604

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140609

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140703

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150120

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150206

R150 Certificate of patent or registration of utility model

Ref document number: 5700327

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250