KR19980032999A - 복수 챔버로 이루어진 반도체 웨이퍼 처리 장치내에서 우선 순위에 기초한 웨이퍼 처리의 스케줄링 방법 및 장치 - Google Patents

복수 챔버로 이루어진 반도체 웨이퍼 처리 장치내에서 우선 순위에 기초한 웨이퍼 처리의 스케줄링 방법 및 장치 Download PDF

Info

Publication number
KR19980032999A
KR19980032999A KR1019970053879A KR19970053879A KR19980032999A KR 19980032999 A KR19980032999 A KR 19980032999A KR 1019970053879 A KR1019970053879 A KR 1019970053879A KR 19970053879 A KR19970053879 A KR 19970053879A KR 19980032999 A KR19980032999 A KR 19980032999A
Authority
KR
South Korea
Prior art keywords
chamber
wafer
priority
chambers
processing
Prior art date
Application number
KR1019970053879A
Other languages
English (en)
Inventor
두산 제브틱
Original Assignee
조셉제이.스위니
어플라이드머티어리얼스,인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉제이.스위니, 어플라이드머티어리얼스,인코포레이티드 filed Critical 조셉제이.스위니
Publication of KR19980032999A publication Critical patent/KR19980032999A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32266Priority orders
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32267Dynamic throughput maximization
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32306Rules to make scheduling decisions
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/907Continuous processing
    • Y10S438/908Utilizing cluster apparatus

Abstract

본 발명은 우선 순위에 기초한 복수 챔버의 반도체 웨이퍼 처리 시스템(클러스터 툴)에서의 웨이퍼 처리 장치 및 그에 따른 방법에 관한 것이다. 시퀀서는 클러스터 툴내의 챔버에 우선 순위 값을 할당하고, 이어 할당된 우선 순위에 따라 웨이퍼를 챔버에서 챔버로 이동시킨다. 상기 시퀀서는 우선 순위 이동이 수행되기 이전에 유용한 시간의 양을 측정할 수 있고, 시간이 충분하다면 상기 시퀀서는 기다리는 동안에 우선 순위가 없는 이동을 수행한다. 툴내의 챔버의 유용성에 의존하여, 상기 시퀀서는 또한 할당된 우선 순위를 동적으로 변화시킨다. 마지막으로, 특별한 스테이지에서 로봇이 웨이퍼를 이동시키는 데 요구되는 최소의 시간에 기초하여, 상기 시퀀서는 챔버에 우선 순위를 결정한다.

Description

복수 챔버로 이루어진 반도체 웨이퍼 처리 장치내에서 우선 순위에 기초한 웨이퍼 처리의 스케줄링 방법 및 장치
본 발명은 복수 챔버로 이루어진 웨이퍼 처리 장치(복수 챔버의 웨이퍼 처리 장치)에 관한 것이며, 특히 복수 챔버 챔버로 이루어진 웨이퍼 처리 장치내에서 반도체 웨이퍼의 처리를 스케줄링 하는 방법과 장치에 관한 것이다.
반도체 웨이퍼는 다수개의 순차적인 처리 단계를 사용하여 집적 회로를 제조하기 위하여 처리된다. 이러한 단계들은 다수개의 처리 챔버를 사용하여 실행된다. 웨이퍼 이송 로봇에 의해 수행되는 처리 챔버 조립체는 복수 챔버로 이루어진 반도체 웨이퍼 처리 장치 또는 클러스터 툴로서 알려져 있다. 도 1은 캘리포니아 산타클라라의 어플라이드 머티리얼사에서 제작된 인듀러(Endura) 시스템으로 공지된 실질적인 복수 챔버로 이루어진 반도체 웨이퍼 처리 장치를 도시한다.
클러스터 툴(100)은 예를 들어, 4개의 처리 챔버(104, 106, 108, 110), 이송 챔버(112), 전 세정 챔버(114), 버퍼 챔버(116), 웨이퍼 방향 설정/가스 배출 챔버(118), 냉각 챔버(102) 및 한 쌍의 로드락 챔버(120, 122)를 포함한다. 상기 버퍼 챔버(116)는 로드락 챔버(120,122), 웨이퍼 방향 설정/가스 배출 챔버(118), 전 세정 챔버(114) 및 냉각 챔버(102)에 대해 중심적으로 위치된다. 이러한 챔버들 사이에서의 웨이퍼 이송을 효율적으로 달성하기 위하여, 버퍼 챔버(116)는 제 1 로봇식 이송 매카니즘(124)을 포함한다. 대체적으로 웨이퍼(128)는 저장소로부터 로드락 챔버(120, 122)중 하나에 위치된 플라스틱 이송 카세트(126) 시스템으로 이송된다. 로봇식 이송 메카니즘(124)은 웨이퍼들(128)을 카세트(126)에서 세 챔버(118,102 또는114) 중 어느 것에든지 한번에 이송한다. 대체적으로, 소정의 웨이퍼는 웨이퍼 방향 설정/가스 배출 챔버(118)에 우선 배치되고, 이어 전세정 챔버( 114)로 이동된다. 냉각 챔버(102)는 일반적으로 웨이퍼가 처리 챔버(104,106, 108, 110)내에서 처리되어진 후에 사용된다. 각각의 웨이퍼는 제 1 로봇식 메카니즘(124)의 말단에 위치된 웨이퍼 이송 블래이드(130) 상에서 이송된다. 이송 동작은 시퀀서(136)에 의해 제어된다.
이송 챔버(112)는 전 세정 챔버(114)와 냉각 챔버(102) 뿐 만 아니라 4개의 챔버(104, 106, 108, 110)로 둘러싸여 있으며, 그것들을 액세스하여야 한다. 챔버들 사이에서 웨이퍼의 이송을 효율적으로 달성하기 위하여, 웨이퍼 이송 챔버(112)는 제 2 로봇식 이송 메카니즘(132)을 포함한다. 상기 메카니즘(132)은 각각의 웨이퍼를 이송하기 위하여 자신의 말단에 부착된 웨이퍼 이송 블래이드(134)를 포함한다. 동작에 있어서, 제 2 웨이퍼 이송 메카니즘(132)의 웨이퍼 이송 블래이드(134)는 전 세정 챔버(114)에서 웨이퍼를 집어내어, 예를 들어 챔버(104)의 PVD(Physical Vapor Deposition) 스테이지와 같은 처리 스테이지로 그 웨이퍼를 이송한다. 웨이퍼가 처리되고, PVD 스테이지가 웨이퍼 상에 물질을 증착하면, 이어 웨이퍼는 곧바로 제 2 처리 단계로 이동될 수 있다.
처리 챔버 내에서의 처리가 완료되면, 이송 메카니즘(132)은 처리 챔버로부터 웨이퍼를 이동시키고, 상기 웨이퍼를 냉각 챔버(102)로 이송한다. 이어 웨이퍼는 버퍼 챔버(116) 내의 제 1 이송 메카니즘(124)을 사용하여 냉각 챔버로부터 제거된다. 마지막으로 웨이퍼는 로드락 챔버(122) 내의 이송 카세트(126)에 배치된다.
보다 일반적으로, 클러스터 툴은 C1, C2,……Cn으로 표시되는 n개의 챔버, 하나 이상의 이송 챔버(로봇) 및 하나 이상의 로드락(120,122)을 포함한다. 이러한 구성에는 정확한 레이아웃이 요구된다. 처리될 웨이퍼(Wa)가 로드락으로부터 선택되어, 각각 연속적으로 여러 챔버(Ci1, Ci2,……, Cin)에 배치되고, 이어 로드락으로 궤환된다. 이와 같이, 툴을 통과하는 웨이퍼의 궤적은
(1) LL1∨2→ C1→ Ci2→ …… Cik→ LL1∨2이며,
여기에서 웨이퍼(Wa)는 챔버(Ci1, Ci2,……, Cik)에 진입한다. 상술한 표시 Cij→Cij+1은 웨이퍼가 챔버 (Cij)에 이어 챔버(Cij+1)에 진입하여야 한다는 것을 의미한다. 웨이퍼의 궤적은 구성의 모든 챔버에 관련되어야 하는 것은 아니다는 것을 주지하라: 예를 들면, n1개의 챔버가 존재할 수 있을 지라도
(2) LL1∨2→ Ci→ LL1∨2
궤적(2)은 유용한 궤적이다. 일반적으로, 챔버들에 하나의 웨이퍼가 동시에 진입된다고 가정된다. 궤적(1,2)에서, 클러스터 툴은 두 개의 로드락을 갖는다고 가정되며; 부호(∨)는 논리 OR를 표시한다. 일반적으로 웨이퍼는 웨이퍼가 선택되었던 로드락 카세트 내의 동일한 위치로 궤환된다. 그러나 다음의 발표문은 웨이퍼가 하나의 로드락으로부터 선택되어 다른 로드락으로 궤환되는 몇 개의 로드락과 몇 개의 챔버(이송 챔버를 포함한)에 적용된다.
상기 궤적(1,2)에서 보여진 것과 같이, 웨이퍼의 궤적은 클러스터 툴을 통과하는 특별한 웨이퍼의 궤도이며; 즉 궤적은 웨이퍼가 진입한 챔버의 순서(Ci뒤에는 반드시 Ci+1일 필요는 없다)이다. 이것은 웨이퍼에 처리를 적용하는 순서인 용어 처리 순서 와는 구별된다. 하나 이상의 챔버가 동일한 처리(병렬 챔버)를 수행한다면, 여러 개의 다른 궤적은 소정의 처리 순서를 만족시킬 수 있다. 처리 순서는 앞서 알려졌으며, 클러스터 툴을 통과하는 웨이퍼의 이동의 순서를 계획하는 시퀀서로 불리는 컴퓨터 프로그램의 일부이며; 챔버내에 있는 동안 웨이퍼에 적용될 처리를 설명하며; 세정 모드에 있을 동안 챔버가 요구하는 처리를 설명하며; 챔버의 상태에 대한 조건(세정 처리 이전에, 얼마나 많은 웨이퍼 또는 얼마나 많은 시간이 성취되어야 지의 조건)등을 설명한다. 시퀀서의 대안적 용어는 경로 선택기(router)이다.
처리 순서를 완료하고, 로드락을 궤환된 웨이퍼는 툴에 의해 처리되었다고 언급된다. 대충, 툴의 쓰루풋은 단위 시간당 툴에 의해 처리된 웨이퍼의 개수이다. 즉, 툴이 nt개의 웨이퍼를 처리하기 위하여 t초를 요구한다면,
(3) St:= nt/t
(3)은 시간 간격[0, t]에서 측정된 툴의 쓰루풋이다.
소정의 처리 순서에 대하여 툴의 쓰루풋을 향상시키기 위한 많은 방법이 존재한다. 그러나 하나의 중요한 개선은 효율적인 소정의 처리 순서를 위한 스케줄링 루틴을 사용하는 것이다.
스케줄링의 최적화는 하나의 챔버에서 다음(그리고 웨이퍼는 이전의 것에서 그곳으로 이송되어야 한다.)의 소정의 궤적으로 웨이퍼를 언제 이송하는지를 결정하는데 사용되는 기준의 선택과 관계된다. 클러스터 툴(소정의 처리 순서에 기초한)을 통과하는 웨이퍼의 이동을 스케줄링하는 루틴은 스케줄링 루틴으로 언급된다. 스케줄링 루틴 하에서 툴의 준비-상태 쓰루풋은 S(A)로 표시될 수 있다. 용이하게 표시하기 위하여, 웨이퍼 궤적에 대한 의존성과 툴의 파라메터(예를 들면, 챔버 처리 시간 및 웨이퍼 이송 시간)는 무시된다.
n 1이라면, 소정의 처리 순서에 의존하여, 복수개의 스케줄링 루틴이 고려될 수도 있다. 쓰루풋의 값을 최대화하는 루틴은 최적이라고 간주되며, 획득 가능한 쓰루풋의 최대 값은 툴의 용량으로 공지된다. 즉, A 가 소정의 처리 순서에 대하여 가능한 모든 스케줄링 알고리즘이라면, 이때 A는,
(4) S(A*) = max {S(A) | A ∈A}인 경우에 최적이 된다.
명백하게, 툴의 용량S(A*)은 처리 순서내의 챔버와 로봇 파라메터 뿐만 아니라 소정의 처리 순서에 의존한다. 툴의 용량은 C*로 표시되며, 여기에서 첨자(*)는 궤적을 나타내며; 챔버와 로봇 파라메타의 의존성은 무시된다. 소정의 처리 순서에 대한 양호한 스케줄링 루틴을 찾는 것(특히, 가능한 최적의 루틴을 찾는 것)의 문제점은 실질적인 상당한 중요점이다.
표시 Ci →Cj는 웨이퍼가 챔버(Ci)에 이어 챔버(Cj)에 진입되어야 한다는 것을 의미한다는 것을 상기하라. 논리 OR는 소정의 챔버 집합 중 하나에 정학하게 진입하는 경우를 나타낸다. 예를 들면,
(5) Ci→ (Cr1∨ Cr2∨ ……∨ Crk)
궤적(5)은, 챔버(Ci)에 진입한 후, 챔버 집합(Cr1, Cr2, ……, Crk) 에서 k 개의 챔버중 하나에 정확하게 진입하는 부궤적을 나타낸다. 아래에 제시된 순서로, 이러한 것들은 직렬, 병렬, 혼합 및 결합된 궤적이다.
(6) LL1∨2→ C1→ C2→ ……→ Cn→ LL1∨2
궤적(6)은 순수한 직렬 또는 단지 직렬의 궤적으로 언급된다. 직렬 궤적은 웨이퍼가 n 개 스텝의 처리를 거친 상태에서 사용되며, 여기에서 단계(k)는 이전 단계(k-1)의 성공적인 완료를 요구하고, k = 2,3, …… ,n이다.
단계의 수에 대해, 궤적 표시(6)와 상반되는 것은 1 단계 처리이며, 그것의 궤적
(7) LL1∨2→ Ck→ LL1∨2, k = 1, 2, …… ,n 은
순수한 병렬 또는 단지 병렬 궤적으로 언급된다. 모든 챔버가 동일한 처리를 수행한다면, 이때 궤적 표시(8)는 다음과 같이 쓸 수 있다.
(8) LL1∨2→ (C1∨ C2∨ ……∨ Cn)→ LL1∨2
궤적의 직렬-병렬 또는 병렬-직렬 혼합은 혼합 궤적으로 언급된다. 혼합 궤적의 실시예는 :
(9) LL1∨2→ C1→ (C2 (a)∨ C2 (b)) → (C3 (a)∨ C3 (b)) →C4→ LL1∨2이다. 혼합 궤적은 일반적으로, 긴 처리 시간을 갖는 챔버를 복제하므로써 직렬 궤적으로부터 유도된다.
웨이퍼의 궤적에서 한번 이상 나타나는 챔버는 마디(knot)-챔버로 언급된다. 하나의 마디-챔버를 갖는 가장 간단한 궤적은 궤적(10)이다.
(10) LL1∨2→ C1→ ……→ Ci→ ……→ Ck→ Ci→Ck+1→ ……→ Cn→ LL1∨2
궤적(10)은 또한 처리 루프를 갖는 것으로 알려져 있다. 챔버(C1, C2, ……, Ck)가 그들 내부에 웨이퍼를 갖는 상황은 데드락(deadlock)으로 불린다. 예를 들어 LL1∨2→ C1→ C2→ C3→ C2→ LL1∨2에 있어서, 챔버(C2)는 마디-챔버이다. 웨이퍼(W1,W2,W3)가 각각 챔버(C1, C2, C3)를 점유한다면, 웨이퍼(W3)는 챔버(C2)로 이동될 수 없고, 웨이퍼(W2)는 챔버(C3)로 이동될 수 없으며; 따라서 우리는 데드락을 갖는다(두개의 블래이드 로봇에 의한 어떠한 우선 순위 또는 버퍼 챔버의 사용권을 가정할 수 없다.)는 것을 주지하라.
배열 내에 상이한 웨이퍼가 상이한 궤적을 가질지라도, 스케줄링 루틴은 항상 소정의 처리 순서에 적합하다. 바람직한 스케줄링 루틴은 툴의 쓰루풋을 툴의 용량에 매우 근접하도록 유지한다. 상기 각각의 궤적에서 동일한 루틴을 사용하는 것이 가능할 지라도, 특정 궤적내에 존재하지 않는 조건을 검증하는 데 관련된 총 경비는 상당하다.
다음은 현재 종래의 기술에서의 사용이 발견된 4 개의 스케줄링 루틴이다.
푸시-앤드-대기 루틴에 있어서, 기준 궤적은 직렬 궤적이다. 특별히 로봇은 우선 LL1∨2로부터의 웨이퍼를 우선 챔버(C1)로 이동시키고, 웨이퍼(Wi)가 처리되어, 마지막으로 로봇이 웨이퍼(Wi)를 챔버(Cn)에서 LL1∨2로 이동시킬 때까지 챔버(C1)에서 기다린다. 이어 상기 로봇은 다음 웨이퍼(Wi)를 LL1∨2에서 선택하여, 소위 이동 및 처리 시까지 대기 단계의 상기 과정을 되풀이한다.
n =1 이면, 상기 푸시-앤드-대기 루틴은 가능한 단 하나의 루틴이다. 상기 푸시-앤드-대기 루틴은 가능한 가장 간단한 루틴이고, 혼합 궤적 뿐 만 아니라 병렬 궤적에서도 사용할 수 있다. 상기 루틴은 동시적인 챔버의 활성화를 전혀 계획하지 않기 때문에, 로봇이 각각의 n개의 채널에서 아주 짧은 시간 동안 대기하는 경우에만 효과적이다.
풀-쓰루-웨이퍼 팩킹(pull-through -wafer packing) 루틴에 있어서, 기준 궤적은 직렬 궤적(6)이다. r을 가장 높은 챔버 색인이고, 챔버(Cr+1)는 비어 있고, 챔버(C1, C2, ……, Cr)는 웨이퍼(W1,W2,……, Wr)를 각각 포함한다고 하자. (r=n이면, Cr+1은 LL1∨2이다.) 단일 블래이드의 로봇은 챔버(Cr)에서 챔버(Cr+1)로 웨이퍼(Wr)를 이동시키므로써, 시작한다(r=n이면, 웨이퍼(Wn)가 챔버(Cn)에서 LL1∨2로 이동한다). 이어 로봇은 챔버(Cr-1)로 이동하여, 필요하다면 기다리고, LL1∨2에서 새로운 웨이퍼(W0)를 취하여 챔버(C1)에 배치할 때까지, 챔버(Cr-1)로부터의 웨이퍼(Wr-2)를 이동시킨다. 이어 로봇은 (r n-1 이면,) 챔버(Cr+1) 또는 챔버(Cn)인 초기 위치로 궤환한다.
이러한 루틴은, 가능한 한 많은 웨이퍼를 챔버 속에 팩킹하기 때문에, 웨이퍼 팩킹 루틴으로 알려져 있으며, 따라서 동시적인 챔버 처리 활성화를 최대화시킨다. 상기 풀-쓰루 팩킹 루틴은 가장 높은 번호를 갖는 챔버에서 시작하여 웨이퍼를 이동시키고, 연속적으로 각 웨이퍼를 다음으로 높은 번호를 갖는 챔버에 배치한다.
푸시-쓰루 팩킹(push through packing) 루틴에 있어서, 기준 궤적은 직렬 궤적(6)이다. r은 가장 높은 챔버 색인이고, 챔버(Cr+1)는 비어 있고, 챔버(C1, C2, ……, Cr)는 웨이퍼(W1,W2,……, Wr)를 각각 포함한다고 하자. 이중 블래이드의 로봇은 LL1∨2로부터의 새 웨이퍼(W0)를 집어내므로써 시작한다. 이어 로봇은 챔버(C1)로 이동하여, 필요하다면 기다리고, 챔버(C1)로부터 웨이퍼(W1)를 이동시켜, 웨이퍼(W0)를 챔버(C1)에 배치한다. 다음으로, 로봇은 챔버(C2)로 이동하여, 필요하다면 기다리고 챔버(C2)로부터 웨이퍼(W2)를 제거하여, 웨이퍼(W1)를 챔버(C1)에 넣고, 등등 마지막으로 로봇은 웨이퍼(Wr)를 챔버(Cr+1)에 위치시킨다( r=n 이면, 웨이퍼(Wn)를 LL1∨2에 넣는다). 이어 로봇은 LL1∨2에서 다른 웨이퍼를 집어내어 상기 단계를 반복한다.
명백하게 풀-쓰루 및 푸시-쓰루 웨이퍼 팩킹 루틴은 동일한 팩킹 루틴에 관한 두 가지 버전을 갖는다. 푸시-쓰루 루틴은 가장 낮은 번호의 챔버에서 시작하여, 웨이퍼를 이동시키고, 각 웨이퍼를 연속적으로 낮은 번호 챔버로부터의 웨이퍼로 대체한다. 이러한 것은, (짧은 웨이퍼 교환시간 때문에) 단일 블래이드의 로봇을 사용하는 풀-쓰루 웨이퍼 팩킹 루틴을 사용하여, 가능한 것 보다 다소 높은 쓰루풋을 초래하는 이중(복수) 블래이드의 로봇을 필요로 한다.
반응성 스케줄링 루틴에 있어서, 기준 궤적은 병렬 궤적(7)이다. 툴이 웨이퍼(Wi) 처리를 종료할 때, 시퀀서는 로봇을 챔버(C1)로 호출한다. (만약 있다면,)현재 활성화된 것을 완료한 후, 로봇은 챔버(C1)로 이동하여 웨이퍼(Wi)를 챔버(C1)에서 제거한 후, SWi를 LL1∨2에 배치하고 이어 LL1∨2에서 새 웨이퍼(Wi+1)를 제거하고 그것을 챔버(C1)에 배치한다. 이어 로봇은 다음 챔버 호출을 실시할 준비를 한다.
처리된 웨이퍼(Wi)를 챔버(C1)에서 LL1∨2로 이동시키고 웨이퍼(Wi+1)를 챔버(C1)에 배치하는 것을 포함하는 사이클은 웨이퍼 교환이라 불린다. 결과적으로, 챔버(C1)로 이동하는 호출을 수용함에 따라, 로봇은 현재 웨이퍼 교환(만약 있다면,)을 마치고, 이어 챔버(C1)로 이동하여 웨이퍼 교환을 달성한다. 로봇이 시퀀서로부터의 챔버 호출에 반응하기 때문에, 이러한 루틴은 반응성 스케줄링 루틴으로 알려져 있다.
예상 스케줄링 루틴에 있어서, 기준 궤적은 병렬 궤적(7)이다. 챔버(C1)에서의 웨이퍼 교환을 마친 후, 카운터(I)(챔버(C1)에 해당하는)가 리세트된다. 상기 카운터는 프리세트 한계(Ti초)를 갖는다.
(11) ,
여기에서 Ti는 챔버(C1)에서의 처리 시간이고, Tr,i는 로봇이 챔버(Cr)의 정위치에서 챔버(C1)의 정위치에 도달하는데 요구되는 시간이다. ti초 이후, 로봇은 현재의 웨이퍼 교환(만약 있다면,)을 완료하고, 챔버(C1) 쪽으로 이동하여 챔버(C1)에서 예상 웨이퍼 교환을 완수한다.
이러한 루틴은, 로봇이 챔버 호출의 순간을 예상하고 미리 자신의 위치 설정을 하여 웨이퍼 교환을 실시하기 때문에, 예상 스케줄링 루틴으로 공지되어 있다. 반응성 및 예상 스케줄링에 있어서, 챔버 호출은 저장되어(대기 행렬에 넣어져서), 루틴에 따라(일반적으로 수신된 순서에 따라) 수행되며; 동시적인 호출은 외부 우선 순위에 의해 결정된다.
순수한 병렬 궤적에 적용될 때, 웨이퍼 팩킹 알고리즘은 불필요한 대기 시간을 포함할 수 있어서, 결과적으로 툴의 쓰루풋이 손해를 입게 된다(특히, maxiTiminiTi 일 때)는 것을 주지하라. 또한 반응성 및 예상 스케줄링이 순수한 직렬 궤적에는 적합하지 않다는 것을 주지하라. 예를 들어, 챔버(C1)가 웨이퍼 교환을 요구한다면, 이송 챔버는 우선 챔버(Ci-1)와 챔버(Ci+1)의 상태를 검증하여야하며(비어있는지의 여부); 웨이퍼 교환이 가능하지 않다면, 호출은 저장되어(이후에 다시 활성화된다), 불필요한 추가의 프로그램의 복잡성, CPU의 활동 및 메모리 할당을 초래하게 된다.
따라서, 본 기술 분야에서는 다양한 궤적의 형식에서 사용할 수 있는 클러스터 툴의 쓰루풋을 향상시키기 위한 개선된 스케줄링 루틴을 필요로 한다.
도 1은 시퀀서에 의해 제어되는 복수 챔버로 이루어진 반도체 웨이퍼 처리 툴의 개략도.
도 2는 본 발명에 따라 효과적인 단계를 수행하는 시퀀서의 블록도.
도 3은 본 발명에 관한 제 1 실시예의 소프트웨어 구현 순서도.
도 4는 본 발명에서 사용된 우선 정보를 포함하는 상세한 데이터 구조.
도 5는 본 발명에 관한 제 2 실시예의 소프트웨어 구현 순서도.
도 6은 본 발명에 관한 제 3 실시예의 소프트웨어 구현 순서도.
도 7은 본 발명에 관한 제 4 실시예의 소프트웨어 구현 순서도.
도 8은 본 발명에 관한 제 5 실시예의 소프트웨어 구현 순서도.
* 도면의 주요부분에 대한 부호의 설명 *
100 : 클러스터 툴 200 : 마이크로프로세서
300 : 웨이퍼 팩킹 루틴 400 : 데이터 구조
500 : 감마(γ) 내성 웨이퍼 팩킹 루틴
600 : SPT 루틴 700 : 감마 내성의 스케줄링 루틴
800 : 로봇 범위 스케줄링 루틴
종래의 기술에 관련된 문제점은 복수 챔버의 반도체 웨이퍼 처리 시스템(클러스터 툴)내에서 우선 순위에 기초한 웨이퍼 처리의 스케줄링을 수행하기 위한 방법과 장치에 관한 본 발명에 의해 해결된다. 본 발명에 따른 제 1 실시예는 우선 순위에 기초한 스케줄링을 달성하기 위하여 웨이퍼 팩킹 기술을 사용한다. 이러한 제 1 실시예는, 웨이퍼를 웨이퍼 카세트(로드락)로부터 이동시켜 웨이퍼 궤적의 제 1 스테이지에 웨이퍼를 배치하는 로봇 이동에 최상의 우선 순위를 할당하는 것을 의미한다. 다음의 우선 순위는 다양한 처리 스테이지에 할당된다. 대체적으로, 처리된 웨이퍼를 수용하여야 하는 로드락에 최저의 우선 순위가 할당되는 반면에, 웨이퍼가 제거되어야 할 때의 로드락은 최상의 우선 순위를 갖는다. 각각의 로봇 이동을 위하여, 본 방법은 최상의 우선 순위를 갖는 빈 스테이지를 찾아서 유용한 스테이지를 스캐닝한다. 최상의 우선 순위를 갖는 스테이지는 우선적으로 채워지고, 로봇은 낮은 우선 순위 스테이지로 이동하여 모든 빈 스테이지를 채운다. 스캐닝 처리는 완료되려는 처리에 대한 궤적을 정의하는 데이터 구조를 스캐닝하므로써 달성된다.
본 발명에 관한 제 2 실시예는 감마 내성 웨이퍼 패킹 기술이다. 각 스테이지는 처리를 완료하는 데 요구되는 공지된 시간을 갖는 처리를 포함하고, 본 방법은 어떤 하나의 챔버가 웨이퍼를 처리하는데 얼마나 오랫동안 사용되는지를 계산한다. 상술한 웨이퍼 팩킹 기술에 있어서, 높은 우선 순위의 챔버가 채워져 있고, 웨이퍼를 처리하고 있다면, 로봇은 웨이퍼를 처리하는 것이 완료되기까지 기다린 뒤, 웨이퍼를 제거하고, 새로운 웨이퍼로 그것을 대체한다. 효율을 향상시키기 위하여, 로봇이 처리의 완료를 기다리는 것보다, 높은 우선 순위의 웨이퍼가 완성되는 동안에 다른 웨이퍼를 이동시킨다. 본 발명은 확실한 타이밍 요구에 기초하여, 어떤 웨이퍼를 이동시킬지를 결정한다. 특히, 본 방법은, 로봇이 다른 위치로 이동하거나 궤환하는 하는 데의 회전 시간과 다른 챔버에서 예상되는 대기 시간을 더한 것과 동일한 값을 계산한다. 이러한 값은 웨이퍼 처리 사이의 Tmeanwhile(이동 시간 ;이하 Tmeanwhile로 표시)로 언급된다. 게다가, 높은 우선 순위의 챔버에서 완성되는 처리 에 대하여 처리를 유지하는 Tremains(처리 유지 시간 ; 이하 Tremains로 표시)로 표시된 변수를 사용한다. Tremains를 Tmeanwhile로 나눈 몫은 로봇이 다음 챔버로 진행하여야 하는지 또는 현재 챔버의 완료를 기다려야 하는 지의 여부에 관한 표시를 제공한다. 상기 몫의 값은 γ로 표시된 문턱 값과 비교된다. 상기 변수가 γ의 값을 초과하지 않는다면, 본 발명은 처리가 완료될 때까지 로봇이 높은 우선 순위의 챔버에서 기다리도록 할 것이다. 그렇지 않으면, 로봇은 다른 챔버로 진행하여, 웨이퍼를 이동시킨다. 결과적으로 이러한 시스템을 통과하는 처리는 실질적으로 종래의 웨이퍼 팩킹 기술을 능가하여 개선된다.
본 발명에 관한 제 3 실시예는 후속의 적절한 로봇 이동을 위하여 챔버를 스캐닝하기 전에 챔버에 할당된 우선 순위를 재 계산한다.
본 발명에 관한 제 4 실시예는 제 2 및 제 3 실시예의 일면을 포함한다.
본 발명에 관한 제 5 실시예는 챔버에 우선 순위를 할당할 때, 로봇이 하나의 위치에서 다른 위치로 이동하기 위하여 요구되는 시간을 고려한다.
본 발명의 기술은 도면과 연관된 상세한 설명을 통해 보다 잘 이해할 수 있을 것이다.
상술한 바와 같이, 도 1은 일반적인 복수 챔버의 반도체 웨이퍼 처리 툴의 개략도를 도시한다. 도시된 클러스터 수단(100)은 우선 순위를 기초로 한 본 발명의 스케줄링 루틴을 실행하는 시퀀서에 의해 제어된다.
도 2는 도 1의 클러스터 툴(100)에 의해 수행되는 처리를 제어하기 위하여 동작되는 시퀀서(136)의 블록도를 도시한다. 상기 시퀀서(136)는 본 발명의 루틴을 저장하기 위한 메모리(202) 뿐 만 아니라 마이크로프로세서(200) 및 전원 공급 장치, 클럭 회로, 캐시 등과 같은 보조 회로(206)를 포함한다. 상기 시퀀서는 또한 인터페이스뿐만 아니라 키보드, 마우스 및 디스플레이와 같은 종래의 입/출력(I/O) 장치와 클러스터 수단과의 인터페이스를 형성하는 입/출력 회로(208)를 포함한다. 상기 시퀀서(136)는 순서 지정과 본 발명에 따른 순서 지정과 동작의 스케줄링을 수행하도록 프로그램된 일반적인 목적의 컴퓨터이다. 상기 시퀀서 뿐 만 아니라 상기 클러스터 툴의 기본적인 동작은 상기와 같이 정의된다. 본 발명의 방법에 관한 소프트웨어 실현(204)은 메모리(202) 내에 저장되고, 마이크로프로세서(200)에 의해 실행되어, 상기 클러스터 툴 내에서의 적어도 하나의 로봇 웨이퍼 이송의 제어를 용이하게 한다.
본 발명은, 클러스터 툴내에서 챔버가,
소정의 처리 순서에 대하여 일시적으로 상이한 위치,
상이한 처리 시간 간격 및
로봇 팔의 임의의 위치에 대하여 상이한 공간적 위치를 갖는 것을 관찰하는 데에 기초한다.
이와 같이. 챔버에서 챔버로의 웨이퍼 이송은 상이한 우선 순위를 사용하여 다루어진다. 이러한 관찰을 사용하는 본 발명의 루틴은 웨이퍼 팩킹, SPT(Scheduling based on Process Times), 및 로봇 범위(RB) 스케줄링으로 불린다. 각각은 아래에 설명된다.
I. 웨이퍼 팩킹 루틴
단계(S1, S2, ……, SN)가 각각 처리 순서(P1,P2,……, PN)에 해당한다고 하자. 웨이퍼 팩킹은, 카세트에서 웨이퍼를 제거하여 웨이퍼 궤적의 제 1 단계에 위치시키는 로봇 이동에 최상의 우선 순위를 할당한다.(여기에서 웨이퍼는 처리(P1)에 처한다) 유도에 의하여, 웨이퍼 팩킹은 우선 순위(N, N-1, ……,1)를 각각 단계(S1, S2, ……, SN)에 주며, 여기에서 번호가 높을 수록 높은 우선 순위를 나타낸다. 상기 카세트로부터 웨이퍼가 인출되었을 때, 로드락은 최상의 우선 순위(N+1)를 갖는다. 우선 순위를 기초로 한 웨이퍼 팩킹을 설명하는 루틴이 아래에 주어졌다.; 해당 순서도가 도 3에 도시된다.
루틴은 아래의 5가지의 기본 단계를 수행한다.
1. 초기화 : S ← 0, 단계 2로 진행한다.
2. S ← S+1, S= N+1 이면 단계 5 로 진행하고, 그렇지 않으면. 단계 3으로 진행한다.
3. 빈 챔버를 찾아 스테이지(S)를 스캐닝한다.
빈 챔버가 없으면, 단계 2를 진행하고, 그렇지 않으면, 단계 4를 진행한다.
4. 스테이지(S)내의 빈 챔버를 식별한다. 이러한 챔버를 CX라 한다. 스테이지(S-1)에서 이탈할 준비가 된 제 1 웨이퍼를 찾아 스테이지(S-1)를 스캐닝한다. 웨이퍼(W)를 챔버(CY)에 둔다. 로봇을 챔버(CY)에 위치시켜, 필요하다면 기다리고, 챔버(CY)로부터 웨이퍼(W)를 목표 챔버(CX)로 이동시킨다. 클러스터 툴의 상태를 정의하는 데이터 구조를 업데이트하고, 단계 1로 진행한다.
5. 우선 스테이지(N)를 이탈하는 웨이퍼를 갖는 챔버에 로봇을 위치시킨다. 웨이퍼가 스테이지(N)로부터 로드락으로 이동된 후, 데이터 구조를 업데이트하고, 단계 1로 진행한다.
변수(S=0, 1, ……, N+1)는 스테이지 번호를 나타낸다. 단계 4에서, S=1 이면, 항상 스테이지(0)를 이탈할 준비가 된 웨이퍼가 존재하게 된다(스테이지(0)는 로드락이다.); 단계 3에서, S=N+1 이면, 웨이퍼를 인입시킬 수 있는 빈 공간이 항상 존재한다.(스테이지(N+1)는 다시 로드락이 된다.)
상술한 단계 3 및 단계 4에서 스테이지(S)를 스캐닝한다는 말은 스테이지(S)를 설명하는 데이터 구조를 스캐닝한다는 것을 의미한다. 절차 프로그래밍에 있어서, 이같은 데이터 구조는 레코드의 링크된 리스트 또는 배열일 수 있으며, 여기에서 각각의 레코드는 챔버를 설명한다. 이러한 데이터 구조는 아래의 도 4를 참조로 하여 상세히 설명된다. 목적용 프로그래밍에 있어서, 챔버는 소정 클래스의 목적일 수 있고, 스테이지는 수퍼 클래스의 실예일 수 있다.
T로 툴 내의 카세트 스테이의 길이를 표시하라. 리틀(Little)의 공식에 의해 카세트의 펌프와 배출 시간이 다른 카세트의 처리 시간과 겹친다고 가정하면, T= S-1M을 따르며, 여기에서 M 은 카세트 내의 웨이퍼의 개수이며, S는 준비- 상태 쓰루풋이다. 따라서 툴 내의 카세트 스테이 길이는 쓰루풋이 최대일 때 최소가 되므로, 툴 내의 카세트 스테이 길이가 최소가 되도록 하는 스케줄링 루틴은 최적 상태이다. 가능한 한 빠르게 새로운 웨이퍼를 상기 카세트에서 클러스터로 이동시키는 것을 시도하므로써(최상의 우선 순위를 그 이동에 할당하므로써), 웨이퍼 팩킹 루틴이 정확하게 그것을 시도한다는 것을 주지하라.
챔버가 유용하지 않을 경우(즉, 챔버 세정 처리에 기인하여), 우선 순위가 변화되지 않는 의미에서는 웨이퍼 팩킹 알고리즘이 정적인상태라는 것을 또한 주지하라. (이유가 무엇이건 간에) 챔버가 유용하지 않다면, 챔버가 웨이퍼를 수용할 준비가 될 때까지, 목표 챔버로서 챔버는 간단하게 선택되지 않는다.
도 3은 본 발명에 따른 제 1 실시예를 실현하는 웨이퍼 팩킹 루틴(300)에 기초한 우선 순위의 순서도를 도시한다. 이러한 루틴을 실현하기 위하여, 챔버에는 챔버에 대한 스테이지 번호와 동등한 우선 순위 값이 미리 할당된다. 따라서 상기 처리되지 않은 웨이퍼를 포함하는 로드락은 최상의 우선 순위를 갖으며, 제 1 스테이지 챔버는 다음으로 높고 등등, 0의 우선 순위를 갖는 처리된 웨이퍼용 로드락 까지 순위가 내려간다. 루틴(300)은 단계(302)에서 시작하여 단계(304)로 진행한다. 단계(304)에서, 루틴은 모든 챔버가 차 있는지의 여부를 질문한다. 상기 질문이 긍정적으로 대답되면, 상기 루틴은 로봇이 마지막 스테이지로 이동하여 제 1 준비 웨이퍼를 로드락으로 이동시키도록 지시된 단계(306)로 진행한다. 상기 로봇은 필요하다면, 상기 단계에서 기다린다.
제 1 준비 웨이퍼가 상기 로드락으로 이동되면, 상기 루틴은 질문 단계(304)로 궤환한다. 상기 질문이 부정적으로 대답되면, 상기 루틴은 단계(304)에서 단계(308)로 진행한다. 단계(308)에서, 상기 루틴은 최상의 우선 순위 챔버(예를 들면, 챔버(A))를 선택하여, 챔버(A)를 목표 챔버로 하는 챔버(B) 내에 웨이퍼(W)가 존재하도록 한다. 그러나, 하나 이상의 이러한 웨이퍼가 존재한다면, 루틴은 현재 챔버 내에서의 최단의 유지 시간을 갖는, 이동시킬 웨이퍼를 선택한다. 단계(310)에서, 로봇은 챔버(B)에 위치되어 웨이퍼(W)가 그 내부에서 완전히 처리되기를 기다린다. 단계(312)에서 로봇은 웨이퍼(W)를 챔버(B)에서 챔버(A)로 이동시킨다. 상기 이동이 완료되면, 루틴은 질문 단계(304)로 궤환한다.
대체적으로, 최상의 우선 순위 챔버는 스테이지를 스캐닝하므로써 선택된다. 이것은 각각의 챔버에 할당된 우선 순위를 모니터링하고, 최상의 우선 순위를 갖는 챔버를 위치 설정하는 것을 필요로 한다. 일반적으로, 이러한 스캐닝 처리는 각각의 챔버의 우선 순위와 관련한 정보를 포함하는 데이터 구조를 스캐닝하므로써 달성된다.
도 4는 스테이지 내의 각 챔버를 식별하고, 특성화하는 데 사용되는 실질적인 데이터 구조(400)를 도시한다. 클러스터 툴의 동작은, 전반적으로 툴에 관련된 정보, 툴의 구성 챔버 및 다양한 처리 스테이지를 포함하는 데이터 구조(400)에 의해 정의된다. 데이터 구조(400)(연계된 리스트)는 수행될 처리의 각 스테이지(i)에서 툴을 정의하는 다수개의 레코드(402)를 포함한다. 각 레코드(402)는 n 개의 헤더(404) 어레이, n개의 챔버 레코드(406) 어레이, 빈 챔버 필드(408), 활성 챔버 필드(410), 최선두의 웨이퍼 이탈 시간 지시 필드(412), 목표 챔버 필드(414) 및 총 처리 시간 필드(416)를 포함한다.(최선두 웨이퍼는 스테이지로부터 제거되어야 할 웨이퍼이다.) 각 헤더는 특정 스테이지(i)에서 각 챔버에 의해 수행되는 처리 단계를 간략하게 설명한다.
활성 챔버(즉, 세정 모드에 있지 않은 챔버)를 식별하는 각 레코드(406)는, 챔버 식별 필드(420), 웨이퍼 번호 필드(422), 웨이퍼 인입 시간 필드(424), 웨이퍼 인출 시간 필드(426), 다음 챔버 필드(428), 다음 웨이퍼 필드(430) 및 웨이퍼 카운터 필드(432)를 포함한다. 세정 모드에서의 각 챔버는 챔버 필드(420), 세정 시작 시간 필드(434) 및 챔버 준비 시간 필드(436)를 포함하는 레코드에 의해 식별된다. 각 챔버의 식별 번호는 필드(420)에 저장된다. 각 웨이퍼 번호 필드(422)는 이러한 특정 스테이지(i) 동안, 이러한 챔버에서 처리될 웨이퍼의 식별 번호를 포함한다. 웨이퍼 인입 시간 필드(424)는 처리 동안에 웨이퍼가 챔버로 인입되는 시간을 포함하는 반면에 웨이퍼 인출 시간 필드(426)는 챔버로부터 웨이퍼가 제거될 시간을 포함한다. 다음 챔버 필드는 웨이퍼에 의해 진입될 다음 챔버의 식별 번호를 포함한다. 다음 웨이퍼 필드(430)는, 곧 처리될 다음 웨이퍼가 존재하는 챔버의 챔버 식별 번호를 포함한다. 필드(432)는 마지막 세정 사이클 이후에 챔버에 의해 처리된 웨이퍼의 개수의 카운트를 포함한다. 일반적으로, 웨이퍼 카운트가 미리 설정된 값에 도달할 때, 상기 시퀀서는 세정을 위하여, 상기 챔버를 오프-라인으로 운반한다. 챔버 세정 사이클이 시작될 때, 상기 챔버는 필드(434,436)를 포함하는 레코드에 의해 식별된다. 필드(434)는 세정 사이클이 시작된 시간을 포함한다. 챔버 준비 시간 필드(436)는 세정 사이클 이후에 웨이퍼를 처리하기 위하여 다시 준비하는 시간을 포함한다.
각 스테이지 파일(402)은 또한 필드(408)내에 스테이지(i) 동안 빈 챔버의 개수와 필드(410)내에 활성 챔버의 개수를 나타낸다. 상기 최선두의 웨이퍼 지시 필드(412)는 최선두의 웨이퍼가 스테이지(i)를 이탈하여야 하는 시간을 포함한다. 목표 챔버 필드(414)는 최선두의 웨이퍼가 진입할 예정인 다음 스테이지의 챔버를 포함한다. 마지막으로, 필드(416)는 스테이지(i)에 대한 총 처리 시간 또는 스테이지(i)의 우선 순위를 포함한다. 따라서, 각 처리의 스테이지에 관련된 이러한 데이터 구조를 스캐닝하므로써, 본 발명은 신속하게 모든 챔버의 상태를 평가하고, 다음 이동에 우선 순위를 매겨, 효과적으로 웨이퍼를 한 챔버에서 다른 챔버로 이동시킨다.
II 감마-내성 웨이퍼 팩킹 루틴
웨이퍼 팩킹 루틴이 순수 직렬의 궤적에 적용될 때, 상술한 단계(4)에서는 로봇이 할 수 있는 어떠한 것도 존재하지 않기 때문에, 로봇은 챔버 내부의 웨이퍼가 처리될 때까지 챔버에서 기다려야 한다. 복합 궤적에서는, 로봇이 스테이지(S-1)에서 웨이퍼(W)가 처리되기를 기다리는 대신에 다른 웨이퍼 이송을 실시하는 것을 가능하게 한다. 이같은 개선을 용이하게 하기 위하여, 기본적인 웨이퍼 팩킹 루틴은 다음과 같이 실현된다.
1. 초기화 : S ← 0, 단계 2로 진행한다.
2. S ← S+1, S = N+1이면, 단계 9로 진행하고, 그렇지 않으면, 단계 3으로 진행한다.
3. 빈 챔버를 찾아 스테이지(S)를 스캐닝한다. 빈 챔버가 없으면, 단계 2로 진행하고, 그렇지 않으면, 단계 4로 진행한다.
4. 스테이지(S)에서 빈 챔버를 식별한다. 상기 챔버를 챔버(A)라 한다. 스테이지(S-1)를 이탈할 준비가 된 제 1 웨이퍼를 찾아 스테이지(S-1)를 스캐닝한다. 챔버(B)에 웨이퍼(W)를 둔다. 차이를 계산한다.
Tremains: Tfinish-Tnow-Trobot
여기에서 Tfinish는 챔버(B)가 웨이퍼(W) 처리를 종료하는데 예상되는 시간이며, Tnow는 현재 시간이고, Trobot은 로봇이 로봇의 현재 위치에서 챔버(B)로 이동하는 데 요구하는 시간이다. Tfinish가 양의 값이 아니면, 단계 5로 진행하고, 그렇지 않으면, 단계 6으로 진행한다.
5. 로봇을 챔버(B)에 위치시켜, 웨이퍼(W)를 챔버(B)에서 챔버(A)로 이동시킨다. 데이터 구조를 업데이트하고, 단계 1로 진행한다.
6. 스테이지(t,t-1) 내의 한 쌍의 챔버(X,Y)가 개별적으로 발견되어, 챔버(X)는 비어있고, 시간( Tmeanwhile: =Zrobot+Twait )이 최소가 될 때까지, 모든 스테이지를 스캐닝하며, 스테이지(0)에서 시작하여, 상기 2와 3을 적용한다. Twait는 챔버(Y)에서 기다리는 데 예상되는 시간인 반면에, Zrobot는 로봇의 현 위치에서 Y로의 회전 시간과 Y에서 X로의 웨이퍼 이송 시간을 더한 것이다. 단계 7 로 진행한다.
7. Tremains/ Tmeanwhileγ이면, 단계 5로 진행하고, 그렇지 않으면, 단계 8 로 진행한다.
8. 챔버(Y)에 로봇을 위치시켜, 필요하다면 기다리고, 웨이퍼를 챔버(Y)에서 챔버(X)로 이동시킨다.
Tremains← Tremains- Tmeanwhile..Tremains가 양이 아니면, 단계 5로 진행하고, 그렇지 않으면, 단계 6으로 진행한다.
9. 우선 스테이지(N)를 이탈할 준비가 된 웨이퍼를 갖는 챔버에 로봇을 위치시킨다. (처리된)웨이퍼를 스테이지(N)에서 로드락으로 이동시킨 후, 데이터 구조를 업데이트 하고, 단계 1로 진행한다.
상술한 루틴에 해당하는 순서도는 도 5에 대하여 설명된다. γ가 1 보다 작지 않으면, 단지 챔버(B)에서 챔버(A)로 이송하는 것이 늦어지지 않을 경우에만(단계 6에서 요구되는 챔버(X,Y)쌍을 찾는 것이 제공된다면), 로봇은 다른 웨이퍼 이송을 실시한다. γ가 1 보다 작으면, 챔버(B)에서 목표 챔버(A)로의 웨이퍼(W) 이송이 지연되는 것이 허용된다.
도 5는 감마(γ) 내성 웨이퍼 팩킹 루틴(500)으로 공지된, 개선된 웨이퍼 팩킹루틴의 블록도를 도시한다. 상기 루틴은 단계(502)에서 시작하여 단계(504)로 진행한다. 단계(504)에서, 루틴은 최고 상부의 빈 챔버(예를 들면, 챔버(CX))를 선택한다. 그러나, 빈 챔버가 없다면, 루틴은 로드락을 선택한다. 단계(506)에서, 루틴은 챔버(CX)에서 사용할 제 1 유용 웨이퍼(W)를 스테이지(i-1)에서 선택한다. 챔버(Cy) 내의 웨이퍼(W)를 제 1 유용 웨이퍼로 하고, Tfinish는 웨이퍼(W)가 챔버(Cy)를 이탈할 준비가 된 순간이다. 단계(508)에서, 루틴은 웨이퍼의 처리를 완료시키기 위한 처리 유지 시간을 계산한다. 웨이퍼를 완성하는 데 예상되는 시간과 동등한 처리 유지 시간에서 현재 시간을 감한다. 이것은 Tremains: =Tfinish-Tnow 로서 표시되며, 여기에서 Tnow는 현재 시간이다. 단계(510)에서, 루틴은 Tremains가 0 보다 큰지를 질문한다. 만약 질문이 부정적으로 대답되면, 루틴은 단계(512)로 진행하며, 여기에서, 로봇은 챔버(Cy)에 위치되어 웨이퍼(W)를 챔버(Cy)에서 챔버(Cx)로 이동시킨다. 처리 유지 시간이 0보다 크지 않기 때문에, 웨이퍼가 이송될 때까지, 로봇은 챔버(Cy)에서 짧은 기간 동안 기다릴 수 있다. 웨이퍼가 이송되면, 루틴은 다음 웨이퍼를 이송하기 위하여 단계(504)로 궤환하여 이동될 다음 웨이퍼를 선택한다. 단계(510)에서의 질문이 긍정적으로 대답되면, 루틴은 단계(514)로 진행한다. 단계(514)에서 루틴은 챔버(Cy)에서 챔버(Cx)로의 이송이 완료되기 이전에 존재하는 시간 사이에서 완료될 수 있는 다른 웨이퍼 이송을 검색한다. 다시 말하면, 처리 유지 시간(Tremains)내에서 형성되는 다른 이송을 찾는다, 다른 이동을 완료하기 위하여 요구되는 시간은 Tmeanwhile로 나타난다. 이러한 시간은, 예상되는 로봇의 회전 시간과 챔버가 완전한 처리되기 이전에 선택된 챔버에서의 예상되는 대기 시간을 더하므로써 계산된다. 다시 말하면, Tmeanwhile은 Trobot+ Twait와 동일하다. 여기에서 Trobot은 로봇 회전 시간이고, Twait는 새로운 챔버에서의 대기 시간이다. 단계(516)에서, Tmeanwhile은 Tremains으로 나누어지고, 문턱 값γ과 비교된다. 상기 나누기는 로봇이 챔버(Cy)에서 웨이퍼가 이용되기 전에 다른 웨이퍼를 이송하는 데 충분한 시간을 갖을 수 있는 지의 여부를 나타내는 몫을 발생시킨다. 상기 몫이 γ와 동일하거나 작다면, 로봇은 다른 이송을 수행하기에 충분한 시간을 가질 수 없고, 이를테면, 루틴은 챔버(Cy)에서 챔버(Cx)로의 이송을 완료하는 단계(512)로 진행한다. 그러나, 몫이 γ 보다 크다면, 로봇은 챔버(Cy)에서 준비된 웨이퍼를 기다리는 동안에 다른 이송을 달성하기에 충분한 시간을 갖으며, 이를테면 단계(516)에서의 질문은 긍정적으로 대답된다. 결과적으로, 루틴은 단계(516)에서 다른 웨이퍼가 이송되는 단계(518)로 진행한다. 챔버(Cy)에서 웨이퍼가 이송을 위해 이용되기 이전에 다른 이송이 완료되는 지의 여부를 계산하기 위하여, 루틴은 단계(508)로 궤환한다. 대체적으로, γ의 값이 1이며; 따라서, 몫이 1보다 크면, 로봇은 다른 웨이퍼 이송을 실시한다. 그러나 몫이 1보다 작다면, 지연은 쓰루풋에 역으로 영향을 미치지 않기 때문에, 챔버(Cy)에서 챔버 (Cx)로 웨이퍼(W)를 이동시키는 데 있어서, 이러한 지연이 허용되어야 한다.
그들의 내재된 간략화, 동작 시간의 낮은 복잡성 및 구현의 간편함과는 별도로, 우선 순위에 기초한 웨이퍼 팩킹 루틴의 중요성은, 클러스터 툴을 통과하는 웨이퍼의 흐름에 대한 원활한 효과로부터 유래한다. 가시적인 병목(bottleneck) 스테이지는 이송 효과를 초래한다. 예를 들어 스테이지(S)가 단지 가시적인 병목 스테이지이라면, 스테이지(S+1,S+2,……,N)는 빈 챔버를 포함하기 쉬운 반면에, 궤적에서, 한 단편은 각 챔버를 이탈하려는 스테이지(1,2,……,S-1)에서의 웨이퍼를 보여줄 것이다. 웨이퍼 팩킹 루틴이 최상의 우선 순위(낮은 스테이지 번호)의 빈 챔버를 사용하여 시작하기 때문에, 스테이지(S+1)에서 가장 용이하게 챔버를 선택할 수 있으므로, 우선 병목 스테이지를 세정한다. 결과적으로, 루틴이 병목 스테이지로부터 유래되는 이송 효과를 최소화하는 경향이 있는 의미에서, 웨이퍼 팩킹 루틴은 적응성이 있다.
III. SPT(Scheduling Based on Processing Time)
챔버(C1, C2,……, Cn) 모두가 동일한 스테이지(S)에 속한다면, T1, T2,……, Tn은 각 챔버 처리 시간이고, 스테이지(S)의 총 처리 시간(DS)은 1/DS= 1/T1+1/T2+ ……,+1/Tn으로 계산된다. 시간에 있어서, 소정의 지점(즉, 챔버 세정 동작)에서 챔버(Ci)가 유용하지 않다면, 챔버의 처리 시간은 무한하게 설정되며, 상술한 공식은 유효하다. 스테이지(k)의 우선 순위가 양의 정수(Gk)로 할당되어, 순서(q1, q2, ……, qn)는 총 처리 시간(D1, D2, ……, Dn)과 다음과 같이 관련된다.: |Di- Dj|≤Λ이면, q1= q2이고, |Di- Dj| Λ 이면, q1q2이며, 여기에서 Λ는 양의 수이다. Λ를 변화시키므로써, 루틴은 능동적으로 특별한 처리 순서에 대하여 우선 순위를 할당한다. 챔버 세정 작용에 기인하여, 이러한 우선 순위는 툴의 동작중에는 고정되지 않는다. 따라서 챔버 세정이 초기화되거나 완료되는 매시간
마다, 우선 순위는 재 계산되어야 한다.
스테이지 내의 모든 챔버가 동일한 처리를 수행한다면(보통의 경우), 그들 모두 각 단계의 우선 순위를 내재한다; 그렇지 않으면, 그들은 그들의 개별적인 처리 시간에 기초한 스테이지 내에서의 상이한 우선 순위가 할당된다(처리 시간이 길수록, 더 높은 우선 순위를 의미한다). 스테이지 처리 시간에 기초한 우선 순위의 보다 중요한 정의는 Di만을 사용하는 대신에 수(Di+ei)를 사용하며, 여기에서 ei는 스테이지(i)의 총 교환 시간(예를 들어 처리에 따른 기다림 없이, 로봇이 웨이퍼(Wi)를 후속 웨이퍼(Wi+1)로 대신하는 데 요구되는 시간)이다.
기본적인 SPT루틴은 다음과 같이 동작한다;
0. 우선 순위를 재 계산하고, 단계 1로 진행한다.
1. 빈 챔버를 갖는 최상의 우선 순위를 찾기 위하여, 모든 스테이지를 스캐닝한다. 동일한 우선 순위를 갖는 하나 이상의 스테이지가 존재한다면, 최저의 스테이지 번호를 선택한다. 빈 챔버를 갖는 스테이지가 존재하지 않는다면, 단계 2로 진행하고; 그렇지 않다면, 단계 3으로 진행한다.
2. 우선 스테이지(N)에서 이탈하는 웨이퍼를 갖는 챔버에 로봇을 위치시킨다. 웨이퍼가 스테이지(N)에서 로드락으로 이동된 후, 데이터 구조를 업데이트 하고, 단계 1로 진행한다.
3. 상기 단계 1에서 선택된 스테이지를 스테이지(S)라 하고, 챔버(A)를 가장 긴 처리 시간( 하나 이상의 빈 챔버가 존재할 경우)을 갖는 스테이지(S)내의 빈 챔버라 하자. 챔버(A)를 찾고 레코딩한 후, 단계 4로 진행한다.
4. 챔버(A)를 목표 챔버로 갖는 스테이지(S-1)내에서, 모든 활성 챔버 세트를 찾는다. 챔버(B)내의 웨이퍼(W)를 스테이지(S-1)를 이탈할 제 1 웨이퍼로 한다. 로봇을 챔버(B)에 위치 설정하여, 필요하다면, 기다린 후, 웨이퍼(W)를 챔버(B)에서 챔버(A)로 이동시킨다. 데이터 구조를 업데이트 하고, 단계 0으로 진행한다.
도 6은 본 발명에 따른 제 3 실시예의 순서도를 도시한다. 루틴(600)은 단계(602)에서 시작하여, 단계(604)로 진행한다. 단계(604)에서, 스테이지에 대한 우선 순위는 상술한 바와 같이 재 계산된다. 단계(606)에서 루틴은 모든 챔버가 차 있는 지의 여부를 질문한다. 모든 챔버가 차 있을 경우, 루틴은 단계(608)로 진행하고, 상기 단계(608)에서 시스템은 로봇을 필요하다면 로봇이 기다려야 하는 마지막 단계에 위치시킨다. 이어, 로봇은 로드락으로 제 1 준비 웨이퍼를 이동시킨다. 이어 루틴은 총 처리 시간의 모든 변화를 고려하여 우선 순위가 재 계산되는 단계(604)로 궤환한다. 단계(606)에서의 질문이 부정적으로 응답되면, 루틴은 단계(610)로 이동하며, 상기 단계(610)에서는 처리가 최상의 우선 순위를 갖는 챔버를 선택하여 웨이퍼(W)가 목표가 챔버(CA)인 챔버(CB) 내에 존재하게 된다. 모든 챔버가 동일한 우선 순위를 갖는다면, 루틴은 최저의 스테이지 번호를 선택한다. 단계(612)에서, 로봇은 챔버(CB)에 위치되어 웨이퍼(W)가 완벽하게 처리되기를 기다린다. 단계(614)에서, 로봇은 웨이퍼(W)를 챔버(CB)에서 챔버(CA)로 이동시키고, 스테이지 내의 모든 챔버에 대하여 우선 순위가 재차 계산되는 단계(604)로 궤환한다. 새로운 우선 순위는 이러한 궤적, 예를 들어 도 4의 필드(416)에 대한 데이터 구조로 저장된다.
도 7은, 본 발명에 따른, 능동적인 우선 순위 설정의 스케줄링 루틴(도 6)을 이용한 γ 내성의 스케줄링 루틴(도 5)의 특성을 갖는 제 4 실시예의 순서도를 도시한다. 도 7의 루틴(700)은 단계(702)에서 시작하여 단계(704)로 진행한다. 단계(704)에서 우선 순위는 각 챔버에 대하여 계산된다. 단계(706)에서, 루틴은 최상의 우선 순위를 갖는 빈 챔버(예를 들어 챔버(CX))를 선택한다. 빈 챔버가 존재하지 않는다면, 루틴은 로드락을 선택한다. 단계(708)에서, 루틴은 제1 유용 웨이퍼를 스테이지(i-1)에서 선택하여, 챔버(Cx)로 보낸다. 상기 루틴의 처리 유지 단계(단계(710)에서 단계(720))는 도 5를 참조하여 설명한 바와 같이 정확하게 동작하며, 단계(508)에서 단계(518)는 할당된 우선 순위와 특정 웨이퍼 이동의 감마 내성에 기초한 클러스터 툴 내에서 여러 웨이퍼를 이동시킨다. 이과 같이 도 7의 추가 설명은 필요하지 않고, 독자도 도 5의 설명을 복습하게 될 것이다.
IV. 로봇 범위 스케줄링
로봇 범위 스케줄링 루틴은 로봇 범위의 혼합 궤적용으로 사용된다. 루틴은 챔버(Cx)는 빈 목표 챔버이며, 챔버(Cy)는 웨이퍼(W)를 포함하고, 웨이퍼(W)를 챔버(Cy)에서 챔버(Cx)로 이동시키는 시간(Tmove= Trobot+ Twait)이 최소인 후보 챔버(Cx,Cy)를 선택한다. Twait는 로봇이 챔버(Cy)를 이탈을 준비하는 것을 챔버(Cy)에서 기다리는 데 요구되는 시간이 반면에, Trobot은 챔버(Cy)에서 로봇이 현재 위치로부터 정위치에 도달하는 데 필요로 하는 시간과 웨이퍼(W)가 챔버(Cy)에서 목표 챔버(Cx)로 이동하는 시간의 합이다. 명확하게 0(m) 시간이 가장 작은 값의 Tmove를 찾는데 걸리게 되며, 여기에서 m은 챔버의 번호이고, 0(m)은 잘 알려진 프로그램 복잡도의 척도이다.
로봇 로드락 스케줄링 루틴은 다음과 같은 형식을 갖는다.
1. 초기화: S ← 0 , Tmove← ∞, 새 위치 ← 없음 및 목표 ← 없음이며, 단계 2로 진행한다.
2. S ← S+1, S= N+2 이면, 단계 5로 진행하고 그렇지 않으면, 단계 3으로 진행한다.
3. 빈 챔버를 찾아 스테이지를 스캐닝한다. 빈 챔버가 없으면, 단계 2로 궤환하고, 그렇지 않으면, 단계 4로 진행한다.
4. 스테이지(S)내에서의 각각의 빈 챔버에 대하여 Trobot+ Twait를 계산한다. fs를 챔버(Cx, Cy)의 쌍에 대하여 성취된 스테이지(S) 내에서 Trobot+ Twait의 최소 값이라고 하며, 여기에서, Cx는 스테이지(S)내에 존재하고, Cy는 스테이지(S-1) 내에 존재한다. fsTmin'이면, 단계 2로 궤환하고, 그렇지 않으면 Tmin← fs이고, 새 위치← 없음 및 목표← 없음을 실행하고, 단계 2로 궤환한다.
5. 로봇을 새 위치의 챔버로 이동시켜, 필요하다면 기다린 후, 웨이퍼를 새 위치의 챔버에서 목표 챔버로 이동시킨다. 데이터 구조를 업데이트 하고, 단계 1로 궤환한다.
순서도는 도 8에서 로봇 로드락 스케줄링 루틴의 구현을 도시한다. 상술한 단계 4에 함축적인 검색이 존재한다. 스테이지(S)내의 각 빈 챔버를 대신하여, Tmove의 값이 스테이지(S-1) 내의 비어있지 않은 챔버에 대하여 계산된다. 챔버Cs를 스테이지(S)내의 빈 챔버라 하고, hs를 챔버(Cs)에 대한 모든 시간 간격(Tmin)의 최소 값이라 하자. (최대 n-1 개의 hs값이 존재하며, 여기에서 ns는 스테이지(S)의 크기이다)이어 단계 4에서 fs는 스테이지(S)내의 모든 빈 챔버에 걸쳐 hs중 가장 작은 값이 된다.
로드락은 양쪽 스테이지(0)와 스테이지(N+1)이다. 로드락이 스테이지(0)으로 취급될 때, 항상 제거되어야 할 유용 웨이퍼가 존재한다. 스테이지(0)에 대하여 Twait=0 이므로, 로드락은 종종 새로운 위치의 챔버에 대한 후보가 되기 쉽다. 로드락이 스테이지(N+1)로 판단될 때, 항상 웨이퍼를 인입시킬 수 있는 빈 공간이 존재한다.
도 8은 본 발명에 관한 제 4 실시예의 순서도를 도시한다. 루틴(800)은 단계(802)에서 시작하여 단계(804)로 진행한다. 단계(804)에서 스테이지 번호가 1인, 예를 들어 로드락에 이어 제 1 챔버로 설정된다. 로드락은 양쪽 스테이지(0)와 스테이지(N+1)이라는 것을 주지하라. 단계(806)에서, 루틴은 웨이퍼를 스테이지(S-1)에서 스테이지(S)로 이동시키는 가장 짧은 시간을 결정한다.;(예를 들어 Tmove'를 계산한다. 단계(808)에서, 루틴은 스테이지(1, 2, ……, S)에 대하여 전반적으로 가장 짧은 시간(Tmin)을 레코딩하고, 해당하는 새로운 위치와 목표 챔버를 레코딩한다. 단계(810)에서, 스테이지 번호는 1씩 증가된다. 단계(812)에서, 루틴은 스테이지 번호가 N+2 인지를 질문한다. 상기 질문이 부정적으로 대답되면, 루틴은 경로(814)를 따라 단계(806)로 궤환한다. 단계(812)에서의 질문이 긍정적으로 대답되면, 루틴은 단계(816)로 진행한다. 단계(816)에서, 로봇은 새로운 위치로 이동하여 그곳에서 웨이퍼가 완벽하게 처리되기를 기다리고, 이어 로봇은 웨이퍼를 목표 챔버로 이송한다. 루틴(800)은 단계(804)로 궤환한다.
루틴(800)의 단계(808)에는 함축적인 검색이 존재한다. 스테이지(S)내의 각각의 빈 챔버에 대신에, Tmove의 값이 스테이지(S-1)내의 각각의 비어있지 않은 챔버에 대하여 계산된다. 챔버(Cs)를 스테이지(S)내의 빈 챔버라고 하고, hs를 상기 챔버(Cs)에 대하여 모든 시간 간격(Tmin)의 최소 값이라 하자( 최대 ns-1개의 hs의 값이 존재하며, 여기에서 ns는 스테이지(S)의 크기이다). 이어 단계(808)에서, fs는 스테이지(S)내의 모든 빈 챔버에 걸쳐 hs중 가장 작은 값이 된다.
본 발명에 관한 다양한 실시예는 종래의 기술의 쓰루풋 보다 향상된 쓰루풋을 갖는 복수의 처리 챔버를 갖는 웨이퍼 처리 장치의 제공을 가능케 한다. 어플라이드 머티리얼사에 의해 제작된 인듀러 클러스터 툴과 본 발명에 관한 다양한 실시예의 모델링을 통하여 생성된 모의 데이터는 종래 기술의 스케줄링 루틴에 대한 모의 데이터와 비교된 쓰루풋에 있어서 2.4 내지 20 퍼센트의 향상을 보여준다.
여기에서 본 발명의 사상을 구현하는 다양한 실시예가 보여지고, 상세하게 설명되었지만, 첨부된 청구 범위에 의해 한정되는 바와 같은 본 발명의 사상을 일탈하지 않는 범위 내에서 다양한 변형이 가능함은 본 발명이 속하는 기술 분야의 당업자에게는 명백하다.
웨이퍼 팩킹, SPT 및 로봇 로드락(RB) 스케줄링 루틴으로 구성된 본 발명의 웨이퍼 처리 방법과 그에 따른 처리 장치에 의해 복수 챔버를 갖는 반도체 웨이퍼 처리 장치의 쓰루풋을 향상시킬 수 있다.

Claims (18)

  1. 복수 챔버로 이루어진 반도체 웨이퍼 처리 시스템내에서 처리용 반도체 웨이퍼를 스케줄링하는 방법에 있어서,
    상기 복수 챔버의 각 챔버에 우선 순위를 할당하는 단계;
    최상의 우선 순위를 갖는 챔버를 선택하는 단계; 및
    선택된 챔버의 할당된 우선 순위에 따라, 선택된 챔버에서 목표 챔버로 웨이퍼를 이동시키는 단계를 포함하는 것을 특징으로 하는 방법.
  2. 제 1 항에 있어서, 다수개의 챔버가 최상의 우선 순위를 갖는다면, 상기 선택 단계는 최상의 우선 순위를 갖고 최단의 처리 유지 시간을 갖는 챔버를 선택하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  3. 제 1 항에 있어서, 선택된 챔버에 대한 처리를 완료하기 위한 처리 유지 시간(Tremains)을 결정하는 단계;
    적어도 하나의 다른 챔버에서 웨이퍼 이동을 수행하기 위한 이동시간(Tmeanwhile)을 계산하는 단계; 및
    상기 이동시간을 상기 처리 유지 시간으로 나누어서 몫을 산출하는 단계;
    상기 몫을 임계값과 비교하는 단계를 더 포함하며,
    상기 몫이 임계값 보다 작으면, 상기 웨이퍼를 상기 선택된 챔버로 이송하고,
    상기 몫이 임계값 보다 크면, 상기 웨이퍼를 다른 챔버로 이송하는 것을 특징으로 하는 방법.
  4. 제 1 항에 있어서, 각 챔버에 대한 처리 시간에 응답하여 각 챔버에 우선 순위를 동적으로 할당하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  5. 제 4 항에 있어서, 웨이퍼를 이동시킨 후, 상기 우선 순위를 재계산하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  6. 제 3 항에 있어서, 각 챔버에 대한 처리 시간에 응답하여 각 챔버에 우선 순위를 동적으로 할당하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  7. 제 6 항에 있어서, 웨이퍼를 이동시킨 후, 상기 우선 순위를 재계산하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  8. 제 1 항에 있어서, 상기 할당 단계는 웨이퍼가 내부에서 이동될 때까지 최단의 시간을 갖는 챔버에 최상의 우선 순위를 할당하고, 웨이퍼가 내부에서 이동될 때까지 가장 긴 시간을 갖는 챔버에 최저의 우선 순위를 할당하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  9. 복수 챔버로 이루어진 반도체 웨이퍼 처리 시스템내에서 처리용 반도체 웨이퍼를 스케줄링하는 장치에 있어서,
    상기 복수 챔버의 각 챔버에 우선 순위를 할당하고, 최상의 우선 순위를 갖는 챔버를 선택하기 위한 시퀀서; 및
    상기 시퀀서와 결합되고, 선택된 챔버에 할당된 우선 순위에 따라 상기 선택된 챔버에서 목표 챔버로 웨이퍼를 이동시키기 위한 웨이퍼 이송 로봇을 포함하는 것을 특징으로 하는 장치.
  10. 제 9 항에 있어서, 다수개의 챔버가 최상의 우선 순위를 갖는다면, 상기 시퀀서는 최상의 우선 순위를 갖고 최단의 처리 유지 시간을 갖는 챔버를 선택하는 것을 특징으로 하는 장치.
  11. 제 9 항에 있어서, 상기 시퀀서는 선택된 챔버에 대한 처리를 완료하는 처리 유지 시간(Tremains)을 측정하는 수단;
    적어도 하나의 다른 챔버에서 웨이퍼 이동을 수행하기 위한 이동시간(Tmeanwhile)을 계산하는 수단;
    몫을 산출하기 위해 상기 이동시간(Tmeanwhile)을 상기 처리 유지 시간(Tremains)으로 나누는 수단; 및
    상기 몫을 임계값과 비교하는 수단을 포함하고,
    상기 몫이 임계값 보다 작으면, 상기 웨이퍼 이송 로봇이 상기 웨이퍼를 상기 선택된 챔버로 이송하고,
    상기 몫이 임계값 보다 크면, 상기 웨이퍼 이송 로봇이 상기 웨이퍼를 다른 챔버로 이송하는 것을 특징으로 하는 장치.
  12. 제 9 항에 있어서, 상기 시퀀서는, 각 챔버에 대한 처리 시간에 응답하여 각 챔버에 우선 순위를 동적으로 할당하는 수단을 더 포함하는 것을 특징으로 하는 스케줄링 장치.
  13. 제 12 항에 있어서, 상기 시퀀서는, 웨이퍼를 이동시킨 후 상기 우선 순위를 재계산하는 수단을 더 포함하는 것을 특징으로 하는 장치.
  14. 제 11 항에 있어서, 상기 시퀀서는, 각 챔버에 대한 처리 시간에 응답하여 각 챔버에 우선 순위를 동적으로 할당하는 수단을 더 포함하는 것을 특징으로 하는 장치.
  15. 제 14 항에 있어서, 상기 시퀀서는, 웨이퍼를 이동시킨 후 상기 우선 순위를 재계산하는 수단을 더 포함하는 것을 특징으로 하는 장치.
  16. 제 9 항에 있어서, 상기 시퀀서는, 웨이퍼가 내부에서 이동될 때까지 최단의 시간을 갖는 챔버에 최상의 우선 순위를 할당하고, 웨이퍼가 내부에서 이동될 때까지 가장 긴 시간을 갖는 챔버에 최저의 우선 순위를 할당하는 수단을 더 포함하는 것을 특징으로 하는 장치.
  17. 컴퓨터 메모리에 저장된 데이터 구조에 있어서,
    상기 데이터 구조는 클러스터 툴을 통과하는 하나 이상의 웨이퍼의 궤도를 결정하기 위하여 시퀀서에 의해 사용되며, 상기 클러스터 툴 내부에서 챔버의 우선 순위를 결정하는 적어도 하나의 필드를 포함하는 것을 특징으로 하는 데이터 구조.
  18. 제 17 항에 있어서, 각 스테이지의 궤도를 결정하는 다수개의 필드를 더 포함하는 것을 특징으로 하는 데이터 구조.
KR1019970053879A 1996-10-21 1997-10-21 복수 챔버로 이루어진 반도체 웨이퍼 처리 장치내에서 우선 순위에 기초한 웨이퍼 처리의 스케줄링 방법 및 장치 KR19980032999A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/735,370 US5928389A (en) 1996-10-21 1996-10-21 Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US8/735,370 1996-10-21

Publications (1)

Publication Number Publication Date
KR19980032999A true KR19980032999A (ko) 1998-07-25

Family

ID=24955471

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970053879A KR19980032999A (ko) 1996-10-21 1997-10-21 복수 챔버로 이루어진 반도체 웨이퍼 처리 장치내에서 우선 순위에 기초한 웨이퍼 처리의 스케줄링 방법 및 장치

Country Status (5)

Country Link
US (3) US5928389A (ko)
EP (1) EP0837494A3 (ko)
JP (1) JPH10189687A (ko)
KR (1) KR19980032999A (ko)
TW (1) TW352453B (ko)

Families Citing this family (593)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US5975740A (en) * 1996-05-28 1999-11-02 Applied Materials, Inc. Apparatus, method and medium for enhancing the throughput of a wafer processing facility using a multi-slot cool down chamber and a priority transfer scheme
TW539918B (en) * 1997-05-27 2003-07-01 Tokyo Electron Ltd Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US6201999B1 (en) * 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
WO1999006110A1 (en) * 1997-07-29 1999-02-11 Silicon Genesis Corporation Cluster tool method and apparatus using plasma immersion ion implantation
JP3319993B2 (ja) * 1997-09-10 2002-09-03 東京エレクトロン株式会社 被処理体のデッドロック判定方法、被処理体のデッドロック回避方法及び処理装置
KR19990027324A (ko) * 1997-09-29 1999-04-15 윤종용 웨이퍼 인식 시스템을 구비하는 멀티 챔버 시스템과 이를 이용한 웨이퍼 가공방법
US6122566A (en) * 1998-03-03 2000-09-19 Applied Materials Inc. Method and apparatus for sequencing wafers in a multiple chamber, semiconductor wafer processing system
US6168672B1 (en) * 1998-03-06 2001-01-02 Applied Materials Inc. Method and apparatus for automatically performing cleaning processes in a semiconductor wafer processing system
US6035245A (en) * 1998-03-24 2000-03-07 Advanced Micro Devices, Inc. Automated material handling system method and arrangement
US6336204B1 (en) * 1998-05-07 2002-01-01 Applied Materials, Inc. Method and apparatus for handling deadlocks in multiple chamber cluster tools
WO1999060614A1 (en) * 1998-05-18 1999-11-25 Applied Materials, Inc. A wafer buffer station and a method for a per-wafer transfer between work stations
JP2000058615A (ja) * 1998-07-31 2000-02-25 Promos Technol Inc 複数のウエハーの同時処理方法
DE19839023A1 (de) * 1998-08-27 2000-03-09 Wacker Siltronic Halbleitermat Verfahren und Vorrichtung zur Herstellung von epitaxierten Halbleiterscheiben mit einer Schutzschicht
EP1046090B1 (en) * 1998-10-02 2004-12-29 Philips Electronics N.V. Multistage production method and system
TW484184B (en) * 1998-11-06 2002-04-21 Canon Kk Sample separating apparatus and method, and substrate manufacturing method
JP2000150836A (ja) 1998-11-06 2000-05-30 Canon Inc 試料の処理システム
JP3979750B2 (ja) * 1998-11-06 2007-09-19 株式会社荏原製作所 基板の研磨装置
JP4343295B2 (ja) * 1998-11-06 2009-10-14 キヤノン株式会社 試料の処理システム
US6672358B2 (en) 1998-11-06 2004-01-06 Canon Kabushiki Kaisha Sample processing system
JP4212707B2 (ja) * 1998-11-26 2009-01-21 スピードファム株式会社 ウエハ平坦化システム及びウエハ平坦化方法
US7077159B1 (en) * 1998-12-23 2006-07-18 Applied Materials, Inc. Processing apparatus having integrated pumping system
US6616394B1 (en) 1998-12-30 2003-09-09 Silicon Valley Group Apparatus for processing wafers
KR100508679B1 (ko) * 1998-12-31 2005-08-17 에이에스엠엘 유에스, 인크. 기판 처리 시스템을 동기화시키는 방법 및 장치
US6418356B1 (en) 1998-12-31 2002-07-09 Silicon Valley Group, Inc. Method and apparatus for resolving conflicts in a substrate processing system
US6768930B2 (en) 1998-12-31 2004-07-27 Asml Holding N.V. Method and apparatus for resolving conflicts in a substrate processing system
US6678572B1 (en) * 1998-12-31 2004-01-13 Asml Holdings, N.V. Recipe cascading in a wafer processing system
ATE302438T1 (de) * 1998-12-31 2005-09-15 Silicon Valley Group Verfahren zum synchronisieren eines substratbehandlungssystems
US6865437B1 (en) * 1998-12-31 2005-03-08 Asml Holdings N.V. Robot pre-positioning in a wafer processing system
US6328815B1 (en) * 1999-02-19 2001-12-11 Taiwan Semiconductor Manufacturing Company Multiple chamber vacuum processing system configuration for improving the stability of mark shielding process
US6745093B1 (en) * 1999-03-17 2004-06-01 Hitachi, Ltd. Vacuum process apparatus and method of operating the same
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
KR100510065B1 (ko) 1999-06-22 2005-08-26 주식회사 하이닉스반도체 반도체 제조를 위한 오버레이 장비 자동화 방법
KR100508680B1 (ko) * 1999-06-23 2005-08-17 실리콘 밸리 그룹, 인크. 웨이퍼 공정 시스템에서 로봇의 선 위치결정
JP2001093791A (ja) * 1999-09-20 2001-04-06 Hitachi Ltd 真空処理装置の運転方法及びウエハの処理方法
US6748960B1 (en) 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
CA2387341A1 (en) * 1999-11-02 2001-05-10 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
JP3555107B2 (ja) * 1999-11-24 2004-08-18 ソニー株式会社 脚式移動ロボット及び脚式移動ロボットの動作制御方法
US6730598B1 (en) * 1999-12-30 2004-05-04 Intel Corporation Integration of annealing capability into metal deposition or CMP tool
US6519498B1 (en) * 2000-03-10 2003-02-11 Applied Materials, Inc. Method and apparatus for managing scheduling in a multiple cluster tool
US6470231B1 (en) * 2000-04-21 2002-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for auto dispatching wafer
US6839603B2 (en) * 2000-05-09 2005-01-04 Tokyo Electron Limited Semiconductor manufacturing system and control method thereof
US6759255B2 (en) 2000-05-10 2004-07-06 Kla-Tencor Technologies Corp. Method and system for detecting metal contamination on a semiconductor wafer
US7404681B1 (en) * 2000-05-31 2008-07-29 Fsi International, Inc. Coating methods and apparatus for coating
JP2001345241A (ja) * 2000-05-31 2001-12-14 Tokyo Electron Ltd 基板処理システム及び基板処理方法
JP4915033B2 (ja) 2000-06-15 2012-04-11 株式会社ニコン 露光装置、基板処理装置及びリソグラフィシステム、並びにデバイス製造方法
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6235656B1 (en) 2000-07-03 2001-05-22 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
US6562141B2 (en) 2000-07-03 2003-05-13 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
EP1303870A2 (en) * 2000-07-26 2003-04-23 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
US6745783B2 (en) * 2000-08-01 2004-06-08 Tokyo Electron Limited Cleaning processing method and cleaning processing apparatus
US6701203B2 (en) * 2000-09-08 2004-03-02 International Business Machines Corporation Determining the capacity components of multi chamber systems
US6812045B1 (en) 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US6633831B2 (en) 2000-09-20 2003-10-14 Kla Tencor Technologies Methods and systems for determining a critical dimension and a thin film characteristic of a specimen
US6673637B2 (en) 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US6782337B2 (en) 2000-09-20 2004-08-24 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension an a presence of defects on a specimen
US7188142B2 (en) 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
US6983195B2 (en) * 2000-12-08 2006-01-03 Tokyo Electron Limited Semiconductor processing system and method of transferring workpiece
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
US6825447B2 (en) * 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6953392B2 (en) * 2001-01-05 2005-10-11 Asm Nutool, Inc. Integrated system for processing semiconductor wafers
US7172497B2 (en) * 2001-01-05 2007-02-06 Asm Nutool, Inc. Fabrication of semiconductor interconnect structures
TW526530B (en) * 2001-01-26 2003-04-01 Applied Materials Inc Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
US20020147960A1 (en) * 2001-01-26 2002-10-10 Applied Materials, Inc. Method and apparatus for determining scheduling for wafer processing in cluster tools with integrated metrology and defect control
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US20040259348A1 (en) * 2001-02-27 2004-12-23 Basol Bulent M. Method of reducing post-CMP defectivity
TWI222154B (en) * 2001-02-27 2004-10-11 Asm Nutool Inc Integrated system for processing semiconductor wafers
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
KR20020071393A (ko) * 2001-03-06 2002-09-12 주식회사 아이피에스 자동연속 웨이퍼가공시스템 및 그를 이용한 웨이퍼가공방법
US6734020B2 (en) * 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
KR20020072449A (ko) * 2001-03-10 2002-09-16 주식회사 아이피에스 자동연속 웨이퍼가공시스템 및 그를 이용한 웨이퍼가공방법
KR20020072448A (ko) * 2001-03-10 2002-09-16 주식회사 아이피에스 자동연속 웨이퍼가공시스템 및 그를 이용한 웨이퍼가공방법
KR20020073931A (ko) * 2001-03-17 2002-09-28 주식회사 아이피에스 자동연속 웨이퍼가공시스템 및 그를 이용한 웨이퍼가공방법
US20020142568A1 (en) * 2001-03-27 2002-10-03 Taiwan Semiconductor Manufacturing Co., Ltd., Method and system for efficiently scheduling multi-chamber fabrication tool capacity
KR20020076039A (ko) * 2001-03-27 2002-10-09 주식회사 아이피에스 자동연속 웨이퍼가공시스템 및 그를 이용한 웨이퍼가공방법
US6762121B2 (en) * 2001-04-04 2004-07-13 International Business Machines Corporation Method of forming refractory metal contact in an opening, and resulting structure
US6535784B2 (en) 2001-04-26 2003-03-18 Tokyo Electron, Ltd. System and method for scheduling the movement of wafers in a wafer-processing tool
JP2002353081A (ja) 2001-05-25 2002-12-06 Canon Inc 板部材の分離装置及び分離方法
JP2002353423A (ja) * 2001-05-25 2002-12-06 Canon Inc 板部材の分離装置及び処理方法
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7101799B2 (en) * 2001-06-19 2006-09-05 Applied Materials, Inc. Feedforward and feedback control for conditioning of chemical mechanical polishing pad
US7047099B2 (en) * 2001-06-19 2006-05-16 Applied Materials Inc. Integrating tool, module, and fab level control
US20020192966A1 (en) * 2001-06-19 2002-12-19 Shanmugasundram Arulkumar P. In situ sensor based control of semiconductor processing procedure
US6910947B2 (en) * 2001-06-19 2005-06-28 Applied Materials, Inc. Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life
US6684123B2 (en) * 2001-06-26 2004-01-27 Applied Materials, Inc. Method and apparatus for accessing a multiple chamber semiconductor wafer processing system
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
JP4219579B2 (ja) * 2001-07-24 2009-02-04 東京エレクトロン株式会社 ウエハ移載システム及びウエハ移載方法、並びに無人搬送車システム
US6950716B2 (en) * 2001-08-13 2005-09-27 Applied Materials, Inc. Dynamic control of wafer processing paths in semiconductor manufacturing processes
US6984198B2 (en) * 2001-08-14 2006-01-10 Applied Materials, Inc. Experiment management system, method and medium
US20030037090A1 (en) * 2001-08-14 2003-02-20 Koh Horne L. Tool services layer for providing tool service functions in conjunction with tool functions
JP2003148872A (ja) * 2001-08-28 2003-05-21 Sankyo Seiki Mfg Co Ltd オーブンシステム
US20040040660A1 (en) * 2001-10-03 2004-03-04 Biberger Maximilian Albert High pressure processing chamber for multiple semiconductor substrates
US6750156B2 (en) 2001-10-24 2004-06-15 Applied Materials, Inc. Method and apparatus for forming an anti-reflective coating on a substrate
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US7236847B2 (en) * 2002-01-16 2007-06-26 Kla-Tencor Technologies Corp. Systems and methods for closed loop defect reduction
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20040089421A1 (en) * 2002-02-15 2004-05-13 Komandur Srinivasan M. Distributed control system for semiconductor manufacturing equipment
AU2003215238A1 (en) * 2002-02-15 2003-09-09 Supercritical Systems Inc. Pressure enchanced diaphragm valve
US6736929B2 (en) * 2002-02-15 2004-05-18 Nutool, Inc. Distributed control system for semiconductor manufacturing equipment
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6776872B2 (en) * 2002-03-05 2004-08-17 Hitachi, Ltd. Data processing apparatus for semiconductor processing apparatus
US6701205B2 (en) 2002-03-06 2004-03-02 Massachusetts Institute Of Technology System integration based on time-dependent periodic complexity
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
JP3966211B2 (ja) * 2002-05-08 2007-08-29 株式会社ニコン 露光方法、露光装置及びデバイス製造方法
US20050129839A1 (en) * 2002-05-15 2005-06-16 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6797067B1 (en) * 2002-05-17 2004-09-28 Macronix International Co., Ltd. Implanter tool process parameter auto pre-setup system
US7012438B1 (en) 2002-07-10 2006-03-14 Kla-Tencor Technologies Corp. Methods and systems for determining a property of an insulating film
US7668702B2 (en) * 2002-07-19 2010-02-23 Applied Materials, Inc. Method, system and medium for controlling manufacturing process using adaptive models based on empirical data
US20040063224A1 (en) * 2002-09-18 2004-04-01 Applied Materials, Inc. Feedback control of a chemical mechanical polishing process for multi-layered films
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
JP4093462B2 (ja) * 2002-10-09 2008-06-04 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US20040072450A1 (en) * 2002-10-15 2004-04-15 Collins Jimmy D. Spin-coating methods and apparatuses for spin-coating, including pressure sensor
US7064565B1 (en) 2002-10-31 2006-06-20 Kla-Tencor Technologies Corp. Methods and systems for determining an electrical property of an insulating film
US7248062B1 (en) 2002-11-04 2007-07-24 Kla-Tencor Technologies Corp. Contactless charge measurement of product wafers and control of corona generation and deposition
US6722642B1 (en) 2002-11-06 2004-04-20 Tokyo Electron Limited High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism
US6647307B1 (en) 2002-11-07 2003-11-11 Taiwan Semiconductor Mfg. Co. Ltd. Method for controlling queue time constraints in a fabrication facility
CN1720490B (zh) 2002-11-15 2010-12-08 应用材料有限公司 用于控制具有多变量输入参数的制造工艺的方法和系统
US7778721B2 (en) * 2003-01-27 2010-08-17 Applied Materials, Inc. Small lot size lithography bays
US7221993B2 (en) * 2003-01-27 2007-05-22 Applied Materials, Inc. Systems and methods for transferring small lot size substrate carriers between processing tools
JP4170864B2 (ja) * 2003-02-03 2008-10-22 大日本スクリーン製造株式会社 基板処理装置および基板処理装置における基板搬送方法および基板処理方法
US20040154647A1 (en) * 2003-02-07 2004-08-12 Supercritical Systems, Inc. Method and apparatus of utilizing a coating for enhanced holding of a semiconductor substrate during high pressure processing
US6892106B2 (en) * 2003-03-21 2005-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Balancing work release based on both demand and supply variables
US7270137B2 (en) * 2003-04-28 2007-09-18 Tokyo Electron Limited Apparatus and method of securing a workpiece during high-pressure processing
US7089076B2 (en) * 2003-05-16 2006-08-08 Fsi International, Inc. Scheduling multi-robot processing systems
TW579169U (en) * 2003-05-28 2004-03-01 Benq Corp Optical module for a digital camera
JP4080405B2 (ja) * 2003-09-22 2008-04-23 大日本スクリーン製造株式会社 基板処理装置
US6931296B2 (en) * 2003-09-26 2005-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Algorithms tunning for dynamic lot dispatching in wafer and chip probing
US7103484B1 (en) 2003-10-31 2006-09-05 Kla-Tencor Technologies Corp. Non-contact methods for measuring electrical thickness and determining nitrogen content of insulating films
US7218983B2 (en) * 2003-11-06 2007-05-15 Applied Materials, Inc. Method and apparatus for integrating large and small lot electronic device fabrication facilities
US20050209721A1 (en) * 2003-11-06 2005-09-22 Applied Materials, Inc. Methods and apparatus for enhanced operation of substrate carrier handlers
US7720557B2 (en) * 2003-11-06 2010-05-18 Applied Materials, Inc. Methods and apparatus for enhanced operation of substrate carrier handlers
KR101056142B1 (ko) * 2004-01-29 2011-08-10 케이엘에이-텐코 코포레이션 레티클 설계 데이터의 결함을 검출하기 위한 컴퓨터로구현되는 방법
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
KR20060043293A (ko) * 2004-02-28 2006-05-15 어플라이드 머티어리얼스, 인코포레이티드 기판 캐리어 핸들러의 작동을 강화하기 위한 방법 및 장치
TWI316044B (en) 2004-02-28 2009-10-21 Applied Materials Inc Methods and apparatus for material control system interface
US7203563B2 (en) * 2004-04-08 2007-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Automatic N2 purge system for 300 mm full automation fab
TWI231526B (en) * 2004-06-23 2005-04-21 Powerchip Semiconductor Corp Chamber based dispatch method
JP4904034B2 (ja) * 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
US7715941B1 (en) * 2004-11-03 2010-05-11 Advanced Micro Devices Method and apparatus for scheduling a plurality of processing tools
JP4610317B2 (ja) * 2004-12-06 2011-01-12 東京エレクトロン株式会社 基板処理装置及び基板処理装置の基板搬送方法
US7396412B2 (en) 2004-12-22 2008-07-08 Sokudo Co., Ltd. Coat/develop module with shared dispense
US7699021B2 (en) * 2004-12-22 2010-04-20 Sokudo Co., Ltd. Cluster tool substrate throughput optimization
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
DE102005032601A1 (de) * 2005-01-07 2006-07-20 Heidelberger Druckmaschinen Ag Druckmaschine
US7680559B2 (en) * 2005-02-08 2010-03-16 Lam Research Corporation Wafer movement control macros
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US20070003842A1 (en) * 2005-06-29 2007-01-04 Applied Materials, Inc. Software sequencer to dynamically adjust wafer transfer decision
TWI408770B (zh) * 2005-07-15 2013-09-11 Nidec Sankyo Corp The substrate moving out of the moving method and the substrate moving out of the system
US7769225B2 (en) 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
US20070109003A1 (en) * 2005-08-19 2007-05-17 Kla-Tencor Technologies Corp. Test Pads, Methods and Systems for Measuring Properties of a Wafer
US8041103B2 (en) 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
US7676077B2 (en) * 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7695232B2 (en) * 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
WO2008008727A2 (en) * 2006-07-10 2008-01-17 Applied Materials, Inc. Scheduling method for processing equipment
US7522968B2 (en) * 2006-07-10 2009-04-21 Applied Materials, Inc. Scheduling method for processing equipment
US20080051930A1 (en) * 2006-07-10 2008-02-28 Oh Hilario L Scheduling method for processing equipment
US7877722B2 (en) 2006-12-19 2011-01-25 Kla-Tencor Corp. Systems and methods for creating inspection recipes
WO2008086282A2 (en) 2007-01-05 2008-07-17 Kla-Tencor Corporation Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
US8950998B2 (en) * 2007-02-27 2015-02-10 Brooks Automation, Inc. Batch substrate handling
US20080216077A1 (en) * 2007-03-02 2008-09-04 Applied Materials, Inc. Software sequencer for integrated substrate processing system
US7596423B2 (en) * 2007-03-30 2009-09-29 Tokyo Electron Limited Method and apparatus for verifying a site-dependent procedure
US7738093B2 (en) 2007-05-07 2010-06-15 Kla-Tencor Corp. Methods for detecting and classifying defects on a reticle
US7962863B2 (en) * 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
US8213704B2 (en) 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
US7796804B2 (en) 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
US7711514B2 (en) 2007-08-10 2010-05-04 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan
WO2009026358A1 (en) 2007-08-20 2009-02-26 Kla-Tencor Corporation Computer-implemented methods for determining if actual defects are potentially systematic defects or potentially random defects
AU2008316467A1 (en) * 2007-10-24 2009-04-30 Oc Oerlikon Balzers Ag Method for manufacturing workpieces and apparatus
CN101842890A (zh) * 2007-11-09 2010-09-22 佳能安内华股份有限公司 在线型晶圆输送装置
US8139844B2 (en) 2008-04-14 2012-03-20 Kla-Tencor Corp. Methods and systems for determining a defect criticality index for defects on wafers
US7987014B2 (en) * 2008-05-15 2011-07-26 Texas Instruments Incorporated Systems and methods for selecting wafer processing order for cyclical two pattern defect detection
KR101841897B1 (ko) 2008-07-28 2018-03-23 케이엘에이-텐코어 코오포레이션 웨이퍼 상의 메모리 디바이스 영역에서 검출된 결함들을 분류하기 위한 컴퓨터-구현 방법들, 컴퓨터-판독 가능 매체, 및 시스템들
US8341593B2 (en) * 2008-10-23 2012-12-25 Sap Ag Integrated development framework for composite applications
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5431901B2 (ja) * 2008-12-26 2014-03-05 キヤノンアネルバ株式会社 インライン真空処理装置、インライン真空処理装置の制御方法、情報記録媒体の製造方法
US8367565B2 (en) * 2008-12-31 2013-02-05 Archers Inc. Methods and systems of transferring, docking and processing substrates
US7897525B2 (en) * 2008-12-31 2011-03-01 Archers Inc. Methods and systems of transferring, docking and processing substrates
US8110511B2 (en) * 2009-01-03 2012-02-07 Archers Inc. Methods and systems of transferring a substrate to minimize heat loss
US20100162954A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Integrated facility and process chamber for substrate processing
US20100162955A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Systems and methods for substrate processing
US8775101B2 (en) 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
US8204297B1 (en) 2009-02-27 2012-06-19 Kla-Tencor Corp. Methods and systems for classifying defects detected on a reticle
US8112241B2 (en) 2009-03-13 2012-02-07 Kla-Tencor Corp. Methods and systems for generating an inspection process for a wafer
JP4707749B2 (ja) 2009-04-01 2011-06-22 東京エレクトロン株式会社 基板交換方法及び基板処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9037279B2 (en) * 2009-09-09 2015-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Clustering for prediction models in process control and for optimal dispatching
JP5445006B2 (ja) * 2009-10-05 2014-03-19 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5524586B2 (ja) * 2009-11-27 2014-06-18 キヤノンアネルバ株式会社 基板処理装置、該基板処理装置を制御する制御装置および基板処理方法
JP5282021B2 (ja) * 2009-12-14 2013-09-04 株式会社日立ハイテクノロジーズ 半導体処理システム及び半導体処理方法
JP5476162B2 (ja) 2010-03-02 2014-04-23 株式会社日立ハイテクノロジーズ 真空処理装置及びプログラム
JP5586271B2 (ja) 2010-03-02 2014-09-10 株式会社日立ハイテクノロジーズ 真空処理装置及びプログラム
JP5392190B2 (ja) * 2010-06-01 2014-01-22 東京エレクトロン株式会社 基板処理システム及び基板処理方法
US8295965B2 (en) * 2010-07-19 2012-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor processing dispatch control
US8781781B2 (en) 2010-07-30 2014-07-15 Kla-Tencor Corp. Dynamic care areas
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
CN102253662A (zh) * 2011-04-11 2011-11-23 同济大学 基于多蚁群优化的半导体生产线排程方法
JP5476337B2 (ja) 2011-05-26 2014-04-23 株式会社日立ハイテクノロジーズ 真空処理装置及びプログラム
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9008833B2 (en) * 2011-08-26 2015-04-14 Applied Materials, Inc. Dynamic routing control methods and systems for a cluster tool
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US8831334B2 (en) 2012-01-20 2014-09-09 Kla-Tencor Corp. Segmentation for wafer inspection
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP5738796B2 (ja) * 2012-04-11 2015-06-24 株式会社日立ハイテクノロジーズ 処理室割当設定装置及び処理室割当設定プログラム
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8826200B2 (en) 2012-05-25 2014-09-02 Kla-Tencor Corp. Alteration for wafer inspection
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
US9630927B2 (en) * 2014-01-17 2017-04-25 Taiwan Semiconductor Manufacturing Company Limited Method and manufacturing system
WO2014149197A1 (en) 2013-02-01 2014-09-25 Kla-Tencor Corporation Detecting defects on a wafer using defect-specific and multi-channel information
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9417515B2 (en) 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US10847391B2 (en) * 2013-03-12 2020-11-24 Applied Materials, Inc. Semiconductor device manufacturing platform with single and twinned processing chambers
US9612521B2 (en) 2013-03-12 2017-04-04 Applied Materials, Inc. Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
JP6568335B2 (ja) * 2013-03-29 2019-08-28 芝浦メカトロニクス株式会社 処理システム、および処理方法
JP6216530B2 (ja) * 2013-03-29 2017-10-18 株式会社日立ハイテクノロジーズ 真空処理装置の運転方法
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
CN103439885B (zh) * 2013-07-26 2016-08-17 同济大学 半导体生产线优化调度装置
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
CN104423331B (zh) * 2013-08-20 2017-06-16 中芯国际集成电路制造(上海)有限公司 半导体集成电路生产中晶圆制造调度方法及调度系统
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
CN103529652B (zh) * 2013-10-23 2015-04-15 深圳市华星光电技术有限公司 一种精密测长机中冷却缓冲机构的进出片控制方法及装置
US9389608B2 (en) * 2013-10-23 2016-07-12 Shenzhen China Star Optoelectronics Technology Co., Ltd Loading and unloading method and device for a cooling buffer in a precise length measuring machine
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10322881B1 (en) 2013-12-17 2019-06-18 Amazon Technologies, Inc. Notifying users to provide picked items to a drop off location for processing
JP6007171B2 (ja) * 2013-12-26 2016-10-12 東京エレクトロン株式会社 基板処理システム、基板搬送方法、プログラム及びコンピュータ記憶媒体
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
CN103996644A (zh) * 2014-06-09 2014-08-20 上海华力微电子有限公司 多腔设备的工艺管理方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10295979B2 (en) * 2015-09-15 2019-05-21 Applied Materials, Inc. Scheduling in manufacturing environments
US10001772B2 (en) * 2015-09-20 2018-06-19 Macau University Of Science And Technology Optimally scheduling of close-down process for single-arm cluster tools with wafer residency time constraints
US10001773B2 (en) * 2015-09-20 2018-06-19 Macau University Of Science And Technology Optimal one-wafer scheduling of single-arm multi-cluster tools with tree-like topology
US10073444B2 (en) * 2015-09-20 2018-09-11 Macau University Of Science And Technology Petri net-based optimal one-wafer cyclic scheduling of treelike hybrid multi-cluster tools
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
JP6089082B1 (ja) * 2015-09-29 2017-03-01 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US11017984B2 (en) 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
JP6403722B2 (ja) 2016-07-21 2018-10-10 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、プログラム
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10134613B2 (en) * 2016-09-22 2018-11-20 Macau University Of Science And Technology Cluster tool apparatus and a method of controlling a cluster tool apparatus
CN107871194B (zh) * 2016-09-28 2020-10-16 北京北方华创微电子装备有限公司 一种生产线设备的调度方法和装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10043693B1 (en) * 2017-06-06 2018-08-07 Applied Materials, Inc. Method and apparatus for handling substrates in a processing system having a buffer chamber
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10359769B2 (en) 2017-09-15 2019-07-23 Applied Materials, Inc. Substrate routing and throughput modeling
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
JP6953943B2 (ja) * 2017-09-20 2021-10-27 富士フイルムビジネスイノベーション株式会社 設計支援システム、設計支援装置、及び、設計支援プログラム
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
GB2570510A (en) * 2018-01-30 2019-07-31 Pragmatic Printing Ltd System and method for manufacturing plurality of integrated circuits
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN111933517A (zh) * 2020-08-14 2020-11-13 北京北方华创微电子装备有限公司 一种半导体工艺设备中工艺任务的启动方法、装置
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
JP7242890B2 (ja) * 2020-09-25 2023-03-20 株式会社日立ハイテク 真空処理装置の運転方法
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102585241B1 (ko) * 2020-12-29 2023-10-05 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
JP2023009354A (ja) * 2021-07-07 2023-01-20 富士通株式会社 演算処理装置および演算処理方法
CN113467401B (zh) * 2021-07-19 2022-09-09 江苏天芯微半导体设备有限公司 多腔体等离子体反应设备的调度方法、计算设备及介质
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023135420A (ja) * 2022-03-15 2023-09-28 東京エレクトロン株式会社 基板処理装置及び基板処理方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4715921A (en) * 1986-10-24 1987-12-29 General Signal Corporation Quad processor
JPS63157870A (ja) * 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
JPH07101706B2 (ja) * 1988-09-14 1995-11-01 富士通株式会社 ウェーハの連続処理装置及び連続処理方法
US5024570A (en) * 1988-09-14 1991-06-18 Fujitsu Limited Continuous semiconductor substrate processing system
EP0408216A3 (en) * 1989-07-11 1991-09-18 Hitachi, Ltd. Method for processing wafers and producing semiconductor devices and apparatus for producing the same
JP2753142B2 (ja) * 1990-11-27 1998-05-18 株式会社東芝 半導体装置の生産システムにおける生産管理方法、生産管理装置および製造装置
US5402350A (en) * 1991-06-28 1995-03-28 Texas Instruments Incorporated Scheduling for multi-task manufacturing equipment
US5745364A (en) * 1994-12-28 1998-04-28 Nec Corporation Method of producing semiconductor wafer

Also Published As

Publication number Publication date
EP0837494A3 (en) 1998-12-02
US6224638B1 (en) 2001-05-01
JPH10189687A (ja) 1998-07-21
EP0837494A2 (en) 1998-04-22
TW352453B (en) 1999-02-11
US6074443A (en) 2000-06-13
US5928389A (en) 1999-07-27

Similar Documents

Publication Publication Date Title
KR19980032999A (ko) 복수 챔버로 이루어진 반도체 웨이퍼 처리 장치내에서 우선 순위에 기초한 웨이퍼 처리의 스케줄링 방법 및 장치
US5801945A (en) Scheduling method for robotic manufacturing processes
US6519498B1 (en) Method and apparatus for managing scheduling in a multiple cluster tool
US6336204B1 (en) Method and apparatus for handling deadlocks in multiple chamber cluster tools
WO1998000765A9 (en) Scheduling method for robotic manufacturing processes
US6122566A (en) Method and apparatus for sequencing wafers in a multiple chamber, semiconductor wafer processing system
US6201999B1 (en) Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
KR101216836B1 (ko) 진공 처리 장치 및 기록 매체
KR20150067081A (ko) 워크피스들의 로트들의 프로세싱을 제어하는 방법, 저장 매체 및 시스템
JP2003526916A (ja) ウェーハ処理システムのレシピ・カスケーディング
US6418350B1 (en) Periodic scheduler for dual-arm robots in cluster tools with process-module residency constraints
KR20060026852A (ko) 스케즐링 다중 로벗 처리 시스템
KR102522596B1 (ko) 반도체 공정 디바이스에서 공정 작업의 가동 방법, 장치
JP2007208269A (ja) 基板処理システムを同期化させるための方法及び装置
US20090018686A1 (en) Scheduling method and program for a substrate treating apparatus
US20020147960A1 (en) Method and apparatus for determining scheduling for wafer processing in cluster tools with integrated metrology and defect control
KR102482316B1 (ko) 기판 라우팅 및 스루풋 모델링을 위한 그래픽 처리 유닛의 사용
JP2007305988A (ja) ウェーハ処理システムにおけるロボットの事前配置
US6941183B1 (en) Method and apparatus for selecting tools in manufacturing scheduling
Prasad et al. A genetic algorithmic approach to multi-objective scheduling in a Kanban-controlled flowshop with intermediate buffer and transport constraints
US6194232B1 (en) Multi-track wafer processing method
KR20240013806A (ko) 반도체 공정 디바이스 및 이의 운동 부재의 제어 방법과 장치
JP2003036107A (ja) 設備処理時間算出方法、設備処理時間算出装置および設備処理時間算出プログラムを記録した記録媒体
US5568381A (en) Combinatorial optimization system that extracts an undersirable relationship from a present solution
CN114029947B (zh) 机器人拾物序列确定方法与装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application