JPH10189687A - マルチチャンバ半導体ウェハ処理システム内の優先順位に基づくウェハ処理スケジューリング方法及びその装置 - Google Patents

マルチチャンバ半導体ウェハ処理システム内の優先順位に基づくウェハ処理スケジューリング方法及びその装置

Info

Publication number
JPH10189687A
JPH10189687A JP9325112A JP32511297A JPH10189687A JP H10189687 A JPH10189687 A JP H10189687A JP 9325112 A JP9325112 A JP 9325112A JP 32511297 A JP32511297 A JP 32511297A JP H10189687 A JPH10189687 A JP H10189687A
Authority
JP
Japan
Prior art keywords
chamber
wafer
priority
time
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP9325112A
Other languages
English (en)
Inventor
Dusan Jevtic
ジェヴティック デュサン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH10189687A publication Critical patent/JPH10189687A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32266Priority orders
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32267Dynamic throughput maximization
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32306Rules to make scheduling decisions
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/907Continuous processing
    • Y10S438/908Utilizing cluster apparatus

Abstract

(57)【要約】 【課題】 マルチチャンバ半導体ウェハ処理システム
(クラスタ・ツール)内におけるウェハ処理の優先順位
に基づくスケジューリング装置及びその関連方法を提供
すること。 【解決手段】 シーケンサ136は、クラスタ・ツール
100内のチャンバ104等に優先順位の値を割り当
て、割り当てられた優先順位に従って、ウェハWをチャ
ンバからチャンバへ移動する。シーケンサは、優先移動
が行われるまでの時間の量を決定することができ、その
時間が充分長ければ、シーケンサは待っている間に非優
先移動を実行する。シーケンサはまた、ツール内のチャ
ンバの利用可能性によって、割り当てられた優先順位を
動的に変更する。最後に、シーケンサは、ロボットが特
定のステージのウェハを移動するために必要な最小限の
時間に基づいて、チャンバの優先順位を決定する。

Description

【発明の詳細な説明】
【0001】
【発明の背景】
1.発明の分野 本発明は、マルチチャンバ・ウェハ処理システムに関
し、さらに詳しくは、マルチチャンバ半導体ウェハ処理
ツール内における半導体ウェハ処理のスケジューリング
方法及びその装置に関する。
【0002】2.背景技術の説明 半導体ウェハは、複数の逐次プロセスステップを使用し
て処理され、集積回路を製造する。これらのステップ
は、複数のプロセスチャンバを用いて実行される。ウェ
ハ搬送ロボットによって取り扱われるプロセスチャンバ
の集合は、マルチチャンバ半導体ウェハ処理ツール又は
クラスタ・ツールとして知られる。図1は、カリフォル
ニア州サンタクララのアプライド・マテリアルズ・イン
コーポレイテッドによって製造されたEndura(商
標)システムとして知られる、マルチチャンバ半導体ウ
ェハ処理ツールの実例の略図である。
【0003】このクラスタ・ツール100は、例えば4
つのプロセスチャンバ104、106、108、11
0、トランスファチャンバ112、プレクリーンチャン
バ114、バッファチャンバ116、ウェハ・オリエン
タ/デガスチャンバ118、クールダウンチャンバ10
2、及び1対のロードロックチャンバ120、122を
含む。各チャンバは、半導体ウェハ処理の様々なステー
ジあるいは段階を表わす。バッファチャンバ116は、
ロードロックチャンバ120、122、ウェハ・オリエ
ンタ/デガスチャンバ118、プレクリーンチャンバ1
14、及びクールブウンチャンバ102に対して中央に
位置する。これらのチャンバ間のウェハの搬送を実施す
るために、バッファチャンバ116には第1ロボット搬
送機構124が含まれる。ウェハ128は一般的に、ロ
ードロックチャンバ120、122のどちらかに配置さ
れたプラスチック搬送カセット126で、保管場所から
システムへ搬送される。ロボット搬送機構124は、ウ
ェハ128を一度に1枚ずつ、カセット126から3つ
のチャンバ118、102、114のいずれかに搬送す
る。一般的に、所与のウェハは最初にウェハ・オリエン
タ/デガスチャンバ118に配置され、次にプレクリー
ンチャンバ114に移動する。クールダウンチャンバは
通常、ウェハがプロセスチャンバ104、106、10
8、110内で処理し終わるまで、使用されない。個々
のウェハは、第1ロボット機構124の遠端に位置する
ウェハ搬送ブレード130に載せられて運ばれる。搬送
動作は、シーケンサ136によって制御される。
【0004】トランスファチャンバ112は、4つのプ
ロセスチャンバ104、106、108、110、プレ
クリーンチャンバ114、及びクールダウンチャンバ1
02に取り囲まれ、これらのチャンバにアクセスするこ
とができる。チャンバ間のウェハの搬送を実施するため
に、トランスファチャンバ112には第2ロボット搬送
機構132が含まれる。機構132は、個々のウェハを
運搬するために、その遠端に取り付けられたウェハ搬送
ブレード134を備えている。動作中、第2搬送機構1
32のウェハ搬送ブレード134は、プレクリーンチャ
ンバ114からウェハを取り出し、そのウェハを第1処
理ステージ、例えばプロセスチャンバ104内での物理
的気相堆積(PVD)ステージへ運搬する。ウェハが処
理され、PVDステージでウェハ上に材料が堆積したな
らば、ウェハは次に第2処理ステージに移動することが
できる。
【0005】プロセスチャンバ内での処理が終了する
と、搬送機構132はウェハをプロセスチャンバから移
動し、クールダウンチャンバ102へ搬送する。次にウ
ェハは、バッファ室116内の第1搬送機構124を使
用して、クールダウンチャンバから取り出される。最後
に、ウェハは、ロードロックチャンバ122内の搬送カ
セット126内に配置される。
【0006】より一般的には、クラスタ・ツールは、C
1、C2、・・・Cnで表わされるn個のチャンバ、1つ
以上のトランスファチャンバ(ロボット)、及び1つ以
上のロードロックチャンバ120、122を有する。厳
密な配置設計のことを構成という。処理対象のウェハW
aはロードロックチャンバから取り出され、順番に様々
なチャンバCi1、Ci2、・・・Cikに搬送され、その後
ロードロックチャンバに戻される。したがって、ツール
内におけるウェハの「トレース(軌跡)」は、
【数1】 となる。ここで、ウェハWaは、チャンバCi1、Ci2
・・・Cikを巡回する。上記のCij→Cij+1という表記
は、ウェハがチャンバCijに搬送された後、チャンバC
ij+1に搬送されたことを意味する。ウェハのトレース
は、必ずしも構成内の全てのチャンバを含むとはかぎら
ないので、注意されたい。例えば、
【数2】 は、チャンバの数がn>1であっても、有効なトレース
である。一般に、ウェハがチャンバに搬送されるのは一
度に1枚ずつと想定される。(1)及び(2)では、ク
ラスタ・ツールが2つのロードロックチャンバを有する
と想定している。記号vは、論理和ORを表わす。通
常、ウェハは、最初にそれが取り出されたロードロック
・カセットと同じ位置に戻される。しかし、以下の開示
は、任意の数のロードロックチャンバ及び任意の数のチ
ャンバ(トランスファチャンバを含めて)に適用され、
ウェハを1つのロードロックチャンバから取り出し、別
のロードロックチャンバへ戻すことができる。
【0007】上記(1)及び(2)から分かるように、
ウェハのトレースは、クラスタ・ツール内における特定
のウェハの軌道である。つまり、トレースとは、ウェハ
がチャンバに搬送される順序のことである(必ずしもC
iの次がCi+1ではない)。これは、ウェハに処理を適用
する順序(レシピ)を意味する「処理シーケンス」とい
う用語とは、区別する必要がある。2つ以上のチャンバ
が同一の処理を実行する場合(並列チャンバ)、所定の
処理シーケンスを幾つかの異なるトレースによって満た
すことができる。処理シーケンスは事前に知られ、「シ
ーケンサ」と呼ばれるコンピュータ・プログラムの一部
となる。シーケンサは、クラスタ・ツール内のウェハの
動きを計画し、チャンバ内のウェハに適用する処理を記
述し、クリーン・モード時にチャンバが受ける処理を記
述し、チャンバの状態変化の条件(例えばクリーニング
工程の前に処理しなければならないウェハの数、又は時
間の長さ)等々を記述する。シーケンスは別名をルータ
ともいう。
【0008】その処理シーケンスを完了し、ロードロッ
クチャンバに戻ったウェハのことを、ツールで処理済み
のウェハという。ツールのスループットとは、おおまか
にいうと、単位時間当たりのツールで処理済みのウェハ
の数である。つまり、ツールがnt個のウェハを処理す
るのにt秒かかる場合、[0,t]の間隔で測定したツ
ールのスループットは、
【数3】 となる。
【0009】所定の処理シーケンスのツールのスループ
ットを向上する方法は多数ある。しかし、1つの重要な
向上の方法は、所定の処理シーケンスに効率的なスケジ
ューリング・ルーチンを使用することである。
【0010】スケジューリングの最適化は、所定のトレ
ースを前提として、ウェハを1つのチャンバから次のチ
ャンバに搬送する時期(及びその前にどのウェハを移動
させるべきか)を決定する際に使用する判定基準の選択
を含む。(所定の処理シーケンスに基づいて)クラスタ
・ツール内におけるウェハの動きを計画するルーチン
を、「スケジューリング・ルーチン」という。スケジュ
ーリング・ルーチンAに基づくツールの定常状態スルー
プットは、S(A)と表記される。表記法を簡単にするた
めに、ウェハのトレース及びツールのパラメータ(例え
ばチャンバ処理時間やウェハ搬送時間)への依存性は無
視する。
【0011】n>1の場合、所定の処理シーケンスによ
って、多数のスケジューリング・ルーチンを考慮するこ
とができる。スループットの値を最大にするルーチンは
「最適」とみなされ、スループットの最大到達可能値
は、ツールの「処理能力(キャパシティ)」として知ら
れる。つまり、Aを所定の処理シーケンスに対して可能
な全てのスケジューリング・アルゴリズムの集合とする
と、A#は、
【数4】 の場合に最適である。ツールの処理能力S(A#)が所定
の処理シーケンス、及び処理シーケンス内のチャンバと
ロボットのパラメータによって異なることは、明らかで
ある。ツールの処理能力はC#で表わし、添字の#はト
レースを表わす。チャンバ及びロボットのパラメータへ
のその依存性は無視する。所定の処理シーケンスのため
の優れたスケジューリング・ルーチンを見つける(特
に、できれば最適ルーチンを見つける)という問題は、
実務的にかなり重要である。
【0012】Ci→Cjという表記が、ウェハをチャンバ
iに搬送した後、チャンバCjに搬送しなければならな
い、という意味であることを思い起こされたい。論理和
ORは、ウェハを所定の部分集合のチャンバの中から厳
密に1つのチャンバに搬送する場合を表わす。例えば、
【数5】 は、ウェハをチャンバCiに搬送した後、部分集合{C
r1、Cr2、・・・Crk}のk個のチャンバのうちの厳密
に1つだけに搬送しなければならないことを、記述した
ものである。クラスタ・ツール・ウェハ処理で一般的に
使用される基本的なトレースは4種類ある。以下で提示
する順番に、直列、並列、混合及びノット(knotted )
トレースの4種類である。
【0013】チャンバC1、C2、・・・Cnを有するn
チャンバ・クラスタ・ツールでは、次のようなトレース
【数6】 を純粋直列トレース又は単に直列トレースという。直列
トレースは、ウェハがnステップの処理を(n個の異な
るチャンバで)行なう場合に使用され、ステップkはそ
の直前のステップk−1を無事に終了しなければならな
い(k=2、3、・・・n)。
【0014】ステップの数に関しては、トレース表現
(9)の対局にあるのが1ステップ処理である。そのト
レースは、
【数7】 と表わすことができ、純粋並列トレース又は単に並列ト
レースと呼ばれる。全てのチャンバが同一処理を実行す
る場合には、トレース表現(10)を
【数8】 と書くことができる。
【0015】直列−並列又は並列−直列のトレースの組
合せは、混合トレースと呼ばれる。混合トレースの一例
は次の通りである。
【0016】
【数9】 混合トレースは通常、直列トレースのチャンバを2倍す
ることによって導出することができ、長い処理時間がか
かる。
【0017】ウェハのトレースで、1つのチャンバの名
前が2回以上現れる場合、そのチャンバをノット・チャ
ンバ(knot-chamber)という。1つのノット・チャンバ
を含むトレースの最も簡単な例は、次のようなトレース
である。
【0018】
【数10】 トレース(13)のことを、処理ループを持つトレース
ともいう。チャンバC1、C2、Ck+1にウェハが入って
いる状況をデッドロックという。例えば、LL1 v2→C1
→C2→C3→C2→LL1v2のトレースでは、C2はノッ
ト・チャンバである。C1、C2及びC3をそれぞれウェ
ハW1、W2及びW3が占有している場合、W3はC2に移
動できず、W2はC3に移動できない。したがって、行き
詰まり状態になる(二重ブレード・ロボットによる強制
排除(preemption)や、バッファチャンバの使用が行な
われないことを前提とする)。
【0019】構成内の様々なウェハが様々なトレースを
採ることができるが、スケジューリング・ルーチンは常
に所定の処理シーケンスに関係する。望ましいスケジュ
ーリング・ルーチンは、ツールのスループットをその処
理能力ぎりぎりに維持する。上記トレースの全てに同じ
ルーチンを使用することがたとえ可能であったとして
も、特定のトレースに存在しない条件の確認に要するオ
ーバヘッドはかなりの量になる。
【0020】以下は、先行技術で現在使用されている4
つのスケジューリング・ルーチンである。
【0021】プッシュ・アンド・ウェイト(push-and-w
ait)ルーチンでは、直列トレースが基準トレースであ
る。具体的には、ロボットは最初にLL1v2からウェハ
iをチャンバC1に搬送し、C1でWiが処理されるまで
待ち、そうした動作を、最終的にウェハWiがCnからL
1v2に戻るまで続ける。それからロボットは次のウェ
ハWi+1をLL1v2から取り出し、いわゆる「移動して処
理されるのを待つ」ステップをn回実行する上記シーケ
ンスを繰り返す。
【0022】n=1の場合には、プッシュ・アンド・ウ
ェイト・ルーチンは唯一の可能なルーチンである。プッ
シュ・アンド・ウェイト・ルーチンは最も簡単な可能な
ルーチンであり、並列トレース及び混合トレースで使用
することができる。これは、同時チャンバ活動のスケジ
ューリングは全く行なわないので、ロボットがn個のチ
ャンバの各々の位置で非常に短時間待機する場合にのみ
有効である。
【0023】プルスルー・ウェハ・パッキング(pull-t
hrough wafer packing)ルーチンでは、直列トレース
(9)が基準トレースである。rを最も高いチャンバ指
数とし、チャンバCr+1が空であり、チャンバC1
2、・・・CrにウェハW1、W2、W3がそれぞれ含ま
れるようにする。(r=nならば、Cr+1はLL1v2であ
る。)単一ブレード・ロボットは、WrをCrからCr+1
へ移動することによって始動する(r=nならば、Wn
はCnからLL1v2へ移動する。次にロボットはCr-1
移動し、必要ならば待ち、Cr-1からWr-2を取り出し、
そうした動作を、最終的にロボットがLL1v2から新し
いウェハW0を取り出してC1に置くまで続ける。次に、
ロボットは、Cr+1(r<n−1の場合)又はCnのどち
らかの始動位置にまで移動する。
【0024】このルーチンは、できるだけ多くのウェハ
をチャンバに詰め込み、同時チャンバ処理活動を最大限
に実行するので、ウェハ・パッキング・ルーチンとして
知られる。プルスルー・ウェハ・パッキング・ルーチン
は、最も高い番号のチャンバから実行が始まり、ウェハ
を移動し(引き出しないしは引っ張り:pull)、各ウェ
ハを次々と、その次に高い番号のチャンバ内に配置す
る。
【0025】プッシュスルー・ウェハ・パッキング(pu
sh-through wafer packing)ルーチンでは、直列トレー
ス(9)が基準トレースである。rを最も高い指数と
し、チャンバCr+1が空であり、チャンバC1、C2、・
・・CrにウェハW1、W2、・・・Wrがそれぞれ含まれ
るようにする。二重ブレード・ロボットは、LL1v2
ら新しいウェハW0を取り出すことによって始動する。
次にロボットはC1へ移動し、必要ならば待ち、それか
らW1をC1から移動し、W0をC1へ配置する。次に、ロ
ボットはC2へ移動し、必要ならば待ち、C2からW2
取り出し、W1(ブレードに載っていたもの)をC2内へ
置き、そうした動作を、最終的にロボットがWrをCr+1
へ配置する(r=nの場合、WnをLL1v2へ配置する)
まで続ける。それからロボットは、別のウェハをLL
1v2から取り出し、上記ステップを繰り返す。
【0026】プルスルー及びプッシュスルー・ウェハ・
パッキング・ルーチンが、同じパッキング・ルーチンの
2種類のバージョンであることは、明らかである。プッ
シュスルー・ルーチンという名前は、最も低い番号のチ
ャンバから動作を開始してウェハを移動し(押し:pus
h)、各ウェハをより低い番号のチャンバからのウェハ
と相次いで置換することに由来する。これは二重(マル
チ)ブレード・ロボットを必要とし、結果的に、単一ブ
レード・ロボットを使用するプルスルー・ウェハ・パッ
キング・ルーチンより多少高いスループットを達成する
(ウェハ交換時間が短いため)。
【0027】応答スケジューリング(reactive schedul
ing )・ルーチンでは、並列トレース(10)が基準ト
レースである。ツールがウェハWiの処理を終了する
と、シーケンサがロボットをチャンバCiに呼び出す。
現在の活動(もしあれば)の終了後、ロボットはCi
移動し、swiをLL1v2に配置し、LL1v2から新しいウ
ェハWi+1を取り出し、それをCiに配置する。それが済
むとロボットは、次のチャンバの呼出しに応えることが
できる状態になる。
【0028】処理済みウェハWiをCiからLL1v2へ移
動し、Wi+1をCiに配置することから成るサイクルを、
ウェハ交換という。したがって、Ciへの呼出しを受け
取るとロボットは現在のウェハ交換(もしあれば)を終
了した後、Ciへ移動してウェハ交換を実行する。この
ルーチンは、ロボットがシーケンサからのチャンバ呼出
しに応答するので、応答スケジューリングと呼ばれる。
【0029】予測スケジューリング(anticipated sche
duling)ルーチンでは、並列トレース(10)が基準ト
レースである。Ciのウェハ交換の終了後、カウンタI
(Ciに対応するカウンタ)がリセットされる。カウン
タは予め設定された制限Ti秒すなわち
【数11】 を有する。ここでTiはCiの処理時間であり、Tr,i
ロボットがCrのホームポジションからCiのホームポジ
ションに到達するのに必要な時間である。ti秒後、ロ
ボットは現在のウェハ交換(もしあれば)を終了し、そ
れからCiで予測されるウェハ交換を実行するためにCi
へ移動する。
【0030】このルーチンは、ロボットがチャンバ呼出
しの瞬間を予測し、ウェハ交換を実行するために自ら予
備位置に移動するので、予測スケジューリングと呼ばれ
る。応答スケジューリング及び予測スケジューリングは
どちらも、チャンバ呼出しを記憶し(待ち行列に登録
し)、ルーチンに従って(通常呼出しを受け取った順番
に)実行する。同時呼出しは、外部優先順位に従って解
決される。
【0031】
【発明が解決しようとする課題】ウェハ・パッキング・
アルゴリズムは、純粋並列トレースに適用される場合、
不必要な待ち時間を生じさせることがあり、したがって
ツールのスループットが低下することがあるという点
に、注目されたい(特にmaxii>>miniiの場
合)。また、反応及び予測スケジューリングは、純粋直
列トレースには適さない。例えば、チャンバCiでウェ
ハ交換が必要な場合、トランスファチャンバはまず最初
にCi-1及びCi+1の状態(空であるか否か)を確認しな
ければならないという点にも、注目されたい。ウェハ交
換ができない場合、呼出しを記憶しなければならず(後
で再起動する)、結果的に不必要なプログラムの複雑
さ、CPUの活動、及びメモリ割当が増大する。
【0032】したがって、様々なトレース・フォーマッ
トで使用でき、クラスタ・ツールのスループットを向上
するスケジューリング・ルーチンの改良が、技術上必要
である。
【0033】
【発明の概要】上述の先行技術に伴う不利益は、マルチ
チャンバ半導体ウェハ処理システム(クラスタ・ツー
ル)内で優先順位に基づくウェハ処理のスケジューリン
グを実行する、本発明の方法及び装置によって克服され
る。本発明の第1実施形態は、ウェハ・パッキング技術
を用いて、優先順位に基づくスケジューリングを達成す
る。この第1実施形態は、ウェハをウェハ・カセット
(ロードロック)から移動し、ウェハをウェハ・トレー
スの第1ステージに配置するロボットの動きに最高優先
順位を割り当てることを伴う。それ以後の優先順位は、
様々なステージの処理に割り当てられる。一般的に、ロ
ードロックは、ウェハを取り出すときには最も高い優先
順位を持ち、処理済みウェハを受け取るロードロックに
は最も低い優先順位が割り当てられる。この方法では、
ロボットの各動きについて、利用可能なステージを走査
し、最高優先順位の空のステージを探索する。最高優先
順位のステージに最初に装填し、次にロボットはより低
い優先順位のステージに移動し、その中の空のステージ
に装填する。走査プロセスは、ほぼ終了しようとしてい
るプロセスのトレースを定義するデータ構造を走査する
ことによって実行される。
【0034】本発明の第2実施形態は、ガンマ許容(ga
mma tolerant)ウェハ・パッキング技術である。各ステ
ージに含まれるプロセスは、プロセスが終了するのに必
要な時間が分かっているので、この方法では、1つのチ
ャンバがウェハを処理するためにかかる時間の長さを計
算する。前述のウェハ・パッキング技術では、優先順位
の高いチャンバが装填済みであり、ウェハを処理中であ
った場合、ロボットはそのウェハの処理が終了するのを
待ち、次に処理済みウェハを取り出し、新しいウェハと
置換する。効率を向上するためには、処理が終了するま
でロボットを待たせるより、ロボットは最高順位のウェ
ハの処理が終了するのを待つ間に、別のウェハを移動す
る方がよい。この方法では、特定のタイミング要件に基
づき、どのウェハを移動すべきかを決定する。具体的に
は、この方法では、ロボットが別の位置へ移動し、戻っ
てくるまでの回転時間と別のチャンバで予想される待ち
時間の和に相当する値を計算する。この値をT
meanwhileという。また、この方法では、優先順位の高
いチャンバにおける処理が終了するまでの残り時間とし
て、Tremainsと表記する変数を使用する。Tremains
meanwhileで割った値は、ロボットが次のチャンバへ
進むべきか、それとも現在のチャンバが処理を終了する
のを待つべきかを示す印となる。この商の値をγで表わ
されるしきい値と比較する。変数がγの値を超えなけれ
ば、この方法では、高い優先順位のチャンバが処理を終
了するまでロボットを待たせる。そうでなければ、ロボ
ットは別のチャンバに進み、そこのウェハを移動する。
したがって、このシステムの処理スループットは、従来
のウェハ・パッキング技術より実質的に改良される。
【0035】本発明の第3実施形態は、次の適切なロボ
ットの動きを探すためにチャンバを走査する前に、チャ
ンバに割り当てられた優先順位を再計算する。
【0036】本発明の第4実施形態は、第2実施形態と
第3実施形態の両思想を結合したものである。
【0037】本発明の第5実施形態は、チャンバに優先
順位を割り当てるときに、ロボットが1つの位置から別
の位置に移動するために必要な時間を考慮する。
【0038】本発明は、添付の図面に照らして説明する
以下の詳細な記述を検討することにより、容易に理解で
きる。
【0039】
【実施形態の詳細な説明】上述の通り、図1は従来のマ
ルチチャンバ半導体ウェハ処理ツールの略図である。図
示したクラスタ・ツール100は、本発明の優先度に基
づくスケジューリング・ルーチンを実行するシーケンサ
によって制御される。
【0040】図2は、図1のクラスタ・ツール100に
よって実行される処理を制御するために動作する、シー
ケンサのブロック図である。シーケンサ136は、マイ
クロプロセッサ200及び本発明のルーチンを保存する
メモリ202、ならびに電源装置やクロック回路、キャ
ッシュ等の支援回路機構206を含む。シーケンサはま
た、キーボードやマウス、ディスプレイ等の従来の入出
力(I/O)装置間のインタフェース、及びクラスタ・
ツールへのインタフェースを形成する入出力回路機構2
08をも含む。シーケンサ136は、本発明に従って順
序制御及びスケジューリング動作を実行するようにプロ
グラムされた汎用コンピュータである。クラスタ・ツー
ル及びシーケンサの基本動作は、上に定義した通りであ
る。本発明の方法のソフトウェア実現手段204はメモ
リ202内に保存され、マイクロプロセッサ200によ
って実行され、クラスタ・ツール内の少なくとも1つの
ロボット・ウェハ搬送の制御を容易にする。
【0041】本発明は、クラスタ・ツール内のチャンバ
に関する次のような知見に基づく。
【0042】*所定の処理シーケンスに対し、様々な時
間位置(temporal positions)を持つ。
【0043】*処理時間が様々に異なる。
【0044】*ロボット・アームの任意の位置に対し、
様々な空間位置を持つ。
【0045】したがって、チャンバからチャンバへのウ
ェハの搬送は、様々な優先度で取り扱う必要がある。こ
れらの知見を利用する本発明のルーチンを、ウェハ・パ
ッキング・ルーチン、SPT(処理時間に基づくスケジ
ューリング)ルーチン、及びロボット結合(RB)スケ
ジューリング・ルーチンという。以下でそれぞれについ
て説明する。
【0046】I.ウェハ・パッキング・ルーチン 処理シーケンスP1、P2、・・・PNに対応するステー
ジをそれぞれS1、S2、・・・SNとする。ウェハ・パ
ッキング・ルーチンは、ウェハをカセットから取り出し
てウェハのトレースの第1ステージ(ウェハはそこでプ
ロセスP1を受ける)に配置するロボットの運動に最も
高い優先度を割り当てる。ウェハ・パッキング・ルーチ
ンは、帰納法(induction )により、ステージS1
2、・・・SNに優先度N、N−1、・・・1を割り当
てる。ただし、ここでは数字の大きい方が優先度が高
い。ロードロックは、ウェハをカセットから取り出すと
きに、最も高い優先度(N+1)を有する。優先度に基
づくウェハ・パッキングを記述するルーチンを、以下に
示す。これに対応する流れ図について、図3に関連して
説明する。
【0047】このルーチンは、以下の5つの基本的なス
テップを実行する。
【0048】1.初期化(S←0)し、ステップ2へ進
む。
【0049】2.S←S+1. S=N+1ならば、ス
テップ5へ進む。そうでなければ、ステップ3へ進む。
【0050】3.ステージSを走査し、空のチャンバが
無いか調べる。空のチャンバが無ければ、ステップ2に
戻る。そうでなければ、ステップ4へ進む。
【0051】4.ステージSの空のチャンバを識別す
る。このチャンバをCxとする。ステージS−1を走査
し、ステージS−1から排出できる最初のウェハを見つ
ける。それをチャンバCyのウェハWとする。ロボット
をチャンバCyに配置し、必要ならば待ち、WをCyから
そのターゲット・チャンバCxに移動する。クラスタ・
ツールの状態を定義するデータ構造を更新し、ステップ
1に戻る。
【0052】5.ウェハをステージNから最初に排出す
るチャンバにロボットを配置する。ウェハがステージN
からロードロックに移動した後、データ構造を更新し、
ステップ1に戻る。
【0053】変数S=0、1、・・・N+1はステージ
番号を表わす。ステップ4で、S=1ならば、ステージ
0(ステージ0はロードロック)から排出できるウェハ
が常にある。ステップ3で、S=N+1ならば、ウェハ
を搬入できる空のチャンバが常にある(ステージN+1
もロードロックであるから)。
【0054】上記ステップ3及び4における「ステージ
Sを走査する」という言葉は、ステージSを記述したデ
ータ構造を走査する、という意味である。データ構造な
どの手続き型プログラミングは、リンク・リスト又はレ
コードの配列とし、各レコードにチャンバを記述するこ
とができる。以下で、データ構造を図4に関連してさら
に説明する。オブジェクト指向プログラミングでは、チ
ャンバは所定のクラスのオブジェクトとすることがで
き、ステージはそのスーパークラスの一例となる。
【0055】ツール内のカセットの滞留時間の長さはT
で表わす。カセットの排出時間は他のカセットの処理時
間と重なると想定すると、それはリトルの方程式によ
り、T=S-1Mに従う。ここでMはカセット内のウェハ
の数であり、Sは定常状態のスループットである。した
がって、ツール内のカセットの滞留時間の長さは、スル
ープットが最大のときに最小になり、したがってツール
内のカセットの滞留時間の長さを最小にするスケジュー
リング・ルーチンが最適である。ウェハ・パッキング・
ルーチンは、カセットから新しいウェハをできるだけ早
くクラスタへ移動しようとすることによって(つまり、
その運動に最も高い優先順位を割り当てることによっ
て)、まさしくそれを行なおうとしていることに注目さ
れたい。
【0056】また、ウェハ・パッキング・アルゴリズム
は、使用できるチャンバが無いときに(例えばチャンバ
のクリーニング・プロセスなどのために)、優先順位を
変更しないという意味で「静的」であることに、注目さ
れたい。(何らかの理由で)チャンバを利用できない場
合、そのチャンバは、それがウェハを受け入れることが
できるようになるまで、単にターゲット・チャンバとし
て選択されなくなるだけである。
【0057】図3は、本発明の第1実施形態を形成する
優先順位に基づくウェハ・パッキング・ルーチン300
の流れ図である。このルーチンを実現するために、チャ
ンバに対し、そのチャンバのステージ番号に相当する優
先度の値が事前に割り当てられる。したがって、未処理
ウェハを包含するロードロックは優先度が最も高く、第
1ステージのチャンバは次に高い優先度を持ち、優先度
ゼロの処理済みウェハ用ロードロックまで、順次優先度
が低下する。ルーチン300はステップ302から始ま
り、ステップ304に進む。ステップ304で、ルーチ
ンは全てのチャンバにウェハが装填されているかどうか
を質問する。質問への応答が肯定であった場合、ルーチ
ンはステップ306に進み、ここでロボットは、最後の
ステージに移動し、最初に入手可能なウェハをロードロ
ックに移動するように命令される。ロボットは、必要な
場合このステージで待機する。
【0058】第1の入手可能なウェハをロードロックに
移動した後、ルーチンは質問ステップ304に戻る。質
問への応答が否定であった場合、ルーチンはステップ3
04からステップ308に進む。ステップ308で、ル
ーチンは、チャンバAをターゲット・チャンバとするウ
ェハがチャンバB内にあるような、優先度が最も高いチ
ャンバ(例えばチャンバA)を選択する。しかし、そう
したウェハが2つ以上ある場合には、ルーチンはその現
在のチャンバでの残り時間が最も短いウェハを、移動す
べきウェハとして選択する。ステップ310で、ロボッ
トはチャンバBに配置され、その中でウェハWが完全に
処理されるのを待つ。ステップ312で、ロボットはウ
ェハWをチャンバBからチャンバAへ移動する。移動が
終了すると、ルーチンは質問ステップ3一般的に、ステ
ージを走査することにより、最も高い優先度のチャンバ
が選択される。これは、各チャンバに割り当てられた優
先度を監視し、最も高い優先度のチャンバを見つけるこ
とを伴なう。一般に、この走査プロセスは、各チャンバ
の優先度に関する情報を含むデータ構造を走査すること
によって達成される。
【0059】図4は、1つのステージ内の各チャンバを
識別し、特徴づけるために使用できるデータ構造例40
0を示す。クラスタ・ツールの動作は、ツール全体、そ
の構成チャンバ、及び様々なプロセスステップに関する
情報を含むデータ構造400によって定義される。デー
タ構造400(リンク・リスト)は、実行するプロセス
の各ステージiのツールを定義する複数のレコード40
2を含む。各レコード402は、n個の見出しの配列4
04、n個のチャンバ・レコードの配列406、空のチ
ャンバ欄(empty chamber field)408、稼働中のチ
ャンバ欄(activechamber filed)410、「最も進行し
た」ウェハ標識欄(most advanced waferindicator fiel
d)414、ターゲット・チャンバ欄(target chamber fi
eld)14、及び集合処理時間欄(aggregate processing
time field)416を含む。各見出しは、特定のステ
ージiで各チャンバが実行すべきプロセスステップを手
短かに記述する。
【0060】稼働中のチャンバ(すなわちクリーン・モ
ードではないチャンバ)を識別する各レコード406
は、チャンバ識別欄420、ウェハ番号欄422、ウェ
ハ導入時間欄424、ウェハ排出時間欄426、次のチ
ャンバ欄428、次のウェハ欄430、及びウェハ・カ
ウント欄432を含む。クリーン・モードの各チャンバ
は、チャンバID欄420、クリーニング開始時間欄4
34、及びチャンバ準備完了時間欄436によって識別
される。各チャンバの識別番号は欄420に保存され
る。ウェハ番号欄422は、この特定のステージi中に
このチャンバで処理されるウェハの識別番号を含む。ウ
ェハ導入時間欄424はプロセス中にウェハがチャンバ
に導入される時間を含み、ウェハ排出時間欄426は、
ウェハがチャンバから取り出される時間を含む。次のチ
ャンバ欄は、ウェハを次に導入するチャンバの識別番号
を含む。欄432は、最後のクリーニング・サイクル以
後チャンバで処理されたウェハの数のカウントを含む。
チャンバ・クリーニング・サイクルが始まるときには、
そのチャンバは、欄434及び436に含まれるレコー
ドで識別される。欄434は、クリーニング・サイクル
を開始した時間を含む。チャンバ準備完了時間欄436
は、クリーニング・サイクル後にチャンバが再びウェハ
を処理できる状態になった時間を含む。
【0061】各ステージ・ファイル402もまた、欄4
08にステージi中の空のチャンバ数及び欄410に稼
働中のチャンバの数を示す。「最も進行した」ウェハ標
識欄412は、「(処理が)最も進行」したウェハをス
テージiから排出すべき時間を含む。ターゲット・チャ
ンバ欄414は、最も進行したウェハが次のステージで
導入されるチャンバを含む。最後に、欄416はステー
ジiの集合処理時間又はステージiの優先度を含む。こ
うして、プロセスの各ステージに関連するデータ構造を
走査することにより、本発明はどのチャンバでもその状
態に素早くアクセスすることができ、その次の運動の優
先順位を決定し、1つのチャンバから別のチャンバにウ
ェハを効率的に搬送する。
【0062】II.ガンマ許容ウェハ・パッキング・ルー
チン ウェハ・パッキング・ルーチンを純粋直列トレースに適
用すると、上記ステップ4で、ロボットは、チャンバ内
でウェハの処理が終了するまで、チャンバのところで待
機しなければならない。それ以外にロボットができるこ
とが何もないからである。混合トレースでは、ロボット
は、ステージS−1のウェハが処理されるのを待つので
はなく、別のウェハ搬送を行なうことができる。そうし
た改良を促進するために、基本ウェハ・パッキング・ル
ーチンは次の通り実現される。
【0063】1.初期化(S←0)し、ステップ2へ進
む。
【0064】2.S←S+1. S=N+1ならば、ス
テップ9へ進む。そうでなければ、ステップ3へ進む。
【0065】3.ステージSを走査し、空のチャンバが
無いか調べる。空のチャンバが無ければ、ステップ2に
戻る。そうでなければ、ステップ4へ進む。
【0066】4.ステージSの空のチャンバを識別す
る。それをチャンバAとする。ステージS−1を走査
し、ステージS−1から排出できる最初のウェハを見つ
ける。それをチャンバBのウェハWとする。
remains:=Tfinish−Tnow−Trobotを計算する。
ここでTfinishはチャンバBが処理を終了する予想時間
であり、Tnowは現在の時刻、Trobotはロボットがその
現在の位置からBに到達するまでに要する時間である。
remainsが正の値でない場合には、ステップ5に進
む。それ以外の場合は、ステップ6に進む。
【0067】5.ロボットをチャンバBに配置し、ウェ
ハをBからAに移動する。データ構造を更新し、ステッ
プ1に戻る。
【0068】6.Xが空であって、Tmeanwhile:=Z
robot+Twaitの時間が最小になるような1対のチャン
バX及びYがステージt及びt−1でそれぞれ見つかる
まで、全てのステージをステージ0から走査し、上記ス
テップ2及び3を適用する。Zro botは、ロボットの現
在の位置からYまでの回転時間にYからXまでのウェハ
搬送時間を加えた時間であり、TwaitはチャンバYにお
ける予想待ち時間である。ステップ7に進む。
【0069】7.Tremains/Tmeanwhile<γならば、
ステップ5に進む。そうでなければ、ステップ8に進
む。
【0070】8.ロボットをチャンバYに配置し、必要
ならば待機させ、ウェハをチャンバYからチャンバXに
移動する。Tremains←Tremains−Tmeanwhile.T
remainsが正でない場合は、ステップ5へ進む。それ以
外の場合は、ステップ6へ進む。
【0071】9.ロボットを、ステージNから最初に排
出できる最初のウェハのあるチャンバ位置に移動する。
(処理済み)ウェハをステージNからロードロックへ移
動した後、データ構造を更新し、ステップ1へ移動す
る。
【0072】上記ルーチンに対応する流れ図を、以下
で、図5に関連して説明する。γが1以上の場合、ロボ
ットは、WをBからAに移動するのが遅すぎないときに
限り、別のウェハ搬送を実行する(ステップ6で要求さ
れた1対のチャンバX及びYが見つかったことを前提と
する)。γが1未満の場合には、ウェハWをBからその
ターゲット・チャンバAに移動するのが遅れることは許
容される。
【0073】図5は、ガンマ(γ)許容ウェハ・パッキ
ング・ルーチン500として知られる改良されたウェハ
・パッキング・ルーチンのブロック図である。このルー
チンはステップ502で始まり、ステップ504に進
む。ステップ504で、ルーチンは最も上流の空のチャ
ンバ(例えばCx )を選択する。しかし、空のチャンバ
が無い場合は、ルーチンはロードロックを選択する。ス
テップ506で、ルーチンはチャンバCxで使用するウ
ェハとして、ステージi−1で最初に利用可能なウェハ
を選択する。チャンバCyのウェハWを最初に利用可能
なウェハとし、Tf inishを、ウェハWがチャンバCy
ら排出できる状態になる瞬間の時刻とする。ステップ5
08で、ルーチンは、ウェハWの処理が終了するまでの
残り時間を計算する。残り時間は、ウェハが処理を終了
すると予想される時刻から現在の時刻を引いた値に等し
い。これは、Tremains =Tfinish−Tnow と表記され
る。ここでTnow は現在の時刻である。ステップ510
で、ルーチンはTremains が0より大きいかどうか質問
する。質問への応答が否定であった場合、ルーチンはス
テップ512に進み、ここでロボットはCyに配置さ
れ、ウェハWをCy からCxに移動する。残り時間が0
以下であるので、ロボットは、ウェハの搬送準備ができ
るまで、チャンバCyで待つ時間が短くてすむ。ウェハ
を搬送し終わると、ルーチンはステップ504に戻り、
次に搬送するウェハを選択する。ステップ510の質問
への応答が肯定であった場合には、ルーチンはステップ
514に進む。ステップ514で、ルーチンは、チャン
バCyとCxの間の搬送が終了するまでの残り時間内に終
了できる別のウェハ搬送を探索する。つまり、T
remains の時間内に実行できる別の搬送を探す。別の移
動を終了するために必要な時間は、Tme anwhile と表わ
す。この時間は、ロボットの予想回転時間に、選択され
たチャンバ位置でそのチャンバ内の処理が終了するまで
の待ち時間を加えることによって計算される。つまり、
meanwhile はTrobot +Twaitに等しい。ここでT
robo t は回転時間、Twaitは新しいチャンバでの待ち時
間である。ステップ516では、Tmeanwhile をT
remains で割り、しきい値γと比較する。この除算で得
られる商は、チャンバCyのウェハを排出できる状態に
なるまでに、別のウェハを搬送するだけの充分な時間が
あるかどうかを示す。商がγ以下の場合、ロボットは別
の搬送を行なうだけの充分な時間が無く、したがってル
ーチンはステップ512へ進み、そこでチャンバCy
らチャンバCxへの搬送を完了する。しかし、商がγよ
り大きい場合には、ロボットは、チャンバCyのウェハ
が排出できる状態になるのを待つ間に、別の搬送を達成
するだけの時間がある。したがって、ルーチンはステッ
プ516からステップ518に進み、そこで別のウェハ
を搬送する。次にルーチンはステップ508に戻り、チ
ャンバCy 内のウェハを搬送できる状態になるまでの間
に、別の搬送を終了することができるかどうかを計算す
る。一般的に、γの値は1である。したがって、商が1
より大きければ、ロボットは別のウェハ搬送を実行す
る。しかし、商が1以下であれば、ウェハをチャンバC
yからチャンバCxに移動するのが遅れても、その遅れは
スループットに悪影響を及ぼさないので、許容される。
【0074】固有の簡潔性、実行時間の複雑度の低さ、
及び実現の容易さ以外に、優先度に基づくこのウェハ・
パッキング・ルーチンの重要性は、クラスタ・ツール内
のウェハの流動を円滑にするその効果からも得られる。
隘路となったステージがあると、護送船団効果(convoy
effect )が現れるので、すぐに識別できる。例えばS
が唯一の隘路となったステージである場合、トレースの
寸見から、ステージ1、2、・・・S−1のウェハはそ
れぞれのチャンバから容易に排出することができるが、
ステージS+1、S+2、・・・Nのチャンバは空であ
る傾向が高いことが分かる。ウェハ・パッキング・ルー
チンは優先度が最も高い空のチャンバ(ステージ番号の
低いもの)から作動を開始するため、ステージS+1の
チャンバを選択する可能性が最も高く、したがって隘路
となったステージが最初にウェハを排出されるので、パ
ッキング・ルーチンは、隘路となったステージから生じ
るコンボイ効果を最小限に抑制する可能性が最も高い。
したがって、ウェハ・パッキング・ルーチンは、隘路と
なったステージから生じる護送船団効果を最小限にとど
めるという意味で、適応性がある。
【0075】III.処理時間に基づくスケジューリング
(SPT) チャンバC1、C2、・・・Cnが全て同一ステージSに
属し、T1、T2、・・・Tnがそれぞれのチャンバ処理
時間である場合、ステージSの集合処理時間Dsは1/
s=1/T1+1/T2+・・・+1/Tnとして計算さ
れる。任意の時刻に、チャンバCiが利用できない場合
(例えばチャンバ・クリーニング機能のため)、そのチ
ャンバの処理時間は無限大に設定され、上記公式は有効
であり続ける。ステージkの優先度は、シーケンス
1、・・・qnと集合処理時間のシーケンスD1、・・
・Dnとの関係が、、|Di−Dj|≦Λならば、qi=q
jとなり、Di>Dj+Λならば、qi>qjとなるように
(ここでΛは正数である)、正の整数が割り当てられ
る。Λの値を変化させることにより、ルーチンは特定の
処理シーケンスの優先度を動的に割り当てる。チャンバ
のクリーニング機能のため、これらの優先度は、ツール
の作動中固定されない。したがって、チャンバのクリー
ニングが起動又は終了するたびに、優先度は再計算され
る。
【0076】ステージ内の全てのチャンバが同じプロセ
スを実行する場合(通常はこれが一般的である)、全て
のチャンバはそのステージの優先度を受け継ぐ。そうで
ない場合には、チャンバの個々の処理時間に基づいて、
ステージ内における異なる優先度が割り当てられる(処
理時間が長いほど、優先度が高くなる)。ステージ処理
時間に基づく優先度のより厳密な定義は、Diだけでな
く、Di+eiという数字を使用する。eiは、ステージ
iの集合交換時間(例えば、ロボットが処理のために待
つことなく、ウェハWiをその次のウェハWi+1と置き換
えるために必要な時間)である。
【0077】基本的なSPTルーチンの動作は次の通り
である。
【0078】0.優先度を再計算し、ステップ1に進
む。
【0079】1.全てのステージを走査し、空のチャン
バのある最も高い優先度のステージを見つける。複数の
ステージが同一優先度を持つ場合、最も低いステージ番
号を選択する。空のチャンバを含むステージが無い場合
には、ステップ2へ進む。そうでない場合には、ステッ
プ3へ進む。
【0080】2.ステージNを最初に終了するウェハの
チャンバにロボットを移動する。ウェハをステージNか
らロードロックに移動した後、データ構造を更新し、ス
テップ1へ進む。
【0081】3.上記ステップ1で選択したステージを
Sとし、ステージSで処理時間が最も長い空のチャンバ
をAとする(空のチャンバが複数存在する場合)。Aを
見つけ出して記録し、ステップ4へ進む。
【0082】4.ステージS−1で、ターゲット・チャ
ンバがAである稼働中の全てのチャンバの集合を見つけ
る。チャンバBのウェハWをステージS−1から最初に
排出するウェハとする。ロボットをBの位置に移動し、
必要ならば待ち、WをチャンバBからチャンバAへ移動
する。データ構造を更新し、ステップ0へ移動する。
【0083】図6は、本発明の第3実施形態の流れ図で
ある。ルーチン600はステップ602から始まり、ス
テップ604へ進む。ステップ604で、上述のように
ステージの優先度を再計算する。ステップ606で、ル
ーチンは全てのチャンバがいっぱいであるか否かを質問
する。全てのチャンバがいっぱいであれば、ルーチンは
ステップ608に進み、そこでシステムはロボットを最
後のステージに移動させ、ロボットは必要ならばそこで
待つ。次にロボットは、最初に排出できる状態になった
ウェハをロードロックへ移動する。ルーチンは次にステ
ップ604に戻り、そこで集合処理時間の変化を考慮に
入れて、優先度を再計算する。ステップ606の質問へ
の応答が否定であった場合、ルーチンはステップ610
に移動し、そこでプロセスは、チャンバCAをターゲッ
ト・チャンバとするウェハWがチャンバCB内にあるよ
うに、最も高い優先度のチャンバを選択する。全てのチ
ャンバが同一優先度を持つ場合には、ルーチンは最も低
いステージ番号を選択する。ステップ612で、ロボッ
トはチャンバCBに配置され、ウェハWが処理を終了す
るのを待つ。ステップ614で、ロボットはウェハWを
チャンバCBからチャンバCAへ移動し、ステップ604
に戻り、そこでステージ内の全てのチャンバの優先度を
もう一度再計算する。新しい優先度は、このトレースの
データ構造、例えば図4の欄416に保存される。
【0084】図7は、γ許容スケジューリング・ルーチ
ン(図5)の特徴と動的優先順位付けスケジューリング
・ルーチン(図6)の特徴を結合した、本発明の第4実
施形態のブロック図である。図7のルーチン700はス
テップ702から始まり、ステップ704へ進む。ステ
ップ704で、各々のチャンバの優先度が計算される。
ステップ706で、ルーチンは最も優先度の高い空のチ
ャンバ(例えばチャンバCx)を選択する。空のチャン
バが無ければ、ルーチンはロードロックを選択する。ス
テップ708で、ルーチンはステージi−1から最初に
チャンバCxへ搬送できる状態になるウェハを選択す
る。ルーチンの残りのステップ(ステップ710ないし
720)は、図5に関連して説明したように、ステップ
508ないし518でクラスタ・ツール内の様々なウェ
ハが、割り当てられた優先度及び特定のウェハ移動のガ
ンマ許容差に基づいて移動するのと、全く同様に機能す
る。したがって、図7についてはこれ以上詳しく説明す
る必要はなく、図5の説明を再度検討されたい。
【0085】IV.ロボット結合スケジューリング(Robo
t Bound Scheduling)ルーチン ロボット結合スケジューリング・ルーチンは、ロボット
結合混合トレースに使用することを意図したものであ
る。このルーチンは、Cxが空のターゲット・チャンバ
であり、CyがウェハWを含み、WをCyからCxへ移動
する時間、すなわち
【数12】 が最小となるような候補チャンバCx及びCyを探索す
る。Trobotは、ロボットがその現在の位置からCyのホ
ームポジションに到達するまでに要する時間に、ウェハ
をCyからそのターゲット・チャンバCxに移動する時間
を加えた値であり、Twaitは、WがCyから排出できる
状態になるまでロボットがCyで待たなければならない
時間である(ロードロックの場合、Twaitは常にゼロで
ある)。Tmo veの最小値を見つけだすために、O(m)
時間がかかることは明らかである。ここで、mはチャン
バの数であり、O(m)は周知のプログラムの複雑さの
尺度である。
【0086】ロボット結合スケジューリング・ルーチン
は、次の形式を持つ。
【0087】1.初期化(S←0、Tmove←∞、新位置
←無し、ターゲット←無し)し、ステップ2へ進む。
【0088】2.S←S+1. S=N+2ならば、ス
テップ5へ進む。そうでなければ、ステップ3へ進む。
【0089】3.ステージSを走査し、空のチャンバが
無いか調べる。空のチャンバが無ければ、ステップ2に
戻る。そうでなければ、ステップ4へ進む。
【0090】4.ステージSの各々の空のチャンバにつ
いて、時間Trobot+Twaitを計算する。チャンバCx
yの対について達成できるステージSのTrobot+T
waitの最小値をfsとする。ただしCxはステージSにあ
り、CyはステージS−1にある。fs>Tminの場合、
ステップ2へ戻る。そうでなければ、Tmin←fs、新位
置←Cy、及びターゲット←Cxとし、ステップ2へ戻
る。
【0091】5.ロボットを新位置のチャンバに移動
し、必要ならば待ち、ウェハを新位置からターゲット・
チャンバへ移動する。データ構造を更新し、ステップ1
へ進む。
【0092】図8の流れ図は、ロボット結合スケジュー
リング・ルーチンの実現を表わす。上記ステップ4には
暗黙の探索(implicit search)が含まれる。ステージ
Sの全ての空のチャンバについて、ステージS−1の全
ての空でないチャンバに対するTmoveの値を計算する。
ステージSの空のチャンバをCsとし、チャンバCsの全
ての間隔時間Tminの最小値をhsとする(せいぜいn
s-1個のhsの値があり、nsはステージSのサイズであ
る)。したがって、ステップ4のfsはステージSの全
ての空のチャンバに対する全てのhsの値の中の最小値
である。
【0093】ロードロックは、ステージ0及びステージ
N+1の両方の場合がある。ステージ0として取り扱わ
れる場合には、常に排除できるウェハがある。ステージ
0のTwait=0であるので、ロードロックはしばしば新
位置チャンバの候補になる傾向が高い。ロードロックが
ステージN+1と解釈されるときは、常にウェハを導入
できる空の場所がある。
【0094】図8は、本発明の第4実施形態の流れ図で
ある。ルーチン800はステップ802から始まり、ス
テップ804へ進む。ステップ804で、ステージ番号
が1に設定される。例えば、ロードロック後の最初のチ
ャンバである。ロードロックはステージ0であり、ステ
ージN+1でもあることに注目されたい。ステップ80
6で、ルーチンは、ウェハをステージS−1からステー
ジSに移動するための最短時間を決定する(例えばT
moveを計算する)。ステップ808で、ルーチンはステ
ージ1、2、・・・Sの全体の最短時間(Tmin )を記
録し、対応する新しい位置及びターゲット・チャンバを
記録する。ステップ810で、ステージ番号を1だけ増
分する。ステップ812で、ルーチンはステップ番号が
N+2であるか否かを質問する。質問への応答が否定の
場合には、ルーチンは経路814に沿ってステップ80
6へ戻る。ステップ812における質問への応答が肯定
の場合には、ルーチンはステップ816に進む。ステッ
プ816で、ロボットは新しい位置に移動し、その中の
ウェハの処理が終了するのを待ち、それからロボットは
ウェハをターゲット・チャンバへ搬送する。次にルーチ
ン800はステップ804に戻る。
【0095】ルーチン800のステップ808には暗黙
の探索が含まれる。ステージSの全ての空のチャンバに
ついて、ステージS−1の全ての空でないチャンバに対
するTmoveを計算する。ステージSの空のチャンバをC
sとし、チャンバCsの全ての間隔時間Tminの最小値を
sとする(せいぜいns-1個のhsの値があり、nsはス
テージSのサイズである)。したがって、ステップ80
8で、fsはステージSの全ての空のチャンバにおける
全てのhsの値の中の最小値となる。
【0096】本発明の様々な実施形態により、複数のプ
ロセスチャンバを有するウェハ処理ツールのスループッ
トを、先行技術に比べて改良することが可能である。ア
プライド・マテリアルズ社で製造されたEnduraクラスタ
・ツール及び本発明の様々な実施例をモデル化すること
によって作成したシミュレーション・データから、先行
技術のスケジューリング・ルーチンに比べて、スループ
ットが2.4ないし20パーセント向上することが示さ
れた。
【0097】以上、本発明の教示を組み込んだ様々な実
施形態を図示し、詳しく説明したが、当業者はこれらの
教示を組み込んだその他の多くの変化例を容易に思いつ
くことができるであろう。
【図面の簡単な説明】
【図1】シーケンサによって制御されるマルチチャンバ
半導体ウェハ処理ツールの略図である。
【図2】本発明に従って動作ステージを実行するシーケ
ンサのブロック図である。
【図3】本発明の第1実施形態のソフトウェア実現手順
の流れ図である。
【図4】本発明で使用する優先順位情報を含むデータ構
造例である。
【図5】本発明の第2実施形態のソフトウェア実現手順
の流れ図である。
【図6】本発明の第3実施形態のソフトウェア実現手順
の流れ図である。
【図7】本発明の第4実施形態のソフトウェア実現手順
の流れ図である。
【図8】本発明の第5実施形態のソフトウェア実現手順
の流れ図である。

Claims (18)

    【特許請求の範囲】
  1. 【請求項1】 複数のチャンバを有するマルチチャンバ
    半導体ウェハ処理システム内における半導体ウェハの処
    理スケジューリング方法であって、 前記複数のチャンバの各チャンバに優先順位を割り当て
    るステップと、 最も高い優先順位を有するチャンバを選択するステップ
    と、 選択されたチャンバに割り当てられた優先順位に従っ
    て、選択されたチャンバからウェハをターゲット・チャ
    ンバに移動するステップと、を備える半導体ウェハの処
    理スケジューリング方法。
  2. 【請求項2】 複数のチャンバが最も高い優先順位を有
    する場合、前記選択ステップがさらに、最も高い優先順
    位を持ち、かつ残りの処理時間が最も短いチャンバを選
    択するステップを備える請求項1記載の半導体ウェハの
    処理スケジューリング方法。
  3. 【請求項3】 選択されたチャンバが処理を完了するま
    での時間(Tremain s )を決定するステップと、 少なくとも1つの他のチャンバにおけるウェハの移動を
    実行するための時間(Tmeanwhile)を計算するステッ
    プと、 TmeanwhileをTremainsで割って商を出すステップと、 前記商をしきい値と比較するステップと、 前記商がしきい値より小さい場合には、選択されたチャ
    ンバ内のウェハを移動するステップと、 前記商がしきい値より大きい場合には、他のチャンバの
    ウェハを移動するステップと、をさらに備える請求項1
    記載の半導体ウェハの処理スケジューリング方法。
  4. 【請求項4】 各チャンバの処理時間に応答して各チャ
    ンバに優先順位を動的に割り当てるステップをさらに備
    える請求項1記載の半導体ウェハの処理スケジューリン
    グ方法。
  5. 【請求項5】 ウェハを移動した後、前記優先順位を再
    計算するステップをさらに備える請求項4記載の半導体
    ウェハの処理スケジューリング方法。
  6. 【請求項6】 各チャンバの処理時間に応答して各チャ
    ンバに優先順位を動的に割り当てるステップをさらに備
    える請求項3記載の半導体ウェハの処理スケジューリン
    グ方法。
  7. 【請求項7】 ウェハを移動した後、前記優先順位を再
    計算するステップをさらに備える請求項6記載の半導体
    ウェハの処理スケジューリング方法。
  8. 【請求項8】 前記割当てステップがさらに、チャンバ
    内のウェハを移動するまでの時間が最も短いチャンバに
    最も高い優先順位を割り当て、チャンバ内のウェハを移
    動するまでの時間が最も長いチャンバに最も低い優先順
    位を割り当てることを備える請求項1記載の半導体ウェ
    ハの処理スケジューリング方法。
  9. 【請求項9】 複数のチャンバを有するマルチチャンバ
    半導体ウェハ処理システム内における半導体ウェハの処
    理スケジューリング装置であって、 前記複数のチャンバの各チャンバに優先順位を割り当
    て、最も高い優先順位を持つチャンバを選択するシーケ
    ンサと、 前記シーケンサに結合され、選択されたチャンバに割り
    当てられた優先順位に従って選択されたチャンバからウ
    ェハをターゲット・チャンバに移動するウェハ搬送ロボ
    ットと、を備える半導体ウェハの処理スケジューリング
    装置。
  10. 【請求項10】 複数のチャンバが最も高い優先順位を
    持つ場合、前記シーケンサが、最も高い優先順位を持
    ち、かつ残りの処理時間が最も短いチャンバを選択する
    ことを特徴とする請求項9記載の半導体ウェハの処理ス
    ケジューリング装置。
  11. 【請求項11】 前記シーケンサがさらに、 選択されたチャンバの処理が終了するまでの時間(T
    remains)を決定する手段と、 少なくとも1つの他のチャンバにおけるウェハの移動を
    実行するための時間(Tmeanwhile)を計算する手段
    と、 TmeanwhileをTremainsで割って商を出す手段と、 前記商をしきい値と比較する手段と、を備え、 前記商がしきい値より小さい場合には、ウェハ搬送ロボ
    ットが選択されたチャンバ内のウェハを移動し、 前記商がしきい値より大きい場合には、ウェハ搬送ロボ
    ットが他のチャンバのウェハを移動する、請求項9記載
    の半導体ウェハの処理スケジューリング装置。
  12. 【請求項12】 前記シーケンサがさらに、各チャンバ
    の処理時間に応答して各チャンバに優先順位を動的に割
    り当てる手段を備える請求項9記載の半導体ウェハの処
    理スケジューリング装置。
  13. 【請求項13】 前記シーケンサがさらに、ウェハを移
    動した後、前記優先順位を再計算する手段を備える請求
    項12記載の半導体ウェハの処理スケジューリング装
    置。
  14. 【請求項14】 前記シーケンサがさらに、各チャンバ
    の処理時間に応答して各チャンバに優先順位を動的に割
    り当てる手段を備える請求項11記載の半導体ウェハの
    処理スケジューリング装置。
  15. 【請求項15】 前記シーケンサがさらに、ウェハを移
    動した後、前記優先順位を再計算する手段を備える請求
    項14記載の半導体ウェハの処理スケジューリング装
    置。
  16. 【請求項16】 前記シーケンサがさらに、チャンバ内
    のウェハを移動するまでの時間が最も短いチャンバに最
    も高い優先順位を割り当て、チャンバ内のウェハを移動
    するまでの時間が最も長いチャンバに最も低い優先順位
    を割り当てる手段を備える請求項9記載の半導体ウェハ
    の処理スケジューリング装置。
  17. 【請求項17】 コンピュータ・メモリに保存されるデ
    ータ構造であって、クラスタ・ツール内における1つ以
    上のウェハの起動を定義するためにシーケンサによって
    使用され、且つ、前記クラスタ・ツール内のチャンバの
    優先順位を定義する少なくとも1つの欄を備えるデータ
    構造。
  18. 【請求項18】 軌道の各ステージを定義する複数の欄
    をさらに備える請求項17記載のデータ構造。
JP9325112A 1996-10-21 1997-10-21 マルチチャンバ半導体ウェハ処理システム内の優先順位に基づくウェハ処理スケジューリング方法及びその装置 Withdrawn JPH10189687A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/735370 1996-10-21
US08/735,370 US5928389A (en) 1996-10-21 1996-10-21 Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool

Publications (1)

Publication Number Publication Date
JPH10189687A true JPH10189687A (ja) 1998-07-21

Family

ID=24955471

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9325112A Withdrawn JPH10189687A (ja) 1996-10-21 1997-10-21 マルチチャンバ半導体ウェハ処理システム内の優先順位に基づくウェハ処理スケジューリング方法及びその装置

Country Status (5)

Country Link
US (3) US5928389A (ja)
EP (1) EP0837494A3 (ja)
JP (1) JPH10189687A (ja)
KR (1) KR19980032999A (ja)
TW (1) TW352453B (ja)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6470231B1 (en) * 2000-04-21 2002-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for auto dispatching wafer
KR100508679B1 (ko) * 1998-12-31 2005-08-17 에이에스엠엘 유에스, 인크. 기판 처리 시스템을 동기화시키는 방법 및 장치
JP2006165174A (ja) * 2004-12-06 2006-06-22 Tokyo Electron Ltd 基板処理装置及び基板処理装置の基板搬送方法
JP2007165913A (ja) * 1999-06-23 2007-06-28 Asml Us Inc ウェーハ処理システムにおけるロボットの事前配置
JP2007208269A (ja) * 1998-12-31 2007-08-16 Asml Us Inc 基板処理システムを同期化させるための方法及び装置
WO2009060539A1 (ja) * 2007-11-09 2009-05-14 Canon Anelva Corporation インライン型ウェハ搬送装置
JP2011114212A (ja) * 2009-11-27 2011-06-09 Canon Anelva Corp 基板処理装置、該基板処理装置を制御する制御装置および基板処理方法
JP2011124496A (ja) * 2009-12-14 2011-06-23 Hitachi High-Technologies Corp 半導体処理システム及びプログラム
CN102253662A (zh) * 2011-04-11 2011-11-23 同济大学 基于多蚁群优化的半导体生产线排程方法
US8538573B2 (en) 2010-03-02 2013-09-17 Hitachi High-Technologies Corporation Vacuum processing apparatus and program
US8663489B2 (en) 2009-04-01 2014-03-04 Tokyo Electron Limited Substrate replacing method and substrate processing apparatus
US8812151B2 (en) 2011-05-26 2014-08-19 Hitachi High-Technologies Corporation Vacuum process device and vacuum process method
US8849446B2 (en) 2010-03-02 2014-09-30 Hitachi High-Technologies Corporation Vacuum processing apparatus and program
JP2014195006A (ja) * 2013-03-29 2014-10-09 Hitachi High-Technologies Corp 真空処理装置の運転方法
JP2014199878A (ja) * 2013-03-29 2014-10-23 芝浦メカトロニクス株式会社 処理システム、および処理方法
US9875920B1 (en) 2016-07-21 2018-01-23 Hitachi Kokusai Electric, Inc. Substrate processing apparatus
WO2022064623A1 (ja) * 2020-09-25 2022-03-31 株式会社日立ハイテク 真空処理装置の運転方法
JP2023531320A (ja) * 2020-08-14 2023-07-21 北京北方華創微電子装備有限公司 半導体処理装置の処理ジョブの起動方法、及び装置
WO2023176457A1 (ja) * 2022-03-15 2023-09-21 東京エレクトロン株式会社 基板処理装置及び基板処理方法

Families Citing this family (580)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US5975740A (en) * 1996-05-28 1999-11-02 Applied Materials, Inc. Apparatus, method and medium for enhancing the throughput of a wafer processing facility using a multi-slot cool down chamber and a priority transfer scheme
TW539918B (en) * 1997-05-27 2003-07-01 Tokyo Electron Ltd Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US6201999B1 (en) 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
GB2343550A (en) * 1997-07-29 2000-05-10 Silicon Genesis Corp Cluster tool method and apparatus using plasma immersion ion implantation
JP3319993B2 (ja) * 1997-09-10 2002-09-03 東京エレクトロン株式会社 被処理体のデッドロック判定方法、被処理体のデッドロック回避方法及び処理装置
KR19990027324A (ko) * 1997-09-29 1999-04-15 윤종용 웨이퍼 인식 시스템을 구비하는 멀티 챔버 시스템과 이를 이용한 웨이퍼 가공방법
US6122566A (en) * 1998-03-03 2000-09-19 Applied Materials Inc. Method and apparatus for sequencing wafers in a multiple chamber, semiconductor wafer processing system
US6168672B1 (en) * 1998-03-06 2001-01-02 Applied Materials Inc. Method and apparatus for automatically performing cleaning processes in a semiconductor wafer processing system
US6035245A (en) * 1998-03-24 2000-03-07 Advanced Micro Devices, Inc. Automated material handling system method and arrangement
US6336204B1 (en) * 1998-05-07 2002-01-01 Applied Materials, Inc. Method and apparatus for handling deadlocks in multiple chamber cluster tools
WO1999060614A1 (en) * 1998-05-18 1999-11-25 Applied Materials, Inc. A wafer buffer station and a method for a per-wafer transfer between work stations
JP2000058615A (ja) * 1998-07-31 2000-02-25 Promos Technol Inc 複数のウエハーの同時処理方法
DE19839023A1 (de) * 1998-08-27 2000-03-09 Wacker Siltronic Halbleitermat Verfahren und Vorrichtung zur Herstellung von epitaxierten Halbleiterscheiben mit einer Schutzschicht
JP2002526851A (ja) * 1998-10-02 2002-08-20 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ マルチステージ製造方法とシステム
JP3979750B2 (ja) * 1998-11-06 2007-09-19 株式会社荏原製作所 基板の研磨装置
JP2000150836A (ja) 1998-11-06 2000-05-30 Canon Inc 試料の処理システム
TW484184B (en) 1998-11-06 2002-04-21 Canon Kk Sample separating apparatus and method, and substrate manufacturing method
JP4343295B2 (ja) * 1998-11-06 2009-10-14 キヤノン株式会社 試料の処理システム
US6672358B2 (en) * 1998-11-06 2004-01-06 Canon Kabushiki Kaisha Sample processing system
JP4212707B2 (ja) * 1998-11-26 2009-01-21 スピードファム株式会社 ウエハ平坦化システム及びウエハ平坦化方法
US7077159B1 (en) * 1998-12-23 2006-07-18 Applied Materials, Inc. Processing apparatus having integrated pumping system
US6616394B1 (en) 1998-12-30 2003-09-09 Silicon Valley Group Apparatus for processing wafers
US6768930B2 (en) 1998-12-31 2004-07-27 Asml Holding N.V. Method and apparatus for resolving conflicts in a substrate processing system
US6865437B1 (en) * 1998-12-31 2005-03-08 Asml Holdings N.V. Robot pre-positioning in a wafer processing system
US6418356B1 (en) 1998-12-31 2002-07-09 Silicon Valley Group, Inc. Method and apparatus for resolving conflicts in a substrate processing system
US6678572B1 (en) * 1998-12-31 2004-01-13 Asml Holdings, N.V. Recipe cascading in a wafer processing system
US6328815B1 (en) * 1999-02-19 2001-12-11 Taiwan Semiconductor Manufacturing Company Multiple chamber vacuum processing system configuration for improving the stability of mark shielding process
US6745093B1 (en) * 1999-03-17 2004-06-01 Hitachi, Ltd. Vacuum process apparatus and method of operating the same
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
KR100510065B1 (ko) * 1999-06-22 2005-08-26 주식회사 하이닉스반도체 반도체 제조를 위한 오버레이 장비 자동화 방법
JP2001093791A (ja) * 1999-09-20 2001-04-06 Hitachi Ltd 真空処理装置の運転方法及びウエハの処理方法
US6748960B1 (en) 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
CA2387341A1 (en) * 1999-11-02 2001-05-10 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
JP3555107B2 (ja) * 1999-11-24 2004-08-18 ソニー株式会社 脚式移動ロボット及び脚式移動ロボットの動作制御方法
US6730598B1 (en) * 1999-12-30 2004-05-04 Intel Corporation Integration of annealing capability into metal deposition or CMP tool
US6519498B1 (en) * 2000-03-10 2003-02-11 Applied Materials, Inc. Method and apparatus for managing scheduling in a multiple cluster tool
KR100839253B1 (ko) * 2000-05-09 2008-06-17 도쿄엘렉트론가부시키가이샤 반도체 제조 시스템 및 그 제어 방법, 및 컴퓨터 판독가능한 기록 매체
US6759255B2 (en) 2000-05-10 2004-07-06 Kla-Tencor Technologies Corp. Method and system for detecting metal contamination on a semiconductor wafer
US7404681B1 (en) * 2000-05-31 2008-07-29 Fsi International, Inc. Coating methods and apparatus for coating
JP2001345241A (ja) * 2000-05-31 2001-12-14 Tokyo Electron Ltd 基板処理システム及び基板処理方法
JP4915033B2 (ja) 2000-06-15 2012-04-11 株式会社ニコン 露光装置、基板処理装置及びリソグラフィシステム、並びにデバイス製造方法
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6235656B1 (en) 2000-07-03 2001-05-22 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
US6562141B2 (en) 2000-07-03 2003-05-13 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
US6921456B2 (en) * 2000-07-26 2005-07-26 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
US6745783B2 (en) * 2000-08-01 2004-06-08 Tokyo Electron Limited Cleaning processing method and cleaning processing apparatus
US6701203B2 (en) * 2000-09-08 2004-03-02 International Business Machines Corporation Determining the capacity components of multi chamber systems
US6782337B2 (en) 2000-09-20 2004-08-24 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension an a presence of defects on a specimen
US6812045B1 (en) 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US6673637B2 (en) 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
US6829559B2 (en) 2000-09-20 2004-12-07 K.L.A.-Tencor Technologies Methods and systems for determining a presence of macro and micro defects on a specimen
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US7188142B2 (en) 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
KR100742026B1 (ko) * 2000-12-08 2007-07-23 동경 엘렉트론 주식회사 반도체 처리 시스템 및 피처리체 반송 방법
US6825447B2 (en) * 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
US7172497B2 (en) * 2001-01-05 2007-02-06 Asm Nutool, Inc. Fabrication of semiconductor interconnect structures
US6953392B2 (en) * 2001-01-05 2005-10-11 Asm Nutool, Inc. Integrated system for processing semiconductor wafers
TW526530B (en) * 2001-01-26 2003-04-01 Applied Materials Inc Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
US20020147960A1 (en) * 2001-01-26 2002-10-10 Applied Materials, Inc. Method and apparatus for determining scheduling for wafer processing in cluster tools with integrated metrology and defect control
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US20040259348A1 (en) * 2001-02-27 2004-12-23 Basol Bulent M. Method of reducing post-CMP defectivity
TWI222154B (en) * 2001-02-27 2004-10-11 Asm Nutool Inc Integrated system for processing semiconductor wafers
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
KR20020071393A (ko) * 2001-03-06 2002-09-12 주식회사 아이피에스 자동연속 웨이퍼가공시스템 및 그를 이용한 웨이퍼가공방법
US6734020B2 (en) * 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
KR20020072448A (ko) * 2001-03-10 2002-09-16 주식회사 아이피에스 자동연속 웨이퍼가공시스템 및 그를 이용한 웨이퍼가공방법
KR20020072449A (ko) * 2001-03-10 2002-09-16 주식회사 아이피에스 자동연속 웨이퍼가공시스템 및 그를 이용한 웨이퍼가공방법
KR20020073931A (ko) * 2001-03-17 2002-09-28 주식회사 아이피에스 자동연속 웨이퍼가공시스템 및 그를 이용한 웨이퍼가공방법
KR20020076039A (ko) * 2001-03-27 2002-10-09 주식회사 아이피에스 자동연속 웨이퍼가공시스템 및 그를 이용한 웨이퍼가공방법
US20020142568A1 (en) * 2001-03-27 2002-10-03 Taiwan Semiconductor Manufacturing Co., Ltd., Method and system for efficiently scheduling multi-chamber fabrication tool capacity
US6762121B2 (en) * 2001-04-04 2004-07-13 International Business Machines Corporation Method of forming refractory metal contact in an opening, and resulting structure
US6535784B2 (en) 2001-04-26 2003-03-18 Tokyo Electron, Ltd. System and method for scheduling the movement of wafers in a wafer-processing tool
JP2002353423A (ja) * 2001-05-25 2002-12-06 Canon Inc 板部材の分離装置及び処理方法
JP2002353081A (ja) 2001-05-25 2002-12-06 Canon Inc 板部材の分離装置及び分離方法
US7047099B2 (en) * 2001-06-19 2006-05-16 Applied Materials Inc. Integrating tool, module, and fab level control
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US20020192966A1 (en) * 2001-06-19 2002-12-19 Shanmugasundram Arulkumar P. In situ sensor based control of semiconductor processing procedure
US7101799B2 (en) * 2001-06-19 2006-09-05 Applied Materials, Inc. Feedforward and feedback control for conditioning of chemical mechanical polishing pad
US6910947B2 (en) * 2001-06-19 2005-06-28 Applied Materials, Inc. Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life
US6684123B2 (en) 2001-06-26 2004-01-27 Applied Materials, Inc. Method and apparatus for accessing a multiple chamber semiconductor wafer processing system
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
JP4219579B2 (ja) * 2001-07-24 2009-02-04 東京エレクトロン株式会社 ウエハ移載システム及びウエハ移載方法、並びに無人搬送車システム
US6950716B2 (en) * 2001-08-13 2005-09-27 Applied Materials, Inc. Dynamic control of wafer processing paths in semiconductor manufacturing processes
US6984198B2 (en) * 2001-08-14 2006-01-10 Applied Materials, Inc. Experiment management system, method and medium
US20030037090A1 (en) * 2001-08-14 2003-02-20 Koh Horne L. Tool services layer for providing tool service functions in conjunction with tool functions
JP2003148872A (ja) * 2001-08-28 2003-05-21 Sankyo Seiki Mfg Co Ltd オーブンシステム
US20040040660A1 (en) * 2001-10-03 2004-03-04 Biberger Maximilian Albert High pressure processing chamber for multiple semiconductor substrates
US6750156B2 (en) 2001-10-24 2004-06-15 Applied Materials, Inc. Method and apparatus for forming an anti-reflective coating on a substrate
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US7236847B2 (en) * 2002-01-16 2007-06-26 Kla-Tencor Technologies Corp. Systems and methods for closed loop defect reduction
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6736929B2 (en) * 2002-02-15 2004-05-18 Nutool, Inc. Distributed control system for semiconductor manufacturing equipment
US20040089421A1 (en) * 2002-02-15 2004-05-13 Komandur Srinivasan M. Distributed control system for semiconductor manufacturing equipment
WO2003071173A1 (en) * 2002-02-15 2003-08-28 Supercritical Systems Inc. Pressure enchanced diaphragm valve
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6776872B2 (en) * 2002-03-05 2004-08-17 Hitachi, Ltd. Data processing apparatus for semiconductor processing apparatus
US6701205B2 (en) 2002-03-06 2004-03-02 Massachusetts Institute Of Technology System integration based on time-dependent periodic complexity
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
JP3966211B2 (ja) * 2002-05-08 2007-08-29 株式会社ニコン 露光方法、露光装置及びデバイス製造方法
US20050129839A1 (en) * 2002-05-15 2005-06-16 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6797067B1 (en) * 2002-05-17 2004-09-28 Macronix International Co., Ltd. Implanter tool process parameter auto pre-setup system
US7012438B1 (en) 2002-07-10 2006-03-14 Kla-Tencor Technologies Corp. Methods and systems for determining a property of an insulating film
US7668702B2 (en) * 2002-07-19 2010-02-23 Applied Materials, Inc. Method, system and medium for controlling manufacturing process using adaptive models based on empirical data
US20040063224A1 (en) * 2002-09-18 2004-04-01 Applied Materials, Inc. Feedback control of a chemical mechanical polishing process for multi-layered films
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
JP4093462B2 (ja) * 2002-10-09 2008-06-04 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US20040072450A1 (en) * 2002-10-15 2004-04-15 Collins Jimmy D. Spin-coating methods and apparatuses for spin-coating, including pressure sensor
US7064565B1 (en) 2002-10-31 2006-06-20 Kla-Tencor Technologies Corp. Methods and systems for determining an electrical property of an insulating film
US7248062B1 (en) 2002-11-04 2007-07-24 Kla-Tencor Technologies Corp. Contactless charge measurement of product wafers and control of corona generation and deposition
US6722642B1 (en) 2002-11-06 2004-04-20 Tokyo Electron Limited High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism
US6647307B1 (en) 2002-11-07 2003-11-11 Taiwan Semiconductor Mfg. Co. Ltd. Method for controlling queue time constraints in a fabrication facility
US7272459B2 (en) 2002-11-15 2007-09-18 Applied Materials, Inc. Method, system and medium for controlling manufacture process having multivariate input parameters
US7221993B2 (en) * 2003-01-27 2007-05-22 Applied Materials, Inc. Systems and methods for transferring small lot size substrate carriers between processing tools
US7778721B2 (en) * 2003-01-27 2010-08-17 Applied Materials, Inc. Small lot size lithography bays
JP4170864B2 (ja) * 2003-02-03 2008-10-22 大日本スクリーン製造株式会社 基板処理装置および基板処理装置における基板搬送方法および基板処理方法
US20040154647A1 (en) * 2003-02-07 2004-08-12 Supercritical Systems, Inc. Method and apparatus of utilizing a coating for enhanced holding of a semiconductor substrate during high pressure processing
US6892106B2 (en) * 2003-03-21 2005-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Balancing work release based on both demand and supply variables
US7270137B2 (en) * 2003-04-28 2007-09-18 Tokyo Electron Limited Apparatus and method of securing a workpiece during high-pressure processing
US7089076B2 (en) * 2003-05-16 2006-08-08 Fsi International, Inc. Scheduling multi-robot processing systems
TW579169U (en) * 2003-05-28 2004-03-01 Benq Corp Optical module for a digital camera
JP4080405B2 (ja) * 2003-09-22 2008-04-23 大日本スクリーン製造株式会社 基板処理装置
US6931296B2 (en) * 2003-09-26 2005-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Algorithms tunning for dynamic lot dispatching in wafer and chip probing
US7103484B1 (en) 2003-10-31 2006-09-05 Kla-Tencor Technologies Corp. Non-contact methods for measuring electrical thickness and determining nitrogen content of insulating films
US7720557B2 (en) * 2003-11-06 2010-05-18 Applied Materials, Inc. Methods and apparatus for enhanced operation of substrate carrier handlers
US7218983B2 (en) * 2003-11-06 2007-05-15 Applied Materials, Inc. Method and apparatus for integrating large and small lot electronic device fabrication facilities
US20050209721A1 (en) * 2003-11-06 2005-09-22 Applied Materials, Inc. Methods and apparatus for enhanced operation of substrate carrier handlers
CN1910516B (zh) * 2004-01-29 2011-01-12 克拉-坦科技术股份有限公司 用于检测标线设计数据中的缺陷的计算机实现方法
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7177716B2 (en) 2004-02-28 2007-02-13 Applied Materials, Inc. Methods and apparatus for material control system interface
EP1569262A3 (en) * 2004-02-28 2008-04-16 Applied Materials, Inc. Methods and apparatus for enhanced operation of substrate carrier handlers
US7203563B2 (en) * 2004-04-08 2007-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Automatic N2 purge system for 300 mm full automation fab
TWI231526B (en) * 2004-06-23 2005-04-21 Powerchip Semiconductor Corp Chamber based dispatch method
JP4904034B2 (ja) * 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
US7715941B1 (en) * 2004-11-03 2010-05-11 Advanced Micro Devices Method and apparatus for scheduling a plurality of processing tools
US7699021B2 (en) * 2004-12-22 2010-04-20 Sokudo Co., Ltd. Cluster tool substrate throughput optimization
US7371022B2 (en) * 2004-12-22 2008-05-13 Sokudo Co., Ltd. Developer endpoint detection in a track lithography system
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
DE102005032601A1 (de) * 2005-01-07 2006-07-20 Heidelberger Druckmaschinen Ag Druckmaschine
US7680559B2 (en) * 2005-02-08 2010-03-16 Lam Research Corporation Wafer movement control macros
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US20070003842A1 (en) * 2005-06-29 2007-01-04 Applied Materials, Inc. Software sequencer to dynamically adjust wafer transfer decision
TWI408770B (zh) * 2005-07-15 2013-09-11 Nidec Sankyo Corp The substrate moving out of the moving method and the substrate moving out of the system
US7769225B2 (en) 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
WO2007022538A2 (en) * 2005-08-19 2007-02-22 Kla-Tencor Technologies Corporation Test pads for measuring properties of a wafer
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US8041103B2 (en) 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
US7676077B2 (en) * 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7695232B2 (en) * 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
WO2008008727A2 (en) * 2006-07-10 2008-01-17 Applied Materials, Inc. Scheduling method for processing equipment
US7522968B2 (en) * 2006-07-10 2009-04-21 Applied Materials, Inc. Scheduling method for processing equipment
US20080051930A1 (en) * 2006-07-10 2008-02-28 Oh Hilario L Scheduling method for processing equipment
US7877722B2 (en) 2006-12-19 2011-01-25 Kla-Tencor Corp. Systems and methods for creating inspection recipes
WO2008086282A2 (en) 2007-01-05 2008-07-17 Kla-Tencor Corporation Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
US8950998B2 (en) * 2007-02-27 2015-02-10 Brooks Automation, Inc. Batch substrate handling
US20080216077A1 (en) * 2007-03-02 2008-09-04 Applied Materials, Inc. Software sequencer for integrated substrate processing system
US7596423B2 (en) * 2007-03-30 2009-09-29 Tokyo Electron Limited Method and apparatus for verifying a site-dependent procedure
US7962863B2 (en) * 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
US7738093B2 (en) 2007-05-07 2010-06-15 Kla-Tencor Corp. Methods for detecting and classifying defects on a reticle
US8213704B2 (en) 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
US7796804B2 (en) 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
US7711514B2 (en) 2007-08-10 2010-05-04 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan
US7975245B2 (en) 2007-08-20 2011-07-05 Kla-Tencor Corp. Computer-implemented methods for determining if actual defects are potentially systematic defects or potentially random defects
JP5253511B2 (ja) * 2007-10-24 2013-07-31 オーツェー・エリコン・バルザース・アーゲー ワークピース製造方法及び装置
US8139844B2 (en) 2008-04-14 2012-03-20 Kla-Tencor Corp. Methods and systems for determining a defect criticality index for defects on wafers
US7987014B2 (en) * 2008-05-15 2011-07-26 Texas Instruments Incorporated Systems and methods for selecting wafer processing order for cyclical two pattern defect detection
KR101623747B1 (ko) 2008-07-28 2016-05-26 케이엘에이-텐코어 코오포레이션 웨이퍼 상의 메모리 디바이스 영역에서 검출된 결함들을 분류하기 위한 컴퓨터-구현 방법들, 컴퓨터-판독 가능 매체, 및 시스템들
US8341593B2 (en) * 2008-10-23 2012-12-25 Sap Ag Integrated development framework for composite applications
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5431901B2 (ja) * 2008-12-26 2014-03-05 キヤノンアネルバ株式会社 インライン真空処理装置、インライン真空処理装置の制御方法、情報記録媒体の製造方法
US8367565B2 (en) * 2008-12-31 2013-02-05 Archers Inc. Methods and systems of transferring, docking and processing substrates
US20100162954A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Integrated facility and process chamber for substrate processing
US7897525B2 (en) * 2008-12-31 2011-03-01 Archers Inc. Methods and systems of transferring, docking and processing substrates
US20100162955A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Systems and methods for substrate processing
US8110511B2 (en) * 2009-01-03 2012-02-07 Archers Inc. Methods and systems of transferring a substrate to minimize heat loss
US8775101B2 (en) 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
US8204297B1 (en) 2009-02-27 2012-06-19 Kla-Tencor Corp. Methods and systems for classifying defects detected on a reticle
US8112241B2 (en) 2009-03-13 2012-02-07 Kla-Tencor Corp. Methods and systems for generating an inspection process for a wafer
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9037279B2 (en) * 2009-09-09 2015-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Clustering for prediction models in process control and for optimal dispatching
JP5445006B2 (ja) * 2009-10-05 2014-03-19 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5392190B2 (ja) * 2010-06-01 2014-01-22 東京エレクトロン株式会社 基板処理システム及び基板処理方法
US8295965B2 (en) * 2010-07-19 2012-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor processing dispatch control
US8781781B2 (en) 2010-07-30 2014-07-15 Kla-Tencor Corp. Dynamic care areas
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9008833B2 (en) * 2011-08-26 2015-04-14 Applied Materials, Inc. Dynamic routing control methods and systems for a cluster tool
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US8831334B2 (en) 2012-01-20 2014-09-09 Kla-Tencor Corp. Segmentation for wafer inspection
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP5738796B2 (ja) * 2012-04-11 2015-06-24 株式会社日立ハイテクノロジーズ 処理室割当設定装置及び処理室割当設定プログラム
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8826200B2 (en) 2012-05-25 2014-09-02 Kla-Tencor Corp. Alteration for wafer inspection
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
US9630927B2 (en) 2014-01-17 2017-04-25 Taiwan Semiconductor Manufacturing Company Limited Method and manufacturing system
KR102019534B1 (ko) 2013-02-01 2019-09-09 케이엘에이 코포레이션 결함 특유의, 다중 채널 정보를 이용한 웨이퍼 상의 결함 검출
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9417515B2 (en) 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
US9612521B2 (en) 2013-03-12 2017-04-04 Applied Materials, Inc. Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US20140272684A1 (en) * 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
WO2014163791A1 (en) * 2013-03-12 2014-10-09 Applied Materials, Inc Semiconductor device manufacturing platform with single and twinned processing chambers
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
CN103439885B (zh) * 2013-07-26 2016-08-17 同济大学 半导体生产线优化调度装置
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
CN104423331B (zh) * 2013-08-20 2017-06-16 中芯国际集成电路制造(上海)有限公司 半导体集成电路生产中晶圆制造调度方法及调度系统
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
CN103529652B (zh) * 2013-10-23 2015-04-15 深圳市华星光电技术有限公司 一种精密测长机中冷却缓冲机构的进出片控制方法及装置
US9389608B2 (en) * 2013-10-23 2016-07-12 Shenzhen China Star Optoelectronics Technology Co., Ltd Loading and unloading method and device for a cooling buffer in a precise length measuring machine
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10322881B1 (en) 2013-12-17 2019-06-18 Amazon Technologies, Inc. Notifying users to provide picked items to a drop off location for processing
JP6007171B2 (ja) * 2013-12-26 2016-10-12 東京エレクトロン株式会社 基板処理システム、基板搬送方法、プログラム及びコンピュータ記憶媒体
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
CN103996644A (zh) * 2014-06-09 2014-08-20 上海华力微电子有限公司 多腔设备的工艺管理方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10295979B2 (en) * 2015-09-15 2019-05-21 Applied Materials, Inc. Scheduling in manufacturing environments
US10001773B2 (en) * 2015-09-20 2018-06-19 Macau University Of Science And Technology Optimal one-wafer scheduling of single-arm multi-cluster tools with tree-like topology
US10001772B2 (en) * 2015-09-20 2018-06-19 Macau University Of Science And Technology Optimally scheduling of close-down process for single-arm cluster tools with wafer residency time constraints
US10073444B2 (en) * 2015-09-20 2018-09-11 Macau University Of Science And Technology Petri net-based optimal one-wafer cyclic scheduling of treelike hybrid multi-cluster tools
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
JP6089082B1 (ja) * 2015-09-29 2017-03-01 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US11017984B2 (en) 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10134613B2 (en) * 2016-09-22 2018-11-20 Macau University Of Science And Technology Cluster tool apparatus and a method of controlling a cluster tool apparatus
CN107871194B (zh) * 2016-09-28 2020-10-16 北京北方华创微电子装备有限公司 一种生产线设备的调度方法和装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10043693B1 (en) * 2017-06-06 2018-08-07 Applied Materials, Inc. Method and apparatus for handling substrates in a processing system having a buffer chamber
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10359769B2 (en) 2017-09-15 2019-07-23 Applied Materials, Inc. Substrate routing and throughput modeling
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
JP6953943B2 (ja) * 2017-09-20 2021-10-27 富士フイルムビジネスイノベーション株式会社 設計支援システム、設計支援装置、及び、設計支援プログラム
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
GB2570510A (en) * 2018-01-30 2019-07-31 Pragmatic Printing Ltd System and method for manufacturing plurality of integrated circuits
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102585241B1 (ko) * 2020-12-29 2023-10-05 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
JP2023009354A (ja) * 2021-07-07 2023-01-20 富士通株式会社 演算処理装置および演算処理方法
CN113467401B (zh) * 2021-07-19 2022-09-09 江苏天芯微半导体设备有限公司 多腔体等离子体反应设备的调度方法、计算设备及介质
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115794506B (zh) * 2022-10-26 2023-10-13 北京北方华创微电子装备有限公司 一种晶片调度方法和一种电子设备

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4715921A (en) * 1986-10-24 1987-12-29 General Signal Corporation Quad processor
JPS63157870A (ja) * 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
JPH07101706B2 (ja) * 1988-09-14 1995-11-01 富士通株式会社 ウェーハの連続処理装置及び連続処理方法
US5024570A (en) * 1988-09-14 1991-06-18 Fujitsu Limited Continuous semiconductor substrate processing system
EP0408216A3 (en) * 1989-07-11 1991-09-18 Hitachi, Ltd. Method for processing wafers and producing semiconductor devices and apparatus for producing the same
JP2753142B2 (ja) * 1990-11-27 1998-05-18 株式会社東芝 半導体装置の生産システムにおける生産管理方法、生産管理装置および製造装置
US5402350A (en) * 1991-06-28 1995-03-28 Texas Instruments Incorporated Scheduling for multi-task manufacturing equipment
US5745364A (en) * 1994-12-28 1998-04-28 Nec Corporation Method of producing semiconductor wafer

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007208269A (ja) * 1998-12-31 2007-08-16 Asml Us Inc 基板処理システムを同期化させるための方法及び装置
KR100508679B1 (ko) * 1998-12-31 2005-08-17 에이에스엠엘 유에스, 인크. 기판 처리 시스템을 동기화시키는 방법 및 장치
JP4621698B2 (ja) * 1998-12-31 2011-01-26 エーエスエムエル ホールディング エヌ.ブイ. 基板処理システムを同期化させるための方法及び装置
JP2007165913A (ja) * 1999-06-23 2007-06-28 Asml Us Inc ウェーハ処理システムにおけるロボットの事前配置
JP2007214551A (ja) * 1999-06-23 2007-08-23 Asml Us Inc ウェーハ処理システムにおけるロボットの事前配置
US6470231B1 (en) * 2000-04-21 2002-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for auto dispatching wafer
JP4610317B2 (ja) * 2004-12-06 2011-01-12 東京エレクトロン株式会社 基板処理装置及び基板処理装置の基板搬送方法
JP2006165174A (ja) * 2004-12-06 2006-06-22 Tokyo Electron Ltd 基板処理装置及び基板処理装置の基板搬送方法
WO2009060539A1 (ja) * 2007-11-09 2009-05-14 Canon Anelva Corporation インライン型ウェハ搬送装置
JP4494523B2 (ja) * 2007-11-09 2010-06-30 キヤノンアネルバ株式会社 インライン型ウェハ搬送装置および基板搬送方法
JPWO2009060539A1 (ja) * 2007-11-09 2011-03-17 キヤノンアネルバ株式会社 インライン型ウェハ搬送装置および基板搬送方法
US8663489B2 (en) 2009-04-01 2014-03-04 Tokyo Electron Limited Substrate replacing method and substrate processing apparatus
JP2011114212A (ja) * 2009-11-27 2011-06-09 Canon Anelva Corp 基板処理装置、該基板処理装置を制御する制御装置および基板処理方法
JP2011124496A (ja) * 2009-12-14 2011-06-23 Hitachi High-Technologies Corp 半導体処理システム及びプログラム
US9385016B2 (en) 2009-12-14 2016-07-05 Hitachi High-Technologies Corporation Semiconductor processing system and program
US8538573B2 (en) 2010-03-02 2013-09-17 Hitachi High-Technologies Corporation Vacuum processing apparatus and program
US8849446B2 (en) 2010-03-02 2014-09-30 Hitachi High-Technologies Corporation Vacuum processing apparatus and program
CN102253662A (zh) * 2011-04-11 2011-11-23 同济大学 基于多蚁群优化的半导体生产线排程方法
US8812151B2 (en) 2011-05-26 2014-08-19 Hitachi High-Technologies Corporation Vacuum process device and vacuum process method
JP2014195006A (ja) * 2013-03-29 2014-10-09 Hitachi High-Technologies Corp 真空処理装置の運転方法
JP2014199878A (ja) * 2013-03-29 2014-10-23 芝浦メカトロニクス株式会社 処理システム、および処理方法
US9875920B1 (en) 2016-07-21 2018-01-23 Hitachi Kokusai Electric, Inc. Substrate processing apparatus
JP2023531320A (ja) * 2020-08-14 2023-07-21 北京北方華創微電子装備有限公司 半導体処理装置の処理ジョブの起動方法、及び装置
WO2022064623A1 (ja) * 2020-09-25 2022-03-31 株式会社日立ハイテク 真空処理装置の運転方法
JPWO2022064623A1 (ja) * 2020-09-25 2022-03-31
WO2023176457A1 (ja) * 2022-03-15 2023-09-21 東京エレクトロン株式会社 基板処理装置及び基板処理方法

Also Published As

Publication number Publication date
EP0837494A2 (en) 1998-04-22
EP0837494A3 (en) 1998-12-02
TW352453B (en) 1999-02-11
KR19980032999A (ko) 1998-07-25
US6224638B1 (en) 2001-05-01
US5928389A (en) 1999-07-27
US6074443A (en) 2000-06-13

Similar Documents

Publication Publication Date Title
JPH10189687A (ja) マルチチャンバ半導体ウェハ処理システム内の優先順位に基づくウェハ処理スケジューリング方法及びその装置
US6336204B1 (en) Method and apparatus for handling deadlocks in multiple chamber cluster tools
US6519498B1 (en) Method and apparatus for managing scheduling in a multiple cluster tool
EP3776241B1 (en) Loop thread order execution control of a multi-threaded, self-scheduling reconfigurable computing fabric
US8006244B2 (en) Controller for multiple instruction thread processors
KR0150290B1 (ko) 기판처리장치 및 방법
US5293620A (en) Method apparatus for scheduling tasks in repeated iterations in a digital data processing system having multiple processors
US5155854A (en) System for arbitrating communication requests using multi-pass control unit based on availability of system resources
KR20200138339A (ko) 멀티 스레드, 자체 스케줄링 재구성 가능한 컴퓨팅 패브릭에 대한 조건부 브랜칭 제어
KR20200138761A (ko) 재진입 큐를 사용하는 멀티 스레드, 자체 스케줄링 재구성 가능한 컴퓨팅 패브릭에 대한 루프 실행 제어
KR20200138787A (ko) 멀티 스레드, 자체 스케줄링 재구성 가능한 컴퓨팅 패브릭에 대한 효율적인 루프 실행
KR20200138802A (ko) 멀티 스레드, 자체 스케줄링 재구성 가능한 컴퓨팅 패브릭에 대한 중지 신호를 사용한 역압 제어
KR20200139742A (ko) 멀티 스레드, 자체 스케줄링 재구성 가능한 컴퓨팅 패브릭의 실행 제어
EP0410105A2 (en) A computer architecture for the concurrent execution of sequential programs
US20160188222A1 (en) Integrated Main Memory And Coprocessor With Low Latency
KR20060026852A (ko) 스케즐링 다중 로벗 처리 시스템
KR20200138340A (ko) 멀티 스레드, 자체 스케줄링 재구성 가능한 컴퓨팅 패브릭
TW200822273A (en) Scheduling method for processing equipment
US20070162729A1 (en) Method and apparatus for interrupt handling in coarse grained array
CN112817664B (zh) 一种数据处理系统、方法及芯片
US20020103990A1 (en) Programmed load precession machine
US6418350B1 (en) Periodic scheduler for dual-arm robots in cluster tools with process-module residency constraints
US7254687B1 (en) Memory controller that tracks queue operations to detect race conditions
US7506075B1 (en) Fair elevator scheduling algorithm for direct access storage device
JP2002534789A (ja) 基板処理システムを同期化させるための方法及び装置

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20050104