KR100750630B1 - 기판 열처리 장치 - Google Patents

기판 열처리 장치 Download PDF

Info

Publication number
KR100750630B1
KR100750630B1 KR1020060042724A KR20060042724A KR100750630B1 KR 100750630 B1 KR100750630 B1 KR 100750630B1 KR 1020060042724 A KR1020060042724 A KR 1020060042724A KR 20060042724 A KR20060042724 A KR 20060042724A KR 100750630 B1 KR100750630 B1 KR 100750630B1
Authority
KR
South Korea
Prior art keywords
substrate
discharge
bake plate
gas
heat treatment
Prior art date
Application number
KR1020060042724A
Other languages
English (en)
Other versions
KR20060117249A (ko
Inventor
아키히코 모리타
시게히로 고토
케이지 마츠치카
Original Assignee
다이닛뽕스크린 세이조오 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 다이닛뽕스크린 세이조오 가부시키가이샤 filed Critical 다이닛뽕스크린 세이조오 가부시키가이샤
Publication of KR20060117249A publication Critical patent/KR20060117249A/ko
Application granted granted Critical
Publication of KR100750630B1 publication Critical patent/KR100750630B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B17/00Furnaces of a kind not covered by any preceding group
    • F27B17/0016Chamber type furnaces
    • F27B17/0025Especially adapted for treating semiconductor wafers
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B5/00Muffle furnaces; Retort furnaces; Other furnaces in which the charge is held completely isolated
    • F27B5/04Muffle furnaces; Retort furnaces; Other furnaces in which the charge is held completely isolated adapted for treating the charge in vacuum or special atmosphere
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D3/00Charging; Discharging; Manipulation of charge
    • F27D3/0084Charging; Manipulation of SC or SC wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

기판을 열처리하는 기판열처리 장치이며, 상기 장치는 이하의 요소를 포함한다. 상면에 철부를 구비한 베이크 플레이트(bake plate), 상기 베이크 플레이트(bake plate)의 상면 주변부에 구비되고, 상기 베이크 플레이트(bake plate)에 기판을 재치했을 때에, 기판의 하면과 베이크 플레이트(bake plate)의 상면과의 사이에 형성되는 미소공간의 측방을 폐색하는 봉합부, 상기 미소공간의 기체를 배출하기 위한 배출 구멍, 상기 배출 구멍을 통해서 상기 미소공간의 기체를 배출한 상태에서, 상기 베이크 플레이트(bake plate)에 재치된 기판에 열처리를 한다.
베이크 플레이트, 배출계, 미소공간, 개폐밸브

Description

기판 열처리 장치{SUBSTRATE HEAT TREATMENT APPARATUS}
도1은, 실시 예 1에 관계되는 기판열처리 장치의 개략구성을 나타내는 종단면도,
도2는, 도1의 평면도,
도3은, 베이크 플레이트(bake plate)를 확대한 종단면도,
도4는, 기판을 처리하는 과정의 동작 설명도,
도5는, 기판을 처리하는 과정의 동작 설명도,
도6은, 중앙부가 윗쪽으로 돌출해서 휘어진 기판의 처리를 나타내는 설명도,
도7은, 중앙부가 아래쪽으로 돌출해서 휘어진 기판의 처리를 나타내는 설명도,
도8은, 실시 예 2에 관계되는 기판열처리 장치의 개략구성을 나타내는 종단면도,
도9는, 실시 예 3에 관계되는 기판열처리 장치의 일부를 확대해서 나타낸 종단면도,
도10은, 실시 예 4에 관계되는 기판열처리 장치의 개략구성을 나타내는 종단면도,
도11은, 실시 예 5에 관계되는 기판열처리 장치의 개략구성을 나타내는 종단면도,
도12는, 실시 예 6에 관계되는 기판열처리 장치의 개략구성을 나타내는 종단면도,
도13은, 실시 예 6의 동작 설명을 나타내는 플로 챠트,
도14는, 실시 예 7에 관계되는 기판열처리 장치의 개략구성을 나타내는 종단면,
도15는, 실시 예 7의 동작 설명중의 일부를 나타내는 플로 챠트,
도16은, 실시 예 8에 관계되는 기판열처리 장치의 일부를 확대해서 나타낸 종단면도이다.
본 발명은, 반도체 웨이퍼나 액정표시장치용의 유리 기판 (이하, 단지 기판이라고 칭한다)등의 기판에 대하여 열처리를 하는 기판열처리 장치에 관한 것이고, 특히, 기판을 베이크 플레이트(bake plate)에 밀착시키지 않고 미소공간을 사이를 두고 열처리하는 기술에 관한 것이다.
종래, 이 종류의 장치로서, 온도가 승강되는 베이크 플레이트(bake plate) 와, 베이크 플레이트(bake plate)의 상면에, 평면으로 보아서 정삼각형의 각 정점에 위치하도록 매설된 3개의 구체를 구비한 장치를 들 수 있다 (예컨대, 일본국특개 2000-193376호 공보). 이 장치로는, 기판을 베이크 플레이트(bake plate)에 재치 하면, 기판의 하면이 3개의 구체(球體)로 지지되어, 기판의 하면 전체가 베이크 플레이트(bake plate)에 밀착하는 일없이, 기판의 전면이 미소공간을 사이를 두고 이간된 상태가 된다. 이와 같이 미소공간을 사이를 두고 기판을 재치함으로써, 베이크 플레이트(bake plate)에 온도 불 균일이 존재하고 있었다고 하더라도, 기판에는 그 영향이 극력 못 미치게 되어 있다.
그런데, 반도체 제조 프로세스에 있어서는, 기판의 가열 처리는 빠뜨릴 수 없는 것이지만, 포토레지스트에 있어서의 마스크 생성 프로세스에 있어서도 현상 처리전에 행하여지는 가열 처리는, 에칭 마스크 치수의 정밀도에 크게 관계되는 중요한 문제이다. 현재 프로세스의 미세화에 따라, 기판열처리 장치에 요구되는 온도균일성은 높은 것이 되어 오고 있으며, 그것들의 요구를 만족시키는 여러가지 개량이 행하여져 왔다.
그러나, 현실의 반도체제조 프로세스에서는, 물성이 상이한 여러가지의 막이 다층으로 조합되어 가기 때문에, 그것들의 열 수축률 및 팽창율의 차이에 의한 것보다, 공정의 진행에 따라서 기판 자체가 휘는(두께 방향으로 만곡하는)것이 일반적이다. 따라서, 베이크 플레이트(bake plate)의 면내 온도분포를 아무리 정밀도 좋게 균일하게 하여도, 반도체 제조공정에 있어서의 현실의 기판을 가열 처리할 때는, 베이크 플레이트(bake plate)와 기판면과의 사이의 미소공간이 기판의 전면에 걸쳐 동일하게 되지 않고, 불균일한 간격으로 되어버린다. 그 때문에, 베이크 플레이트(bake plate)가 원래 갖고 있는 고정밀도한 온도균일성을, 기판의 열처리에 살리지 못한다는 문제가 있다.
또, 상기의 문제를 해결하기 위해서, 베이크 플레이트(bake plate)의 온도제어를 복수의 에리어로 분할하고, 기판과 베이크 플레이트(bake plate)의 거리에 따라 에리어 마다에 다른 가열 제어를 한다고 하는 제안이 되어 있다. 그러나, 기판의 휘는 량이나 휘는 방향이 한결같지 않으므로, 한 장의 기판마다에 최적의 온도제어를 실시할 필요가 생기고, 현실적으로는 용이하게 실시할 수 있는 것은 아니고, 실시했다고 하더라도, 복잡한 제어의 비해 기판의 온도처리의 균일성을 향상시킬 수가 없다.
본 발명은, 이러한 사정을 감안하여 이루어진 것이며, 흡인에 의해 기판의 휘는을 교정함으로써, 기판의 면내에 있어서 균일한 열처리를 할 수 있는 기판열처리 장치를 제공하는 것을 목적으로 한다.
본 발명은, 기판을 열처리하는 기판열처리 장치이며, 상기 장치는 이하의 요소를 포함한다 :상면에 철부를 구비한 베이크 플레이트(bake plate)상기 베이크 플레이트(bake plate)의 상면 주변부에 구비되고, 상기 베이크 플레이트(bake plate) 에 기판을 재치 했을 때에, 기판의 하면과 베이크 플레이트(bake plate)의 상면과의 사이에 형성되는 미소공간의 측방을 폐색하는 봉합(seal)부; 상기 미소공간의 기체를 배출하기 위한 배출 구멍; 상기 배출 구멍을 통해서 상기 미소공간의 기체를 배출한 상태에서, 상기 베이크 플레이트(bake plate)에 재치된 기판에 열처리를 한다.
본 발명에 의하면, 기판 하면과 베이크 플레이트(bake plate) 상면과의 사이의 미소공간의 측방 (외주부)이 봉합부에 의해 폐색되어 있으므로, 배출 구멍을 통해서 미소공간의 기체를 배출하면, 미소공간에는 부압이 생기고, 이 부압에 의해 기판면이 베이크 플레이트(bake plate)측에 흡인된다. 따라서, 기판에 휘어짐이 있어도 교정되어, 기판의 하면이 철부에 맞닿아서 베이크 플레이트(bake plate) 상면과의 거리가 적절히 유지되므로, 기판의 면내에 있어서 균일한 열처리를 할 수가 있다.
또한, 본 발명에 있어서, 배출 구멍은, 상기 베이크 플레이트(bake plate)의 상면에 개구하고 있는 것이 바람직하다.
베이크 플레이트(bake plate)의 상면에 배출 구멍이 개구하고 있으면, 기판면에 베이크 플레이트(bake plate)방향으로의 흡인력을 작용시키기 쉽게 흡인을 효율적으로 할 수 있다.
또한, 본 발명에 있어서, 배출 구멍은, 상기 베이크 플레이트(bake plate)의 중심부보다도 상기 봉합부측에 형성되어 있는 것이 바람직하다.
기판의 중앙부는 흡인에 의해 만곡하기 쉬운 관계상, 중앙부가 먼저 흡인되 어서 폐색 공간이 형성되면, 기판의 주변부에 해당되는 미소공간의 부압이 작은 채로 되고, 기판의 주변부가 충분히 흡인되지 않은 염려가 있다. 그래서, 배출 구멍을 베이크 플레이트(bake plate)의 중심부보다도 바깥쪽으로 다가서 형성됨으로써, 기판면 전체를 거의 균등하게 흡인할 수가 있고, 보다 균일한 열처리를 할 수가 있다.
또한, 본 발명에 있어서, 상기 봉합부는, 기판의 하면에 맞닿고, 하면의 높이를 상기 철부에 맞추는 지지부와, 이 지지부의 상부에서 기판의 측방으로의 이동을 규제하는 규제부를 갖추고, 상기 지지부는, 기판의 하면에 맞닿고, 기판의 외경보다 소경의 맞닿는 부와, 이 맞닿는 부 보다 외측에서 기판의 하면에 비접촉인 홈부를 구비하고 있는 것이 바람직하다.
기판을 재치 하면, 봉합부의 지지부에서 기판의 주변하면이 철부의 높이에 지지되는 동시에, 측방으로의 이동이 규제부에 의해 규제되므로, 기판의 위치 어긋남을 방지할 수 있다. 더욱이, 기판의 하면 주변부에는 각종의 공정에 있어서의 처리 액등이 돌아 들어가 있는 염려가 있고, 이것이 지지부에 밀착한 상태에서 기판이 흡인되면, 흡인을 해제해도 기판의 주변부가 지지부에서 떨어지기 어려워지는 염려가 있다. 그래서, 기판의 외경보다 소경의 맞닿는 부에서 기판의 하면을 맞닿아서 지지하는 동시에, 처리 액등이 돌아 들어가 있는 염려가 있는 기판의 하면 주변부에는 홈부로 맞닿지 않도록 하고 있으므로, 기판의 하면 주변부에 처리 액등이 부착되고 있어도 기판을 봉합부에서 떨어지기 쉽게 할 수가 있다.
또한, 본 발명에 있어서, 상기 미소공간에 기체를 공급하기 위한 공급 구멍 을 구비하고, 기판에 대한 열처리를 끝낸 후, 상기 공급 구멍으로부터 기체를 공급하는 동시에 상기 배출 구멍으로부터의 배출을 정지하는 것이 바람직하다.
흡인을 해제해서 기판을 개방하기 위해서, 미소공간의 기체를 배출한 배출 구멍으로부터 기체를 공급하면, 파티클 등이 기판의 하면을 향해서 뿜어 올려져서, 기판이 오염될 염려가 있다. 그래서, 배출 구멍과는 다른 공급 구멍을 구비하고, 흡인을 해제할 때는, 우선 공급 구멍으로부터 기체를 공급해서 부압을 해소하고, 그 후에 배출 구멍으로부터의 배출을 정지함으로써, 파티클 등의 불어 올라감을 방지할 수 있고, 청정도 높게 기판을 처리할 수가 있다. 또한, 기판의 하면에 돌아 들어간 처리 액등의 영향에 의해, 부압이 해소되어도 기판이 달라붙게 되어서 기판을 이탈시키기 어려워지는 일이 있지만, 적극적으로 기체를 공급함으로써, 기판을 들어올리도록 압력을 가하게 되므로, 기판을 용이하게 이탈시키기 쉽게 할 수 있다.
또한, 본 발명에 있어서, 상기 배출 구멍의 배출압을 검출하는 배출압 검출 수단과, 상기 배출압 검출 수단으로부터 얻어지는 배출압에 의거하여, 배출계에 이상이 있을 것인가 아닌가를 판단하는 판단 수단과, 상기 판단 수단이 이상이 있다고 판단했을 경우에는, 이상을 알리는 알림 수단을 더 구비하고 있는 것이 바람직하다.
배출압 검출 수단에서 배출압을 검출하고, 배출압에 의거해 배출계에 이상이 있다고 판단 수단이 판단했을 경우에는, 알림 수단에서 이상을 알린다. 이것에 의해, 배출계에 이상이 있어서 미소공간을 충분히 부압으로 유지못하고, 기판에 대한 열처리가 불균일해지는 상황에 있다는 것을 사전에 알릴 수 있다. 따라서, 계속적으로 기판에 부적절한 처리가 행하여지는 것을 방지할 수가 있다.
또한, 본 발명에 있어서, 상기 배출 구멍으로부터의 배출압을 전환가능하게 구성되고, 상기 미소공간내의 배출 초기의 배출압을, 그 후의 정상 시의 배출압보다도 크게 바꾸는 전환 수단을 더 구비하는 것이 바람직하다.
배출압이 클수록 기판에 작용하는 흡인력이 커지지만, 기체의 흐름에 의해 베이크 플레이트(bake plate)의 열 분포를 불균일하게 할 염려가 있다. 한편, 기판의 주변부가 중앙부보다도 위쪽으로 휘어 있을 경우에는, 봉합부와 기판주변부와의 간극이 크므로, 배출압을 크게 하지 않으면 주변부를 충분히 흡착할 수 없는 염려가 있다. 그래서, 배출 초기의 배출압을 그 후의 정상 시의 배출압보다 크게함으로써, 휘어짐이 있는 기판도 확실하게 흡인할 수가 있으면서도, 베이크 플레이트(bake plate)의 열분포에 악영향을 주지 않도록 할 수가 있다.
또한, 본 발명은, 기판을 열처리하는 기판열처리 장치이며, 상기 장치는 이하의 요소를 포함한다 :상면에 철부를 구비한 베이크 플레이트(bake plate); 상기 베이크 플레이트(bake plate)의 상면 외주부에 구비되고, 상기 베이크 플레이트(bake plate)에 기판을 재치 했을 때에, 기판의 하면에 형성되는 미소공간의 측방을 폐색하는 봉합부; 상기 베이크 플레이트(bake plate)의 상면 중 상기 봉합부보다 중심측에 배설된 다공질부재; 상기 미소공간의 기체를 배출하기 위해서, 상기 다공질부재에 연통 접속된 배출 구멍; 상기 배출 구멍을 통해서 상기 미소공간의 기체를 배출한 상태에서, 상기 베이크 플레이트(bake plate)에 재치 된 기판에 열 처리를 한다.
본 발명에 의하면, 기판 하면과 베이크 플레이트(bake plate) 상면과의 사이의 미소공간의 측방이 봉합부에 의해 폐색되어 있으므로, 배출 구멍을 통과시켜서 미소공간의 기체를 배출하면, 다공질부재를 통하여 미소공간의 하방으로부터 전체적으로 배출이 행하여져서 부압이 생기고, 이 부압에 의해 기판면이 베이크 플레이트(bake plate)측에 흡인된다. 따라서, 기판에 휘어짐이 있어도 교정되어, 기판의 하면이 철부에 맞닿아서 베이크 플레이트(bake plate) 상면과의 거리가 적절히 유지되므로, 기판의 면내에 있어서 균일한 열처리를 할 수 있다. 더욱이, 다공질부재를 통하여 면적으로 배출이 행하여지므로, 미소공간의 기체의 배출을 전체적으로 균등하게 할 수 있다. 그 결과, 베이크 플레이트(bake plate)의 열분포에 치우침이 생기기 어렵고, 열처리를 보다 균일하게 할 수 있다.
※발명을 설명하기 위해서 현재 가장 적합하다고 생각되는 몇 개의 형태가 도시되어 있지만, 발명이 도시된 대로의 구성 및 방책에 한정되는 것은 아닌 것임을 이해하기 바란다.
<실시 예>
실시 예 1
이하, 도면을 참조해서 본 발명의 실시 예1을 설명한다.
도1은, 실시 예 1에 관계되는 기판열처리 장치의 개략구성을 나타내는 종단면도이며, 도2는, 도1의 평면도이며, 도3은, 베이크 플레이트(bake plate)를 확대 한 종단면도이다.
상면에 기판(W)을 재치 하는 베이크 플레이트(bake plate)(1)는, 하부에 마이카 히터(mica heater)등의 발열체(3)가 부설되어 있다. 발열체(3)와 베이크 플레이트(bake plate)(1)의 상면과의 사이에 해당하는 전열부(5)에는, 도시하지 않은 히트 파이프가 복수개 매설되어 있다. 또한, 도시하지 않은 복수 개의 히트 파이프의 사이에는, 도시하지 않은 냉각 홈이 형성되어, 냉각용의 유체가 유통된다.
베이크 플레이트(bake plate)(1)에는, 상면으로부터 하면에 관통한 3개의 관통 구멍(7)이 형성되어 있다. 이것들의 관통 구멍(7)은 평면으로 보아서 정삼각형의 각 정점에 맞는 위치에 형성되고, 각각에 지지핀(9)이 삽통되어져 있다. 각 지지핀(9)은, 하부가 지지부재(11)에 배설되어 있는 동시에, 각 지지핀(9)의 하부측방을 주위로부터 폐색하는 주름상자부재(13)가, 지지부재(11)의 상면과 베이크 플레이트(bake plate)(1)의 하면과의 사이에 고착되어 있다. 지지부재(11)는, 에어 실린더(15)의 작동 축에 연결된 승강 암(17)에 설치되어 있다. 따라서, 에어 실린더(15)를 작동시키면, 승강 암(17)이 상승해서 각 지지핀(9)이 베이크 플레이트(bake plate)(1)의 상면으로부터 윗쪽으로 돌출해 (도1중에 2점 쇄선으로 나타낸다), 에어 실린더(15)를 비작동으로 하면, 작동축이 수축해서 승강 암(17)이 하강하고, 각 지지핀(9)이 베이크 플레이트(bake plate)(1)의 상면으로부터 아래쪽으로 퇴출한다 (도1중에 실선으로 나타낸다). 이것에 의해, 도시하지 않은 반송 수단과의 사이에서 기판(W)의 주고받기를 하게 되어 있다.
베이크 플레이트(bake plate)(1)의 상면에는, 각 관통 구멍(7)을 피하는 위 치이며, 중심위치와, 정삼각형의 각 정점에 상당하는 위치와, 중심위치와 각 정점 상당 위치의 연장상의 위치에, 7개의 요부가 뚫어져, 각 요부의 각각에 요부의 깊이보다도 약간 대경의 구체(19)가 끼워 넣어져 있다. 또한, 중심위치와 각 관통 구멍(7)의 연장선상의 위치에는, 동일하게 3개의 요부가 뚫어져, 각 요부에 구체(19)가 끼워 넣어져 있다. 기판(W)가 베이크 플레이트(bake plate)(1)에 재치 되면, 이것들의 10개의 구체(19)에 의해 베이크 플레이트(bake plate)(1)의 상면으로부터 프로키시미티갭(proximity gap)으로 불리우는 미소공간(ms)이 형성된 상태에서 지지되고(도3참조), 베이크 플레이트(bake plate)(1)의 상면으로부터의 복사열에 의해 균일하게 가열할 수 있게 구성되어 있다. 또, 본 발명에 있어서의 철부에 상당하는 구체(19)의 개수나 위치는, 기판(W)의 지름등에 따라 적절히 설정하면 된다.
또한, 베이크 플레이트(bake plate)(1)의 상면 주변부에는, 베이크 플레이트(bake plate)(1)의 상면으로부터 구체(19)가 돌출하고 있는 미소높이에 거의 같은 두께를 갖는다, 평면으로 보아 모양을 나타낸 지지부(21)가 배설되어 있다. 이 지지부(21)의 상면에는, 평면으로 보아 환상 또한 종단면 형상이 사다리꼴모양을 나타내는 규제부(23)가 입설되어 있다. 지지부(21)는 기판(W)의 하면 주변부에 맞닿고, 또한 그 하면을 미소공간(ms)의 높이에 맞추어서 지지한다. 또한, 규제부(23)는, 기판(W)의 측방으로의 이동을 규제한다. 이것들의 지지부(21)와 규제부(23)는, 미소공간(ms)의 측방을 폐색하는 봉합부(25)를 구성하고 있다.
또, 상기의 봉합부(25)로서는, 예컨대, 내열성 및 탄성을 갖는 폴리이미드 수지가 바람직하다. 또한, 그 밖에, 예컨대, 테플론 수지가 이용가능하다.
또한, 베이크 플레이트(bake plate)(1)에는, 최외주측에 위치하고 있는 구체(19)와 봉합부(25)와의 사이의 3개소에, 상면에 개구한 배출 구멍(27)이 형성되어 있다. 이 배출 구멍(27)에는 배출 배관(29)의 일단측이 연통접속되고, 그의 타단측에 진공흡인원(31)이 연통접속되어 있다. 이 진공흡인원(31)은, 예컨대, 클린룸(clean room)에 설치된 배큐엄(vaccum)의 유틸리티(utility)이다. 배출 배관(29)에는, 배기시에 있어서의 상류측에서 진공흡인원(31)을 향하여, 진공파괴밸브를 구비한 개폐밸브(33)와, 유량조정밸브(35)와, 압력계 (37)가 순차로 설치되어 있다. 또, 유량조정밸브(35)는, 개폐밸브(33)가 개방되었을 때에 배출되는 기체의 유량을 미리 설정하기 위한 것이다.
상술한 발열체(3), 에어 실린더(15)나 개폐밸브(33)등은, CPU(39)에 의해 제어된다. 그 제어는, 메모리(41)에 미리 기억되어 있는 레시피에 의거해서 행하여진다. 특히 개폐밸브(33)는, CPU(39)에 의해, 상기 개폐밸브(33)를 개방해서 배출 배관(29)을 통한 흡인을 행하게 하는 동작과, 개폐밸브(33)를 잠그어 흡인을 정지시키는 동시에, 개폐밸브(33)로부터 배출 구멍(27)측을 대기압에 개방하는 진공파괴밸브의 동작이 제어된다.
다음에, 도4로부터 도7을 참조하여, 상기한 바와 같이 구성되어 있는 기판열처리 장치의 동작에 대해서 설명한다. 또, 도4 및 도5는, 기판을 처리하는 과정의 동작 설명도이며, 도6은, 중앙부가 윗쪽으로 돌출해서 휘어진 기판의 처리를 나타내는 설명도이며, 도7은, 중앙부가 아래쪽으로 돌출해서 휘어진 기판의 처리를 나타내는 설명도이다. 또한, 발열체(3)의 온도제어 등은 레시피에 따라 이미 행하여 지고 있는 것이라고 하고 이하의 설명에 있어서는 생략한다.
우선, CPU(39)는, 에어 실린더(15)를 작동시켜서, 작동 축을 위쪽으로 돌출시킨다(도4). 이것에 의해, 3개의 지지핀(9)이 베이크 플레이트(bake plate)(1)의 상면으로부터 윗쪽으로 돌출한 상태가 된다. 이 때 주름상자부재(13)는, 지지부재(11)와 베이크 플레이트(bake plate)(1)의 하면에서 압축되어서 수축한 상태가 된다. 이 상태에서, 도시하지 않은 반송 수단으로부터 (예컨대, 회로등이 형성되는 에피택셜(epitaxial)층을 윗쪽으로 향한 상태의) 기판(W)을 받고, 돌출한 지지핀(9)으로 기판(W)을 수평자세로 지지한다.
이어서, CPU(39)는, 에어 실린더(15)를 비작동으로 하고 작동 축을 수축시킨다(도5). 이것에 의해, 기판(W)의 하면이 10개의 구체(19)에 맞닿고, 하면의 주변부가 지지부(21)에 맞닿은 상태로 된다. 또한, CPU(39)는, 개폐밸브(33)를 개방 동작시켜, 미소공간(ms)내의 기체(공기나 질소)를, 배출 구멍(27) 및 배기 배관(29)을 통해서 일정한 유량에서 배출한다. 이것에 의해, 미소공간(ms)에는 부압이 생기고, 기판(W)면이 구체(19)와 지지부(21)로 지지되어서 베이크 플레이트(bake plate)(1)측에 흡인된다. 따라서, 기판(W)에 휘어짐이 있어도 부압에 의해 교정되어, 기판(W)의 하면이 구체(19)및 지지부(21)에 맞닿아서 베이크 플레이트(bake plate)(1) 상면과의 거리가 적절히 유지되므로, 기판(W)의 면내에 있어서 균일한 열처리를 할 수 있다.
그런데, 기판(W)의 휘어짐으로서는, 도6에 도시한 바와 같이 기판(W)의 중앙부가 윗쪽으로 돌출하도록 휜 것(산형 휘어짐)과, 도7에 도시한 바와 같이 기판(W) 의 중앙부가 아래쪽으로 돌출하도록 휜 것(산골짜기형 휘어짐)이 있다. 중앙부가 윗쪽으로 돌출한 기판(W)에서는 흡인에 의해 미소공간(ms)으로부터 기체가 배출되어, 부압이 생겨서 기판(W)의 중앙부가 베이크 플레이트(bake plate)(1)의 상면측으로 당겨짐으로써, 기판(W)의 전면이 미소공간(ms)을 사이를 두고 베이크 플레이트(bake plate)(1)의 상면측에 재치된다. 한편, 중앙부가 아래쪽으로 돌출한 기판(W)에서는 주변부가 위쪽으로 휘어오른 상태이지만, 흡인에 의해 주변부의 외측으로부터 기체가 유입하여, 베르누이(Bermoulli)효과가 생기고, 기판(W)의 주변부가 아래쪽으로 당겨진다. 따라서, 동일하게 기판(W)의 전면이 미소공간(ms)을 사이에 두고 베이크 플레이트(bake plate)(1)의 상면측에 재치된다. 이와 같이, 기판(W)의 휘어지는 방향에 관계없이, 기판(W)을 적절히 베이크 플레이트(bake plate)(1)의 상면측에 재치할 수 있다.
또, 기판(W)의 중앙부가 흡인에 의해 만곡하기 쉬운 관계상, 중앙부가 먼저 흡인되어서 폐색 공간이 형성되면, 기판(W)의 주변부에 해당하는 미소공간(ms)의 부압이 작은 채로 되고, 기판(W)의 주변부가 충분히 흡인되지 않은 염려가 있다. 그러나, 배출 구멍(27)을 베이크 플레이트(bake plate)(1)의 중심부보다도 바깥쪽으로 다가서 형성하고 있으므로, 그와 같은 불량이 생기는 일이 없고, 기판(W)의 면전체를 거의 균등하게 흡인할 수가 있고, 보다 균일한 열처리를 하는 것이 가능하게 되고 있다.
상기한 바와 같이 해서 기판(W)을 재치한 후, 미리 정해진 시간만 이 상태를 유지함으로써, 기판(W)에 대하여 소정의 열처리를 시행한다.
소정시간의 열처리를 끝내면, CPU(39)는, 개폐밸브(33)를 잠그고, 미소공간(ms)내의 기체의 배기를 정지하는 동시에, 개폐밸브(33)의 진공파괴밸브를 작동시킨다. 이것에 의해, 미소공간(ms)내에 기체가 유입하고, 기판(W)의 베이크 플레이트(bake plate)(1)측으로의 흡인이 해제된다. 이어서, 에어 실린더(15)를 작동시켜서 작동 축을 신장시켜, 기판(W)을 윗쪽으로 들어 올린다. 이 상태에서, 도시하지 않은 반송 수단에 의해 기판(W)을 반출한다.
이와 같이, 본 기판열처리 장치에 의하면, 배출 구멍(27)을 통해서 미소공간(ms)의 기체를 배출함으로써 미소공간(ms)에는 부압이 생기고, 기판(W)면이 베이크 플레이트(bake plate)(1)측에 흡인된다. 따라서, 기판(W)에 휘어짐이 있어도 부압에 의해 교정되어, 기판(W)의 하면이 구체(19)에 맞닿아서 베이크 플레이트(bake plate)(1)상면과의 거리가 적절하게 유지되므로, 기판(W)의 면내에 있어서 균일한 열처리를 할 수 있다.
실시 예 2
다음에, 도면을 참조해서 본 발명의 실시 예 2를 설명한다. 또, 도8은, 실시 예 2에 관계되는 기판열처리 장치의 개략구성을 나타내는 종단면도이다. 이하의 설명에서는, 상술한 실시 예 1과 같은 구성에 대해서 같은 부호를 붙임으로써 상세한 설명을 생략한다.
이 실시 예 2는, 베이크 플레이트(bake plate)(1A)의 상면이 구상되어 있다.
즉, 베이크 플레이트(bake plate)(1A)의 상면, 상세하게는 전열부(5A)의 상면 중 봉합부(25)보다 안쪽이, 중심부를 향해서 움푹 팬 요부 형상 혹은 얕은 유발 모양을 나타내도록 구성되어 있다. 기판(W)의 중앙부가 주변부보다도 아래쪽으로 돌출한 휘어짐(산골짜기형 휘어짐)을 갖는 경우에는, 중앙부가 먼저 흡인되어서 주변부의 흡인이 부족될 경우가 있지만, 베이크 플레이트(bake plate)(1A)의 상면을 요부 형상으로 함으로써, 기판(W)의 주변부를 충분히 흡인할 수가 있다. 따라서, 기판(W)의 휘어짐에 관계없이 기판(W)의 면내에 있어서 균일한 열처리를 할 수 있다. 또, 이러한 베이크 플레이트(bake plate)(1A)를 채용해도, 중심부가 윗쪽으로 돌출한 휘어짐(산형 휘어짐)을 갖는 기판(W)이여도 처리할 수가 있다.
실시 예 3
다음에, 도면을 참조해서 본 발명의 실시 예 3을 설명한다. 또, 도9는, 실시 예 3에 관계되는 기판열처리 장치의 일부를 확대해서 나타낸 종단면도이다. 또한, 상술한 실시 예 1과 같은 구성에 대해서는, 같은 부호를 붙임으로써 상세한 설명을 생략한다.
이 실시 예 3은, 상기 실시 예 1,2과 봉합부(25A)의 구성이 다르다.
즉, 봉합부(25A)는, 지지부(21A)와 규제부(23)를 갖추고, 지지부(21A)가 맞닿는 부 (43)와 홈부(45)로 구성되어 있다. 맞닿는 부(43)는, 기판(W)의 외경보다 약간 소경의 내경을 가지며, 그 상면이 기판(W)중 외주보다 약간 안쪽의 하면에 맞닿는다. 홈부(45)는, 맞닿는 부(43)보다 외측에서 기판(W)의 하면에 비접촉이다.
이러한 구성의 기판열처리 장치에 기판(W)을 재치하면, 봉합부(25A)의 지지부(21A)로 기판(W)의 주변 하면이 구체(19)의 높이에 지지되는 동시에, 측방으로의 이동이 규제부(23)에 의해 규제되므로, 기판(W)의 위치 어긋남을 방지할 수 있다. 더욱이, 기판(W)의 하면주변부에는 각종의 공정에 있어서의 처리 액등이 돌아 들어가고 있는 염려가 있고, 이것이 지지부(21A)에 밀착한 상태에서 기판(W)이 흡인되면, 흡인을 해제해도 기판(W)의 주변부가 지지부(21A)로부터 떨어지기 어려워지는 염려가 있다. 그러나, 기판(W)의 외경보다 소경의 맞닿는 부 (43)로 기판(W)의 하면을 맞닿게 지지하는 동시에, 처리 액등이 돌아 들어가고 있는 염려가 있는 기판(W)의 하면 주변부에는 홈부(45)에서 맞닿지 않도록 하고 있으므로, 기판(W)의 하면 주변부에 처리 액등이 부착되고 있어도 기판(W)을 봉합부(25A)로부터 떨어지기 쉽게 할 수가 있다.
또, 맞닿는 부(43)를, 상부에 1정점이 위치하도록 종단면 삼각형상으로 구성하고, 이것을 복수개 동심원모양으로 배치하는 구성으로 하여서도 좋다. 이것에 의해, 기밀성을 높일 수가 있다.
실시 예 4
다음에, 도면을 참조해서 본 발명의 실시 예 4를 설명한다. 또, 상술한 실시 예 1과 같은 구성에 대해서는, 같은 부호를 붙임으로써 상세한 설명을 생략한다. 도10은, 실시 예 4에 관계되는 기판열처리 장치의 개략구성을 나타내는 종단면도이다.
베이크 플레이트(bake plate)(1B)의 전열부(5B)중, 봉합부(25)보다 안쪽에는, 얕은 요부(47)가 형성되어 있다. 이 요부(47)에는, 연속 기포의 다공질부재(49)가 배설되어 있다. 또한, 요부(47)에는, 베이크 플레이트(bake plate)(1B)의 상면과 하면에 연통 한 배출 구멍(27A)이 형성되어 있다.
이러한 구성에서는, 기판(W)의 하면과 베이크 플레이트(bake plate)(1B)의 상면과의 사이의 미소공간(ms)의 측방이 봉합부(25)에 의해 폐색되어 있으므로, 배출 구멍(27A)을 통해서 미소공간(ms)의 기체를 배출하면, 다공질부재(49)를 통해서 미소공간(ms)의 하방으로부터 전체적으로 배출이 행하여져서 부압이 생기고, 이 부압에 의해 기판(W)가 베이크 플레이트(bake plate)(1B)측에 흡인된다. 따라서, 기판(W)에 휘어짐이 있어도 교정되어, 기판(W)의 하면이 구체(19)에 맞닿아서 베이크 플레이트(bake plate)(1B)의 상면과의 거리가 적절히 유지되므로, 기판(W)의 면내에 있어서 균일한 열처리를 할 수 있다. 더욱이, 다공질부재(49)를 통하여 면적으로 배출이 행하여지므로, 미소공간(ms)의 기체의 배출을 전체적으로 균등하게 할 수 있다. 그 결과, 베이크 플레이트(bake plate)(1B)의 열분포에 치우침이 생기기 어렵고, 열처리를 보다 균일하게 할 수 있다.
또, 본 실시 예 장치와, 상술한 실시 예 1∼3 혹은 이하의 각 실시 예 5∼8등의 어느 것인가를 조합시키기도 좋다.
실시 예 5
다음에, 도면을 참조해서 본 발명의 실시 예 5를 설명한다. 또, 상술한 실시 예 1과 같은 구성에 대해서는, 같은 부호를 붙임으로써 상세한 설명을 생략한다. 도(11)은, 실시 예 5에 관계되는 기판열처리 장치의 개략구성을 나타내는 종단면도이다.
본 실시 예는, 베이크 플레이트(bake plate)(1C)에 복수개의 공급 구멍(51)을 구비하고 있다. 이것들의 공급 구멍(51)은, 질소가스 공급원인(53)에 공급 배관 (55)으로 연통 접속되어 있다. 공급 배관(55)에는, 개폐밸브(57)와, 유량조정밸브(59)와, 압력계(61)가 배설되어 있다. 또, 질소가스 공급원인(53)에 대신하여, 클린 에어 공급원을 채용해도 된다. 개폐밸브(57)의 개방·잠금의 동작은, CPU(39)로 제어된다. 또한, 배출 배관(29)에는, 상술한 실시 예 1의 개폐밸브(33)에 대신해서, 진공파괴밸브를 구비하지 않는 통상의 개폐밸브(63)가 배설되어 있다.
본 실시 예 장치에서, 개폐밸브(63)가 CPU(39)에 의해 개방으로 되고, 배출 구멍(27)을 통하여 일정 유량으로 미소공간(ms)내의 기체를 배출한다. 그렇게 하면, 미소공간(ms)에는 부압이 생기고, 기판(W)가 구체(19)와 지지부(21)로 지지되어서 베이크 플레이트(bake plate)(1C)(전열부5C)측에 흡인된다. 이 상태를 레시피에 따라 소정시간만 유지해서 열처리를 시행한다. 소정시간의 경과후, CPU(39)는, 우선 개폐밸브(57)를 개방해서 공급 구멍(51)을 통하여 일정 유량으로 질소가스를 미소공간(ms)에 보내주는 동시에, 개폐밸브(63)를 잠그고 흡인을 정지한다.
상기한 바와 같이, 미소공간(ms)의 흡인을 해제해서 기판(W)을 개방하기 위해서, 미소공간(ms)의 기체를 배출한 배출 구멍(27)으로부터 기체를 공급 혹은 대기에 연통시키면, 파티클 등이 기판(W)의 하면을 향해서 뿜어 올려져서, 기판(W)가 오염되는 염려가 있다. 그러나, 배출 구멍(27)과는 다른 공급 구멍(51)을 구비하고, 흡인을 해제할 때에, 우선 공급 구멍(51)으로부터 기체를 공급해서 미소공간(ms)내의 부압을 적극적으로 해소하고, 그 후에 배출 구멍(27)으로부터의 배출을 정지함으로써, 파티클 등의 불어 올라감을 방지할 수 있고, 청정도 높게 기판(W)을 처리 할 수가 있다.
또한, 기판(W)의 하면에 돌아 들어간 처리 액등의 영향에 의해, 부압이 해소되어도 기판(W)가 봉합부(25)에 착 붙게 되어서 지지핀(9)으로 들어올리기가 어려워지는 일이 있지만, 적극적으로 기체를 공급함으로써, 기판(W)을 들어올리는 방향으로 압력을 가하게 되므로, 지지핀(9)로 기판(W)을 용이하게 들어올리기 쉽게 할 수 있다.
실시 예 6
다음에, 도면을 참조해서 본 발명의 실시 예 6을 설명한다. 또, 상술한 실시 예 5와 같은 구성에 대해서는, 같은 부호를 붙임으로써 상세한 설명을 생략한다. 도12는, 실시 예 6에 관계되는 기판열처리 장치의 개략구성을 나타내는 종단면도이다.
본 실시 예에서는, 배출 배관(29)중, 개폐밸브(63)보다도 상류측(배출 구멍(27)에 가까운 측)에 압력계(65)가 배설 되고, 그 압력값이 CPU(39)에 의해 감시되어 있다. 또, 압력계(65)가 본 발명에 있어서의 배출압 검출 수단에 상당하고, CPU(39)가 본 발명에 있어서의 판단 수단 및 알림 수단에 상당한다.
본 실시 예 장치의 동작에 대해서, 도13을 참조해서 설명한다. 또, 도13은, 실시 예 6의 동작 설명을 나타내는 플로 챠트이다.
스텝S1
기판(W)을 반입한다. 에어 실린더(15)를 작동시켜서 지지핀(7)을 상승시키고, 기판(W)을 받는다.
스텝S2∼S4
에어 실린더(15)를 비작동으로 하고 작동 축을 수축시켜서 지지핀(7)을 하강시킨다. 이것에 의해 기판(W)이 베이크 플레이트(bake plate)(1C)에 재치된다. 이 때 도시하지 않은 센서등으로 지지핀(7)이 정상으로 강하하였는지 아닌지를 CPU(39)가 판단하고(스텝S3), 정상으로 강하할 때 까지 감시한다(스텝S4).
스텝S5∼S7
기판(W)이 재치된 것을 확인한 후, 개폐밸브(63)(제1밸브)를 개방하고, CPU(39)는 압력계(65)의 압력이 설정압에 도달하였는지 아닌지를 일정시간에 걸쳐 감시해서 처리를 분기한다. 즉, 기판(W)이 파손되거나, 봉합부(25)가 파손되거나 하는 등의 장해가 생긴 것에 의해, 미소공간(ms)을 설정압에까지 감압할 수 없었을 경우와, 장애가 발생하지 않고 설정압에까지 감압할 수 있었을 경우로 처리를 분기한다.
스텝S8
소정압에까지 감압할 수 있었을 경우에는, 레시피에 의해서 처리 시간만 대기하고, 기판(W)에 열처리를 실시한다.
스텝S9∼S11
처리시간에 달하면, 개폐밸브(57)(제2밸브)를 개방한다. 이것에 의해, 미소공간(ms) 및 이것에 연통하고 있는 배출 배관(29)에 질소가스가 공급된다. CPU(39)는, 압력계(65)의 압력을 일정시간에 걸쳐 감시하고, 설정압에 달한 것인가 아닌가를 판단해서 처리를 분기한다. 즉, 질소가스의 공급 계통등에 불량이 생겨서 질소가스를 충분히 공급 할 수가 없어서 부압을 해소할 수 없었을 경우와, 장해가 발생하지 않고 부압을 해소할 수 있었을 경우로 처리를 분기한다.
스텝S12∼S14
설정압에 달해서 부압이 해소되었을 경우에는, 개폐밸브(63)(제1밸브)를 잠그고, 배기 동작을 정지한다. 그리고, CPU(39)는, 압력계(65)의 압력을 일정시간에 걸쳐 감시하고, 설정압에 달한 것인가 아닌가를 판단해서 처리를 분기된다. 즉, 배기 계통의 개폐밸브(63)을 잠궜으므로, 질소가스의 공급에 의해 미소공간(ms) 및 이것에 연통 하고 있는 부분에 있어서 압력이 높아진 것인가 아닌가를 일정시간에 걸쳐 감시하고, 그 결과 에 따라 처리를 분기한다. 이와 같이 부압을 해소하기 위해서 질소가스를 공급하므로, 예컨대, 기판(W)의 하면 주변부가 지지부(21)에 붙어버렸을 경우라도, 기판(W)을 지지핀(7)으로 용이하게 상승시킬 수 있다.
스텝S15∼S17
설정압에 달한 후, CPU(39)는, 개폐밸브(57)를 잠궈서 질소가스의 공급을 정지하는 동시에, 에어 실린더(15)를 작동시켜서 지지핀(7)을 상승시킨다. CPU(39)는, 도시하지 않은 센서등에 의해 지지핀(9)이 정상으로 상승한 것인가 아닌가를 일정시간에 걸쳐 감시하고, 그 결과에 따라 처리를 분기한다.
상술한 일련의 처리에 의해 한 장의 기판(W)에 대한 처리가 행하여지지만, 각 스텝(S4, S7, S11, S14, S17)에 있어서 타입 업 했을 경우에는, 스텝S18로 처리가 분기된다. 이 스텝S18에서는, CPU(39)가 처리를 중단하는 동시에, 장치에 어떠한 불량이 생긴 것을 오퍼레이터에 알린다. 알리기 위해서는, 예컨대, 램프를 점 멸시키거나, 버저를 울리거나 한다. 이와 같이 불량을 검지하여, 처리를 정지·알림으로써, 배출계에 이상이 있어서 미소공간을 충분히 부압에 유지하지 못하고, 기판(W)에 대한 열처리가 불균일해지는 상황에 있는 것을 사전에 알릴 수 있다. 따라서, 계속적으로 부적절한 처리가 행하여지는 것을 방지할 수 있다. 또한, 배출계뿐만 아니라, 공급계에 불량이 생긴 것을 검출해서 알릴 수가 있다. 더욱이, 지지핀(9)의 승강도 감시하고 있으므로, 배출·공급계 뿐만 아니라, 승강계에도 불량이 생긴 것을 검출할 수가 있다.
실시 예 7
다음에, 도면을 참조해서 본 발명의 실시 예 7을 설명한다. 또, 상술한 실시 예 6과 같은 구성에 대해서는, 같은 부호를 붙임으로써 상세한 설명을 생략한다. 도14는, 실시 예 7에 관계되는 기판열처리 장치의 개략구성을 나타내는 종단면도이다.
본 실시 예 장치는, 배출계에 있어서 배기 압력을 2단계로 바꾸는 점이 특징적으로 되어 있다.
즉, 배출 배관(29)중, 압력계(65)와 개폐밸브(63)와의 사이에서 배출 배관(67)이 분기되어서 설치되어 있다. 이 배출 배관(67)에는, 개폐밸브(69)와, 유량조정밸브(71)와, 압력계(73)가 배설되어 있다. 유량조정밸브(71)는, 유량조정밸브(35)보다도 대유량(배기 압력이 높다)으로 되도록 미리 설정되어 있다. 개폐밸브(63, 69)를 CPU(39)가 시간을 두고 전환함으로써, 이하에 설명하는 바와 같이 배출압을 2단계로 바꾸는 것이 가능하게 되어 있다.
또, 상기의 개폐밸브(63, 69)와, 유량조정밸브(35, 71)가 본 발명에 있어서의 전환 수단에 상당한다.
이와 같이 구성된 실시 예 장치의 동작에 대해서, 도15를 참조해서 설명한다. 또, 도15는, 실시 예 7의 동작 설명의 중의 일부를 나타내는 플로 챠트이다. 이 플로 챠트는, 상술한 실시 예 6에 있어서의 플로 챠트(도13)에 있어서의 미소공간(ms)의 기체배출 동작(스텝S5, S6)을 대체하는 것이다. 따라서, 이하의 설명에 있어서는, 지지핀(9)이 하강한 것이 확인되고 부터의 처리 이후를 설명한다.
스텝S51, S52
개폐밸브(69)(제0밸브)를 개방하여, 비교적 높은 압력으로 미소공간(ms)내의 기체를 배출한다. 그리고, 압력계(65)의 압력이 설정압에 달한 것인가 아닌가를 판단하고, 달했을 경우에는 스텝S53에 이행하고, 달하지 않을 경우에는 스텝S7 이후에 분기된다.
스텝S53
개폐밸브(69)(제0밸브)를 잠그는 동시에, 개폐밸브(63)(제1밸브)를 개방한다. 이것에 의해, 개폐밸브(69)를 개방했을 때보다도 낮은 배기 압력으로 배기를 시작한다. 이것 이후의 처리는, 상술한 실시 예 6에 있어서의 동작 설명과 같다.
이와 같이 본 실시 예 장치로는, 배기압을 2단계로 전환하여 미소공간(ms)내의 기체를 배출하도록 하고 있으나, 이와 같이 구성하는 것의 이점은, 다음과 같다.
즉, 배출압이 클수록 기판(W)에 작용하는 흡인력이 커지지만, 대유량의 기체 의 흐름에 의해 베이크 플레이트(bake plate)(1)의 열 분포를 불균일하게 할 염려가 있다. 한편, 기판(W)의 주변부가 중앙부보다 윗쪽으로 휘어져 있을 경우에는, 봉합부(25)와 기판(W)의 주변부와의 간극이 크므로, 배출압을 크게 하지 않으면 주변부를 충분히 흡인할 수 없는 염려가 있다. 그래서, 배출 초기의 배출압을, 그 후의 정상시의 배출압보다 크게 함으로써, 기판(W)의 중앙부가 아래쪽으로 돌출하도록 휘어짐이 있는 기판(W)도 확실하게 흡인할 수가 있으면서도, 베이크 플레이트(bake plate)(1)의 열 분포에 악영향을 주지 않도록 할 수 있다.
실시 예 8
다음에, 도면을 참조해서 본 발명의 실시 예 8을 설명한다. 또, 상술한 각실시 예 1∼7과 같은 구성에 대해서는, 같은 부호를 붙임으로써 상세한 설명을 생략한다. 도16은, 실시 예 8에 관계되는 기판열처리 장치의 일부를 확대해서 나타낸 종단면도이다.
상술한 실시 예 1과 같이 베이크 플레이트(bake plate)(1)의 상면에 배출 구멍(27)이 개구하고 있으면, 기판(W)의 하면에 베이크 플레이트(bake plate)(1)방향으로의 흡인력을 작용시키기 쉽고, 흡인을 효율적으로 할 수 있다. 그러나, 본 발명은 미소공간(ms)내의 기체를 배출할 수가 있으면, 어떻게 배출 구멍을 구성해도 좋고, 예컨대, 이하에 설명하는 바와 같이 구성해도 좋다.
본 실시 예 8에서는, 베이크 플레이트(bake plate)(1D)의 전열부(5D)가 배출 구멍(27)을 구비하고 있지 않고, 봉합부(25)의 지지부(21B)의 하부에, 유로종단면 형상이 반원형상을 나타내는 배출 구멍(27B)을 복수개 형성하고 있다. 단지, 미소 공간(ms)을 부압하는 관계상, 기판(W)의 하면주변부에서 지지부(21B)가 윗쪽으로부터 베이크 플레이트(bake plate)(1D)측으로 가압되므로, 그 압력에 의해 변형하지 않은 강도를 갖도록 구멍의 형상 및 개수를 설정하는 것이 바람직하다. 또한, 모두를 배출에 이용하는 것은 아니고, 일부를 질소의 공급에 이용하도록 해도 좋다.
이와 같이 전열부(5D)가 배출 구멍(27)을 구비하지 않고 있으므로, 베이크 플레이트(bake plate)(1D)의 열 분포를 보다 균일하게 할 수가 있고, 더욱이, 기판(W)의 휘어짐을 교정해서 균일한 열처리를 실시할 수가 있다.
본 발명은, 상기 실시 형태로 한정되는 것은 아니고, 하기와 같이 변형 실시할 수가 있다.
(1)상술한 각 실시 예에서는, 구체(19)를 구비해서 미소공간(ms)을 구성하고 있지만, 이것에 대신해서, 예컨대, 베이크 플레이트(bake plate)(1)의 전열부(5)상면을 에칭이나 부분 도금, 부분 코팅함에 의해, 동심 원형상이나 메쉬 모양으로 요철을 형성해도 좋다. 또한, 이것들의 부품을 별체 부품에서 구성하고, 전열부(5)의 상면에 접착하는 구성으로 하여도 좋다.
(2)상술한 각 실시 예에서는, 전열부(5)에 히트 파이프를 매설한 구성을 예로 채용해서 설명했지만, 히트 파이프를 이용하지 않고 있는 기판열처리 장치이여도 적용할 수가 있다.
(3)상술한 각 실시 예에서는, 평면으로 보아 원형모양의 기판을 예로 들어서 설명했지만, 구형모양의 기판을 처리하는 기판열처리 장치라 적용할 수 있다.
※본 발명은, 그 사상 또는 본질로부터 일탈하지 않고 다른 구체적 형태로 실시할 수 있고, 따라서, 발명의 범위를 나타내는 것으로서, 이상의 설명만이 아니라, 부가된 클레임을 참조해야 한다.
본 발명에 의하면, 기판 하면과 베이크 플레이트(bake plate) 상면과의 사이의 미소공간의 측방이 봉합부에 의해 폐색되어 있으므로, 배출 구멍을 통과시켜서 미소공간의 기체를 배출하면, 다공질부재를 통하여 미소공간의 하방으로부터 전체적으로 배출이 행하여져서 부압이 생기고, 이 부압에 의해 기판면이 베이크 플레이트(bake plate)측에 흡인된다. 따라서, 기판에 휘어짐이 있어도 교정되어, 기판의 하면이 철부에 맞닿아서 베이크 플레이트(bake plate) 상면과의 거리가 적절히 유지되므로, 기판의 면내에 있어서 균일한 열처리를 할 수 있다. 더욱이, 다공질부재를 통하여 면적으로 배출이 행하여지므로, 미소공간의 기체의 배출을 전체적으로 균등하게 할 수 있다. 그 결과, 베이크 플레이트(bake plate)의 열분포에 치우침이 생기기 어렵고, 열처리를 보다 균일하게 할 수 있다.

Claims (20)

  1. 기판을 열처리하는 기판열처리 장치에 있어서,
    상면에 철부를 구비한 베이크 플레이트(bake plate);
    상기 베이크 플레이트(bake plate)의 상면주변부에 구비되고, 상기 베이크 플레이트(bake plate)에 기판을 재치했을 때에, 기판의 하면과 베이크 플레이트(bake plate)의 상면과의 사이에 형성되는 미소공간의 측방을 폐색하는 봉합부;
    상기 미소공간의 기체를 배출하기 위한 배출 구멍을 포함하고,
    상기 배출 구멍을 통해서 상기 미소공간의 기체를 배출한 상태에서, 상기 베이크 플레이트(bake plate)에 재치된 기판에 열처리를 하는 것을 특징으로 하는 기판 열처리 장치.
  2. 제1항에 있어서,
    상기 배출 구멍은, 상기 베이크 플레이트(bake plate)의 상면에 개구하고 있는 것을 특징으로 하는 기판 열처리 장치.
  3. 제2항에 있어서,
    상기 배출 구멍은, 상기 베이크 플레이트(bake plate)의 중심부보다도 상기 봉합부측에 형성되어 있는 것을 특징으로 하는 기판 열처리 장치.
  4. 제1항에 있어서,
    상기 베이크 플레이트(bake plate)는, 그 상면이 아래쪽으로 움푹 팬 요부 형상을 나타내는 것을 특징으로 하는 기판 열처리 장치.
  5. 제1항에 있어서,
    상기 봉합부는, 기판의 하면에 맞닿고, 하면의 높이를 상기 철부에 맞추는 지지부와, 이 지지부의 상부에서 기판의 측방으로의 이동을 규제하는 규제부를 구비하고,
    상기 지지부는, 기판의 하면에 맞닿고, 기판의 외경보다 소경의 맞닿는 부와, 이 맞닿는 부보다 외측에서 기판의 하면에 비접촉인 홈부를 구비하고 있는 것을 특징으로 하는 기판 열처리 장치.
  6. 제2항에 있어서,
    상기 봉합부는, 기판의 하면에 맞닿고, 하면의 높이를 상기 철부에 맞추는 지지부와, 이 지지부의 상부에서 기판의 측방으로의 이동을 규제하는 규제부를 갖추고,
    상기 지지부는, 기판의 하면에 맞닿고, 기판의 외경보다 소경의 맞닿는 부와, 이 맞닿는 부보다 외측에서 기판의 하면에 비접촉인 홈부를 구비하고 있는 것을 특징으로 하는 기판 열처리 장치.
  7. 제3항에 있어서,
    상기 봉합부는, 기판의 하면에 맞닿고, 하면의 높이를 상기 철부에 맞추는 지지부와, 이 지지부의 상부에서 기판의 측방으로의 이동을 규제하는 규제부를 구비하고,
    상기 지지부는, 기판의 하면에 맞닿고, 기판의 외경보다 소경의 맞닿는 부와, 이 맞닿는 부보다 외측에서 기판의 하면에 비접촉인 홈부를 구비하고 있는 것을 특징으로 하는 기판 열처리 장치.
  8. 제1항에 있어서,
    상기 미소공간에 기체를 공급하기 위한 공급 구멍을 구비하고, 기판에 대한 열처리를 끝낸 후, 상기 공급 구멍으로부터 기체를 공급하는 동시에 상기 배출 구멍으로부터의 배출을 정지하는 것을 특징으로 하는 기판 열처리 장치.
  9. 제2항에 있어서,
    상기 미소공간에 기체를 공급하기 위한 공급 구멍을 구비하고, 기판에 대한 열처리를 끝낸 후, 상기 공급 구멍으로부터 기체를 공급하는 동시에 상기 배출 구멍으로부터의 배출을 정지하는 것을 특징으로 하는 기판 열처리 장치.
  10. 제3항에 있어서,
    상기 미소공간에 기체를 공급하기 위한 공급 구멍을 구비하고, 기판에 대한 열처리를 끝낸 후, 상기 공급 구멍으로부터 기체를 공급하는 동시에 상기 배출 구멍으로부터의 배출을 정지하는 것을 특징으로 하는 기판 열처리 장치.
  11. 제1항에 있어서,
    상기 배출 구멍의 배출압을 검출하는 배출압 검출 수단과,
    상기 배출압 검출 수단으로부터 얻어진 배출압에 의거하여, 배출계에 이상이 있는지 아닌지를 판단하는 판단 수단과,
    상기 판단 수단이 이상이 있다고 판단했을 경우에는, 이상을 알리는 알림수단을 더 구비하는 것을 특징으로 하는 기판 열처리 장치.
  12. 제1항에 있어서,
    상기 배출 구멍으로부터의 배출압을 전환가능하게 구성되고, 상기 미소공간내의 배출 초기의 배출압을, 그 후의 정상시의 배출압보다도 크게 바꾸는 전환 수단을 더 구비하는 것을 특징으로 하는 기판 열처리 장치.
  13. 기판을 열처리하는 기판 열처리 장치에 있어서,
    상면에 철부를 구비한 베이크 플레이트(bake plate);
    상기 베이크 플레이트(bake plate)의 상면외주부에 구비되고, 상기 베이크 플레이트(bake plate)에 기판을 재치했을 때에, 기판의 하면에 형성되는 미소공간의 측방을 폐색하는 봉합부;
    상기 베이크 플레이트(bake plate)의 상면 중 상기 봉합부보다 중심측에 배설된 다공질부재;
    상기 미소공간의 기체를 배출하기 위해서, 상기 다공질부재에 연통 접속된 배출 구멍을 포함하고,
    상기 배출 구멍을 통해서 상기 미소공간의 기체를 배출한 상태에서, 상기 베이크 플레이트(bake plate)에 재치된 기판에 열처리를 하는 것을 특징으로 하는 기판 열처리 장치.
  14. 제13항에 있어서,
    상기 베이크 플레이트(bake plate)는, 그 상면이 아래쪽으로 움푹 팬 요부 형상을 나타내는 것을 특징으로 하는 기판 열처리 장치.
  15. 제13항에 있어서,
    상기 봉합부는, 기판의 하면에 맞닿고, 하면의 높이를 상기 철부에 맞추는 지지부와, 이 지지부의 상부에서 기판의 측방으로의 이동을 규제하는 규제부를 구비하고,
    상기 지지부는, 기판의 하면에 맞닿고, 기판의 외경보다 소경의 맞닿는 부와, 이 맞닿는 부보다 외측에서 기판의 하면에 비접촉인 홈부를 구비하고 있는 것을 특징으로 하는 기판 열처리 장치.
  16. 제14항에 있어서,
    상기 봉합부는, 기판의 하면에 맞닿고, 하면의 높이를 상기 철부에 맞추는 지지부와, 이 지지부의 상부에서 기판의 측방으로의 이동을 규제하는 규제부를 구비하고, 상기 지지부는, 기판의 하면에 맞닿고, 기판의 외경보다 소경의 맞닿는 부와, 이 맞닿는 부보다 외측에서 기판의 하면에 비접촉인 홈부를 구비하고 있는 것을 특징으로 하는 기판 열처리 장치.
  17. 제13항에 있어서,
    상기 미소공간에 기체를 공급하기 위한 공급 구멍을 구비하고, 기판에 대한 열처리를 끝낸 후, 상기 공급 구멍으로부터 기체를 공급하는 동시에 상기 배출 구멍으로부터의 배출을 정지하는 것을 특징으로 하는 기판 열처리 장치.
  18. 제14항에 있어서,
    상기 미소공간에 기체를 공급하기 위한 공급 구멍을 구비하고,
    기판에 대한 열처리를 끝낸 후, 상기 공급 구멍으로부터 기체를 공급하는 동시에 상기 배출 구멍으로부터의 배출을 정지하는 것을 특징으로 하는 기판 열처리 장치.
  19. 제13항에 있어서,
    상기 배출 구멍의 배출압을 검출하는 배출압 검출 수단과,
    상기 배출압 검출 수단으로부터 얻어진 배출압에 의하여, 배출계에 이상이 있는지 아닌지를 판단하는 판단 수단과,
    상기 판단 수단이 이상이 있다고 판단했을 경우에는, 이상을 알리는 알림 수단을 더 구비하는 것을 특징으로 하는 기판 열처리 장치.
  20. 제13항에 있어서,
    상기 배출 구멍으로부터의 배출압을 전환가능하게 구성되고, 상기 미소공간내의 배출 초기의 배출압을, 그 후의 정상시의 배출압보다도 크게 바꾸는 전환 수단을 더 구비하는 것을 특징으로 하는 기판 열처리 장치.
KR1020060042724A 2005-05-12 2006-05-12 기판 열처리 장치 KR100750630B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005139567A JP4666473B2 (ja) 2005-05-12 2005-05-12 基板熱処理装置
JPJP-P-2005-00139567 2005-05-12

Publications (2)

Publication Number Publication Date
KR20060117249A KR20060117249A (ko) 2006-11-16
KR100750630B1 true KR100750630B1 (ko) 2007-08-20

Family

ID=37389684

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060042724A KR100750630B1 (ko) 2005-05-12 2006-05-12 기판 열처리 장치

Country Status (5)

Country Link
US (1) US7432476B2 (ko)
JP (1) JP4666473B2 (ko)
KR (1) KR100750630B1 (ko)
CN (1) CN100536074C (ko)
TW (1) TWI307926B (ko)

Families Citing this family (333)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4535499B2 (ja) * 2005-04-19 2010-09-01 東京エレクトロン株式会社 加熱装置、塗布、現像装置及び加熱方法
JP4666496B2 (ja) * 2005-12-07 2011-04-06 大日本スクリーン製造株式会社 基板熱処理装置
JP4707593B2 (ja) * 2006-03-23 2011-06-22 大日本スクリーン製造株式会社 熱処理装置と基板吸着方法
JP4899879B2 (ja) * 2007-01-17 2012-03-21 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US8209833B2 (en) * 2008-11-07 2012-07-03 Tokyo Electron Limited Thermal processing system and method of using
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8785821B2 (en) * 2009-07-06 2014-07-22 Sokudo Co., Ltd. Substrate processing apparatus with heater element held by vacuum
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR20120108229A (ko) * 2011-03-23 2012-10-05 삼성디스플레이 주식회사 레이저 가공용 워크 테이블
KR20120119781A (ko) * 2011-04-22 2012-10-31 삼성전자주식회사 지지 유닛 및 이를 가지는 기판 처리 장치
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10242890B2 (en) * 2011-08-08 2019-03-26 Applied Materials, Inc. Substrate support with heater
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9099514B2 (en) 2012-03-21 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer holder with tapered region
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9076834B2 (en) * 2012-09-28 2015-07-07 United Microelectronics Corp. Spacer for thermal plate in semiconductor processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10361097B2 (en) 2012-12-31 2019-07-23 Globalwafers Co., Ltd. Apparatus for stressing semiconductor substrates
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10734270B2 (en) 2013-02-13 2020-08-04 Entegris, Inc. Vacuum chuck with polymeric embossments
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN104600000A (zh) * 2013-10-30 2015-05-06 沈阳芯源微电子设备有限公司 一种基板周边吸附烘烤结构
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6732429B2 (ja) * 2014-11-28 2020-07-29 キヤノン株式会社 基板保持装置、リソグラフィ装置、及び物品の製造方法
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6752797B2 (ja) * 2015-01-12 2020-09-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板裏側の変色制御のための支持組立体
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6436828B2 (ja) * 2015-03-26 2018-12-12 株式会社テックインテック 熱処理装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
SG11201807703YA (en) * 2016-03-18 2018-10-30 Acm Res Shanghai Inc Substrate heat treatment apparatus
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
JP6875417B2 (ja) * 2016-04-08 2021-05-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 真空チャック圧力制御システム
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6847610B2 (ja) * 2016-09-14 2021-03-24 株式会社Screenホールディングス 熱処理装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP2018122380A (ja) * 2017-01-31 2018-08-09 ブラザー工業株式会社 部品保持装置
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6978840B2 (ja) * 2017-02-28 2021-12-08 株式会社Screenホールディングス 基板処理装置および基板保持装置
JP6970515B2 (ja) * 2017-03-08 2021-11-24 株式会社Screenホールディングス 基板処理装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US9983118B1 (en) * 2017-06-03 2018-05-29 Himax Technologies Limited Wafer holding apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US20190027392A1 (en) * 2017-07-19 2019-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate support apparatus and method
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11955362B2 (en) * 2017-09-13 2024-04-09 Applied Materials, Inc. Substrate support for reduced damage substrate backside
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
JP7104531B2 (ja) * 2018-03-19 2022-07-21 キヤノン株式会社 基板保持装置および基板処理装置
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR20210088723A (ko) 2018-12-03 2021-07-14 어플라이드 머티어리얼스, 인코포레이티드 척킹 및 아크 발생 성능이 개선된 정전 척 설계
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
WO2020149972A1 (en) 2019-01-15 2020-07-23 Applied Materials, Inc. Pedestal for substrate processing chambers
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP6535828B1 (ja) * 2019-02-08 2019-06-26 株式会社幸和 基板処理装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
JP7249814B2 (ja) * 2019-03-04 2023-03-31 株式会社Screenホールディングス 熱処理装置および熱処理方法
JP7256034B2 (ja) * 2019-03-04 2023-04-11 株式会社Screenホールディングス 熱処理装置および熱処理方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
CN112185844A (zh) * 2019-07-01 2021-01-05 圆益Ips股份有限公司 基板处理装置
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11177146B2 (en) 2019-10-31 2021-11-16 Applied Materials, Inc. Methods and apparatus for processing a substrate
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202143380A (zh) * 2020-03-21 2021-11-16 美商應用材料股份有限公司 用於快速氣體交換的基座幾何形狀
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TWI786408B (zh) * 2020-05-28 2022-12-11 環球晶圓股份有限公司 晶圓承載台及晶圓鑲埋結構的形成方法
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
JP7413164B2 (ja) 2020-06-26 2024-01-15 東京エレクトロン株式会社 熱処理ユニット、基板処理装置、熱処理方法、及び記憶媒体
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970048917A (ko) * 1995-12-15 1997-07-29 김주용 포토 마스크 제작방법
KR19980026255A (ko) * 1996-10-08 1998-07-15 김광호 베이크 챔버내의 웨이퍼 탑재장치
JP2001093864A (ja) * 1999-09-24 2001-04-06 Toshiba Corp 半導体ウェーハ固定治具及び半導体装置の製造方法
KR20010071838A (ko) * 1999-05-20 2001-07-31 다나카 아키히로 레지스트 경화장치
KR20020005375A (ko) * 2000-06-26 2002-01-17 김경균 반도체 웨이퍼 베이크 장치
JP2002173250A (ja) * 2000-12-07 2002-06-21 Nitto Denko Corp 吸着搬送方法および吸着加工方法
KR20020060352A (ko) * 2001-01-10 2002-07-18 박종섭 반도체 제조를 위한 리플로우 베이크 시스템
JP2002324790A (ja) 2001-04-25 2002-11-08 Tokyo Electron Ltd 基板処理装置
KR20030092791A (ko) * 2002-05-31 2003-12-06 삼성 엔이씨 모바일 디스플레이 주식회사 기판고정장치
JP2004052098A (ja) 2002-05-31 2004-02-19 Tokyo Electron Ltd 基板処理装置およびそれに用いるサセプタ
KR20050040512A (ko) * 2003-10-29 2005-05-03 삼성전자주식회사 베이크 장치

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4949783A (en) * 1988-05-18 1990-08-21 Veeco Instruments, Inc. Substrate transport and cooling apparatus and method for same
JPH02290013A (ja) 1989-04-28 1990-11-29 Tokyo Electron Ltd 温度処理方法
US5096536A (en) * 1990-06-12 1992-03-17 Micron Technology, Inc. Method and apparatus useful in the plasma etching of semiconductor materials
JP2809834B2 (ja) * 1990-07-27 1998-10-15 東京エレクトロン株式会社 レジスト処理装置
JPH06123924A (ja) * 1992-10-12 1994-05-06 Nikon Corp 基板ホルダ
JP3328375B2 (ja) * 1993-05-27 2002-09-24 大日本スクリーン製造株式会社 熱処理装置
JPH0927541A (ja) * 1995-07-10 1997-01-28 Nikon Corp 基板ホルダ
US5761023A (en) * 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
JPH10270535A (ja) * 1997-03-25 1998-10-09 Nikon Corp 移動ステージ装置、及び該ステージ装置を用いた回路デバイス製造方法
JPH10284360A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
JP3803487B2 (ja) * 1998-05-08 2006-08-02 大日本スクリーン製造株式会社 基板冷却装置および基板冷却方法
JP2000193376A (ja) 1998-12-24 2000-07-14 Dainippon Screen Mfg Co Ltd 熱処理装置
JP2000200824A (ja) * 1999-01-07 2000-07-18 Canon Inc 半導体製造装置
JP2001313246A (ja) * 2000-04-28 2001-11-09 Nikon Corp 露光方法及び露光装置並びにデバイスの製造方法及びデバイス
JP3581303B2 (ja) * 2000-07-31 2004-10-27 東京エレクトロン株式会社 判別方法及び処理装置
JP2002134484A (ja) * 2000-10-19 2002-05-10 Asm Japan Kk 半導体基板保持装置
US6634882B2 (en) * 2000-12-22 2003-10-21 Asm America, Inc. Susceptor pocket profile to improve process performance
JP4681756B2 (ja) * 2001-05-16 2011-05-11 キヤノン株式会社 露光装置およびデバイス製造方法

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970048917A (ko) * 1995-12-15 1997-07-29 김주용 포토 마스크 제작방법
KR19980026255A (ko) * 1996-10-08 1998-07-15 김광호 베이크 챔버내의 웨이퍼 탑재장치
KR20010071838A (ko) * 1999-05-20 2001-07-31 다나카 아키히로 레지스트 경화장치
JP2001093864A (ja) * 1999-09-24 2001-04-06 Toshiba Corp 半導体ウェーハ固定治具及び半導体装置の製造方法
KR20020005375A (ko) * 2000-06-26 2002-01-17 김경균 반도체 웨이퍼 베이크 장치
JP2002173250A (ja) * 2000-12-07 2002-06-21 Nitto Denko Corp 吸着搬送方法および吸着加工方法
KR20020060352A (ko) * 2001-01-10 2002-07-18 박종섭 반도체 제조를 위한 리플로우 베이크 시스템
JP2002324790A (ja) 2001-04-25 2002-11-08 Tokyo Electron Ltd 基板処理装置
KR20030092791A (ko) * 2002-05-31 2003-12-06 삼성 엔이씨 모바일 디스플레이 주식회사 기판고정장치
JP2004052098A (ja) 2002-05-31 2004-02-19 Tokyo Electron Ltd 基板処理装置およびそれに用いるサセプタ
KR20050040512A (ko) * 2003-10-29 2005-05-03 삼성전자주식회사 베이크 장치

Also Published As

Publication number Publication date
CN100536074C (zh) 2009-09-02
JP2006319093A (ja) 2006-11-24
US20060289432A1 (en) 2006-12-28
TWI307926B (en) 2009-03-21
TW200735219A (en) 2007-09-16
US7432476B2 (en) 2008-10-07
JP4666473B2 (ja) 2011-04-06
KR20060117249A (ko) 2006-11-16
CN1862204A (zh) 2006-11-15

Similar Documents

Publication Publication Date Title
KR100750630B1 (ko) 기판 열처리 장치
JP4707593B2 (ja) 熱処理装置と基板吸着方法
US8608885B2 (en) Substrate heat treatment apparatus
US8003919B2 (en) Substrate heat treatment apparatus
JP4485374B2 (ja) 冷却処理装置
JP2007158077A (ja) 基板熱処理装置
JP6407803B2 (ja) 接合装置、接合システム、接合方法、プログラム及びコンピュータ記憶媒体
JP2007158074A (ja) 基板熱処理装置
TWI794585B (zh) 熱處理裝置及熱處理方法
KR20020096524A (ko) 반도체 장치 제조용 공정챔버의 웨이퍼 안착 구조
KR102233012B1 (ko) 기판 처리 방법
KR20200121737A (ko) 기판 처리 장치
JP2005340693A (ja) プラズマエッチング装置
JP2007158076A (ja) 基板熱処理装置
US11495476B2 (en) Substrate treating apparatus
JP2006194577A (ja) 基板処理装置および基板処理方法
TW202324499A (zh) 加熱處理裝置、加熱處理方法及電腦記憶媒體
KR100396699B1 (ko) 반도체 제조를 위한 리플로우 베이크 시스템
KR101248929B1 (ko) 기판지지부재를 포함하는 기판처리장치
JP2023161719A (ja) 加熱処理装置、加熱処理方法及びコンピュータ記憶媒体
JP2003347183A (ja) 基板温度処理装置
KR20220016887A (ko) 기판 온조 장치 및 기판 온조 방법
KR20240022806A (ko) 포커스링의 위치를 조절할 수 있는 웨이퍼 처리 장치
JP2919865B2 (ja) 処理装置
KR20070072654A (ko) 기판 가공 장치

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120724

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20130719

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140722

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150716

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160720

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170720

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20180719

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20190722

Year of fee payment: 13