CN100536074C - 基板热处理装置 - Google Patents

基板热处理装置 Download PDF

Info

Publication number
CN100536074C
CN100536074C CNB200610081842XA CN200610081842A CN100536074C CN 100536074 C CN100536074 C CN 100536074C CN B200610081842X A CNB200610081842X A CN B200610081842XA CN 200610081842 A CN200610081842 A CN 200610081842A CN 100536074 C CN100536074 C CN 100536074C
Authority
CN
China
Prior art keywords
aforementioned
substrate
plate
processing apparatus
discharge
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CNB200610081842XA
Other languages
English (en)
Other versions
CN1862204A (zh
Inventor
森田彰彦
后藤茂宏
松近启司
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Skilling Group
Dainippon Screen Manufacturing Co Ltd
Original Assignee
Dainippon Screen Manufacturing Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dainippon Screen Manufacturing Co Ltd filed Critical Dainippon Screen Manufacturing Co Ltd
Publication of CN1862204A publication Critical patent/CN1862204A/zh
Application granted granted Critical
Publication of CN100536074C publication Critical patent/CN100536074C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B17/00Furnaces of a kind not covered by any preceding group
    • F27B17/0016Chamber type furnaces
    • F27B17/0025Especially adapted for treating semiconductor wafers
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B5/00Muffle furnaces; Retort furnaces; Other furnaces in which the charge is held completely isolated
    • F27B5/04Muffle furnaces; Retort furnaces; Other furnaces in which the charge is held completely isolated adapted for treating the charge in vacuum or special atmosphere
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D3/00Charging; Discharging; Manipulation of charge
    • F27D3/0084Charging; Manipulation of SC or SC wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

一种基板热处理装置,其对基板进行热处理,前述装置包含以下要素:烘焙板,其在上表面具有凸部;封闭部,其被设置在前述烘焙板的上表面周边部,在将基板装载到前述烘焙板上时,将在基板下表面和烘焙板上表面之间形成的微小空间的侧方封闭;排出孔,其用于排出前述微小空间内的气体;在通过前述排出孔排出了前述微小空间的气体的状态下,对装载到前述烘焙板上的基板进行热处理。

Description

基板热处理装置
技术领域
本发明涉及一种对半导体晶片和液晶显示装置用的玻璃基板(以下简称为基板)等基板进行热处理的基板热处理装置,特别涉及使基板不紧贴于烘焙板而隔着微小空间进行热处理的技术。
背景技术
一直以来,作为这种装置,可以列举出具有进行升温降温的烘焙板和在烘焙板上以在俯视下位于正三角的各个顶点的方式埋设的3个球体的装置(例如日本国特开2000-193376号公报)。在该装置中,当将基板装载于烘焙板上时,基板下表面由3个球体支撑,从而基板的整个下表面没紧贴于烘焙板,整个基板处于隔着微小空间而被隔开的状态。这样,通过隔着微小空间来装载基板,从而即使在烘焙板存在温度不均,其影响也尽可能不波及到基板。
但是,在半导体制造工艺中,基板的加热处理是不可缺少的,即使在光致抗蚀剂的掩模生成工艺中,在显影处理前进行的加热处理是与蚀刻掩膜尺寸精度非常相关的重要问题。近年来,随着工艺的微细化,基板热处理装置所要求的温度均衡性越来越高,并进行了满足这些要求的各种各样的改良。
但是,在实际的半导体制造工艺中,因为组合多层物性不同的各种膜,所以通常由于这些膜的热收缩率和膨胀率的不同,随着工序进展,基板自身发生翘曲(沿厚度方向弯曲)。因此,无论使烘焙板的面内温度分布精度多高的均衡,在对半导体制造工序中的实际的基板进行加热处理时,烘焙板和基板之间的微小空间在整个基板面上并不相同,成为不均衡的间隔。因此,存在这样的问题:在基板的热处理中无法完全发挥烘焙板本来具有的高精度的温度均衡性。
另外,为了解决前述问题,提出了这样的方案:将烘焙板的温度控制分割为多个区域,根据基板和烘焙板的距离对每个区域进行不同的加热控制。但是,由于基板的翘曲量和翘曲方向并不一样,所以必须对每一张基板都实施最适合的温度控制,在实际中并不是容易实施的,即使实施了,也不能因复杂的控制而相应提高基板温度处理的均衡性。
发明内容
本发明是鉴于上述问题而提出的,其目的是提供一种基板热处理装置,其通过利用吸引来矫正基板的翘曲,从而可以在基板面内进行均衡的热处理。
本发明是一种对基板进行热处理的基板热处理装置,前述装置包含以下要素:烘焙板,其在上表面具有凸部;封闭部,其被设置在前述烘焙板的上表面周边部,在将基板装载到前述烘焙板上时,将在基板下表面和烘焙板上表面之间形成的微小空间的侧方封闭;排出孔,其用于排出前述微小空间的气体;在通过前述排出孔排出了前述微小空间的气体的状态下,对装载到前述烘焙板上的基板进行热处理。
根据本发明,由于基板下表面和烘焙板上表面之间的微小空间的侧方(外周部)由封闭部封住,所以当通过排出孔排出微小空间的气体时,在微小空间内产生负压,通过该负压,基板面被吸引向烘焙板侧。因此,由于即使在基板存在翘曲也得到矫正,基板的下表面和凸部相接触且适当维持与烘焙板的上表面的距离,所以在基板的面内可以进行均衡的热处理。
另外,在本发明中,优选排出孔在前述烘焙板的上表面开口。
当排出孔在烘焙板的上表面开口时,能容易使向烘焙板的吸引力作用于基板面,从而有效地进行吸引。
另外,在本发明中,优选前述排出孔相比于前述烘焙板的中心部形成在靠近前述封闭部侧。
由于基板的中央部因吸引而容易弯曲的关系,当中央部首先被吸引而形成封闭空间时,处在基板周边部的微小空间的负压会持续保持较小,有可能对基板周边部的吸引不充分。因此,通过将排出孔形成在烘焙板的相比于中心部的靠外侧,从而能大致均等地吸引基板的整个面,可以进行更加均衡的热处理。
另外,在本发明中,优选前述封闭部具有:支撑部,其和基板的下表面相接触,并使基板下表面高度和前述凸部一致;限制部,其位于该支撑部的上部,限制基板向侧方移动,前述支撑部具有:接触部,其和基板的下表面相接触,直径小于基板外径;槽部,其位于该接触部的外侧,和基板的下表面不接触。
当装载基板时,由于封闭部的支撑部将基板周边的下表面支撑在凸部的高度,同时,由限制部限制基板向侧面移动,所以可以防止基板的位置偏移。而且,在各种工序中的处理液等有可能蔓延在基板下表面周边部,当在其紧贴在支撑部的状态下吸引基板时,即使解除吸引,基板的周边部也很难离开支撑部。因此,由于直径小于基板外径的接触部接触并支撑基板的下表面的同时,槽部不和可能蔓延了处理液等的基板的下表面周边部相接触,因此,即使基板下表面的周边部附着有处理液等,基板也可以容易地离开封闭部。
另外,在本发明中,优选具有供给孔,该供给孔用于向前述微小空间供给气体,对基板的热处理结束后,从前述供给孔供给气体,同时,停止前述排出孔的排气。
当为了解除吸引、开放基板,而从排出了微小空间的气体的排出孔供给气体时,颗粒等吹向基板的下表面,有可能污染基板。因此,设置和排出孔不同的供给孔,在解除吸引时,首先从供给孔供给气体来解消负压,之后,停止从排出孔排气,从而可以防止吹上颗粒等,可以清洁度较高地处理基板。另外,由于蔓延在基板下表面的处理液等的影响,即使解消负压,基板也粘贴,使基板难以脱离,但通过积极地供给气体,以举起基板的方式施加压力,所以基板可以容易脱离。
另外,在本发明中,优选还具有:排出压检测机构,其检测出前述排出孔的排出压;判断机构,其基于从前述排出压检测机构获取的排出压,判断在排出系统是否有异常;报告机构,其在前述判断机构判断有异常时,报告异常。
用排出压检测机构检测出排出压,判断机构基于排出压,判断在排出系统有异常时,报告机构报告异常。由此,预先报告这样的情况:排出系统有异常发生,不能将微小空间充分地保持在负压,对基板热处理不均衡。因此,可以防止继续对基板进行不适当的处理。
另外,在本发明中,优选还具有切换机构,该切换机构能够切换来自前述排出孔的排出压,将前述微小空间内的排出初期的排出压切换为大于其后稳定时的排出压的压力。
排出压越大作用到基板上的吸引力越大,但由于气体的流动,有可能使烘焙板的热分布不均衡。另一方面,在基板的周边部比基板的中央部更向上翘曲时,因为封闭部和基板周边部的间隙较大,所以当不加大排出压时,有可能不能充分地吸附周边部。因此,通过使排出初期的排出压大于之后的稳定时的排出压,从而可以确实地吸附有翘曲的基板,同时不会对烘焙板的热分布产生不良影响。
另外,本发明是对基板进行热处理的基板热处理装置,前述装置包含以下要素:烘焙板,其在上表面具有凸部;封闭部,其被设置在前述烘焙板的上表面外周部,在将基板装载到前述烘焙板上时,将在基板下表面形成的微小空间的侧方封闭;多孔质构件,其被配置在上述烘焙板的上表面中从上述封闭部起的中心侧;排出孔,其为了排出前述微小空间的气体而与上述多孔质构件连通并连接;在通过前述排出孔排出了前述微小空间的气体的状态下,对装载到前述烘焙板上的基板进行热处理。
根据本发明,由于基板下表面和烘焙板上表面之间的微小空间的侧方由封闭部封住,所以当通过排出孔排出微小空间的气体时,通过多孔质构件,从微小空间的下方全方位地进行排气而产生负压,通过该负压,基板面被吸引向烘焙板侧。因此,由于即使基板存在翘曲也可以矫正,基板的下表面和凸部接触,并适当维持和烘焙板的上表面的距离,所以在基板面内可以进行均衡的热处理。而且,因为通过多孔质构件全方位地进行排气,可以全面地、均等地排出微小空间内的气体。其结果,烘焙板的热分布难以产生偏颇,更加均衡地进行热处理。
为了说明本发明,现在图示几个适宜的形式,但是,希望理解,本发明并非限定于如图所示的结构和方案策略。
本发明还提供一种基板热处理装置,其对基板进行热处理,其特征在于,前述装置包含以下部分:烘焙板,其在上表面具有凸部,封闭部,其设置在前述烘焙板的上表面周边部,在将基板装载到前述烘焙板上时,将在基板下表面和烘焙板上表面之间形成的微小空间的侧方封闭,并且该封闭部具有限制部和接触部,该限制部限制基板向侧方移动,该接触部配置在与前述限制部之间的空间内,和基板的下表面相接触,且直径小于基板外径,排出孔,其用于将前述微小空间的气体排出;在通过前述排出孔排出了前述微小空间的气体的状态下,对被装载到前述烘焙板上的基板进行热处理。
本发明还提供一种基板热处理装置,其对基板进行热处理,其特征在于,前述装置包含以下部分:烘焙板,其在上表面具有凸部,封闭部,其设置在前述烘焙板的上表面外周部,在将基板装载到前述烘焙板上时,将在基板下表面形成的微小空间的侧方封闭,并且该封闭部具有限制部和接触部,该限制部限制基板向侧方移动,该接触部配置在与前述限制部之间的空间内,和基板的下表面相接触,且直径小于基板外径,多孔质构件,其配置在前述烘焙板的上表面中从前述封闭部起的中心侧,排出孔,其为了将前述微小空间的气体排出而与前述多孔质构件连通并连接;在通过前述排出孔排出了前述微小空间的气体的状态下,对装载到前述烘焙板上的基板进行热处理。
另外,本发明提供一种基板热处理装置,其对基板进行热处理,其特征在于,前述装置包含以下部分:烘焙板,其在上表面具有凸部,封闭部,其设置在前述烘焙板的上表面周边部,在将基板装载到前述烘焙板上时,将在基板下表面和烘焙板上表面之间形成的微小空间的侧方封闭,并且该封闭部具有限制部、接触部、槽部,该限制部限制基板向侧方移动,该接触部配置在与前述限制部之间的空间内,和基板的下表面相接触,且直径小于基板外径,该槽部位于该接触部的外侧,与基板的下表面不接触,排出孔,其用于将前述微小空间的气体排出;在通过前述排出孔排出了前述微小空间的气体的状态下,对被装载到前述烘焙板上的基板进行热处理。
另外,本发明提供一种基板热处理装置,其对基板进行热处理,其特征在于,前述装置包含以下部分:烘焙板,其在上表面具有凸部,封闭部,其设置在前述烘焙板的上表面外周部,在将基板装载到前述烘焙板上时,将在基板下表面形成的微小空间的侧方封闭,并且该封闭部具有限制部、接触部、槽部,该限制部限制基板向侧方移动,该接触部配置在与前述限制部之间的空间内,与基板的下表面相接触,且直径小于基板外径,该槽部位于该接触部的外侧,与基板的下表面不接触,多孔质构件,其配置在前述烘焙板的上表面中从前述封闭部起的中心侧,排出孔,其为了将前述微小空间的气体排出而与前述多孔质构件连通并连接;在通过前述排出孔排出了前述微小空间的气体的状态下,对装载到前述烘焙板上的基板进行热处理。
附图说明
图1是表示实施例1涉及的基板热处理装置的概略结构的纵剖视图。
图2是图1的俯视图。
图3是放大了烘焙板的纵剖视图。
图4是处理基板的过程的动作说明图。
图5是处理基板的过程的动作说明图。
图6是表示中央部向上方突出而翘曲的基板的处理的说明图。
图7是表示中央部向下方突出而翘曲的基板的处理的说明图。
图8是表示实施例2涉及的基板热处理装置的概略结构的纵剖视图。
图9是放大表示实施例3涉及的基板热处理装置一部分的纵剖视图。
图10是表示实施例4涉及的基板热处理装置的概略结构的纵剖视图。
图11是表示实施例5涉及的基板热处理装置的概略结构的纵剖视图。
图12是表示实施例6涉及的基板热处理装置的概略结构的纵剖视图。
图13是表示实施例6的动作说明的流程图。
图14是表示实施例7涉及的基板热处理装置的概略结构的纵剖视图。
图15是表示实施例7的动作说明中的一部分的流程图。
图16是放大表示实施例8涉及的基板热处理装置的一部分的纵剖视图。
具体实施方式
实施例1
下面,参照附图来说明本发明的实施例1。
图1是表示实施例1涉及的基板热处理装置的概略结构的纵剖视图,图2是图1的俯视图,图3是放大了烘焙板的纵剖视图。
在上表面装载着基板W的烘焙板1,下部附设有云母加热元件等的发热体3。在处于发热体3和烘焙板1的上表面之间的传热部5中埋设有多根没有图示的导热管。另外,在没有图示的多根导热管之间,形成着没有图示的冷却槽,冷却用的流体流通着。
在烘焙板1上形成有3个从上表面贯通到下表面的贯通孔7。这些贯通孔7形成于在俯视下处于正三角形的各顶点的位置,分别插通有支承销9。各支承销9的下部配设在支撑构件11上,同时,从周围封闭各支承销9的下部侧的波纹构件13固定在支撑构件11的上表面和烘焙板1的下表面之间。支撑构件11安装在升降臂17上,该升降臂17和气缸15的动作轴相连接。因此,当使气缸15工作时,升降臂17上升,各支承销9从烘焙板1的上表面向上方突出(图1中以双点划线表示),当气缸15不工作时,动作轴收缩,升降臂17下降,各支承销9从烘焙板1的上面向下方退出(图1中以实线表示)。由此,和没有图示的搬送机构之间进行基板W的交接。
在烘焙板1的上表面,在避开各贯通孔7的位置,在中心位置、相当于正三角形的各个顶点的位置、中心位置和各顶点相当位置的延长线的位置上开凿7个凹部,在各个凹部分别嵌入直径比凹部的深度大一些的球体19。另外,在中心位置和各贯通孔7的延长线上的位置同样开凿3个凹部,在各凹部嵌入球体19。基板W若装载在烘焙板1上,则通过这10个球体19而在从烘焙板的上表面形成被称为贴近间隙(proximitygap)的微小空间ms的状态下被支撑着(参照图3),能够通过来自烘焙板1的上表面的热辐射均衡地进行加热。另外,可以根据基板W的直径等适当地设定本发明中的相当于凸部的球体19的个数和位置。
另外,在烘焙板1的上表面周边部配设俯视呈圆环状的支撑部21,该支撑部21具有与球体19从烘焙板1上表面突出的微小高度大致相等的厚度。在该支撑部21的上表面竖立设置着俯视呈环状、且纵剖面形状呈梯形的限制部23。支撑部21和基板W的下表面周边部相接触且使基板W的下表面保持微小空间ms的高度而被支撑着。另外,限制部23限制基板W向侧面移动。这些支撑部21和限制部23构成封闭微小空间ms的侧方的封闭部25。
另外,作为前述的封闭部25,优选例如具有耐热性和弹性的聚酰亚胺树脂。还有,除此之外,可以利用例如聚四氟乙烯树脂。
另外,在烘焙板1上,在位于最外周侧的球体19和封闭部25之间的6个地方,形成有在上表面开口的排出孔27。排出管道29的一端侧与该排出孔27相连通并连接,在另一端侧连通并连接着真空吸引源31。该真空吸引源31例如是设置在清洁室中的真空设备。在排出管道29上,从排气时的上游侧朝向真空吸引源31依次安装着具有真空破坏阀的开闭阀33、流量调节阀35、压力计37。另外,流量调节阀35用于预先设定在开闭阀33开放时所排出的气体的流量。
由CPU39控制前述发热体3、气缸15和开闭阀33等。其控制根据预先存储在存储器44中的程序来进行。特别是前述开闭阀33由CPU39控制开放前述开闭阀33来进行经由排出管道29的吸引的动作、和真空破坏阀的动作,该真空破坏阀的动作是关闭开闭阀33而停止吸引的同时,从开闭阀33将排出孔27侧向大气压开放。
其次,参照图4到图7,说明如前所述构成的基板热处理装置的动作。另外,图4和图5是处理基板的过程的动作说明图,图6是表示中央部向上方突出而翘曲的基板的处理的说明图,图7是表示中央部向下方突出而翘曲的基板的处理的说明图。并且,发热体3的温度控制等根据程序已经进行,在以下说明中省略。
首先,CPU39使气缸15动作,使动作轴向上方突出(图4)。由此,成为三个支承销9从烘焙板1的上表面向上方突出的状态。这时,成为波纹构件13在支撑构件11和烘焙板1的下表面被压缩而收缩的状态。在此状态,从没有图示的搬送机构接收(例如将形成有电路等的外延层朝向上方的状态的)基板W,用突出的支承销9以水平姿势支撑基板W。
接着,CPU39停止气缸15的动作,使动作轴收缩(图5)。由此,成为基板W的下表面与10个球体19接触、下表面的周边部与支撑部21接触的状态。进而,CPU39使开闭阀33进行开放动作,将微小空间ms内的气体(空气或氮气)通过排出孔27及排出管道29以一定的流量排出。由此,在微小空间ms内产生负压,基板W面由球体19和支撑部21支撑,并向烘焙板1侧吸引。因此,即使在基板W有翘曲的情况下也通过负压得以矫正,基板W的下表面与球体19及支撑部21相接触,适当地维持和烘焙板1的上表面的距离,所以可以在基板W的面内进行均衡的热处理。
但是,基板W的翘曲有如下2种情况:如图6所示那样,以基板W的中央部向上方突出的方式而翘曲(山型翘曲);如图7所示那样,以基板W的中央部向下方突出的方式而翘曲(谷型翘曲)。中央部向上方突出的基板W,由于吸引,气体从微小空间ms排出而产生负压,基板W的中央部被向烘焙板的上表面侧吸引,从而整个基板W隔着微小空间ms而装载到烘焙板1的上表面侧。另一方面,中央部向下方突出的基板W,处于周边部向上方翘曲的状态,但由于吸引,气体从周边部的外侧流入,产生伯努利效应,基板W的周边部被向下方吸引。因此,同样,整个基板W隔着微小空间ms而被装载到烘焙板1的上表面侧。这样,与基板W翘曲的方向无关,可以将基板W适宜地装载到烘焙板1的上表面侧。
另外,由于基板W的中央部因吸引而容易弯曲的关系,当中央部首先被吸引而形成封闭空间时,处在基板W周边部的微小空间ms的负压会持续保持较小,有可能对基板周边部的吸引不充分。但是,由于与烘焙板1的中心部相比,排气孔27形成在靠外侧,因此,不会发生这样的问题,能大致均匀地吸引基板W的整个面,可以进行更加均衡的热处理。
如前所述那样装载基板W后,将这种状态保持预先确定的时间,来对基板W实施规定的热处理。
当结束规定时间的热处理时,CPU39关闭开闭阀33,停止微小空间ms内气体排出的同时,使开闭阀33的真空破坏阀动作。由此,气体流入微小空间ms内,解除基板W向烘焙板1侧的吸引。接着,使气缸15动作,伸长动作轴,向上方举起基板W。在该状态下,由没有图示的搬送机构搬出基板W。
这样,根据本基板热处理装置,通过经由排出孔27排出微小空间ms内的气体,从而在微小空间ms内产生负压,基板W面被向烘焙板1侧吸引。因此,即使在基板W有翘曲的情况下,也由负压矫正,基板W的下表面与球体19相接触,适当地维持和烘焙板1上表面的距离,所以可以在基板W的面内进行均衡的热处理。
实施例2
接着,参照附图说明本发明的实施例2。另外,图8是表示实施例2涉及的基板热处理装置的概略结构的纵剖视图。在以下的说明中,对与前述实施例1相同的结构标有相同的附图标记,从而省略详细的说明。
此实施例2是对烘焙板1A的上表面进一步加以设计。
即,在烘焙板1A的上表面,详细地说,在传热部5A的上表面中,封闭部25的内侧以呈向中心部凹下的凹部形状或浅研钵状的方式而构成。在具有基板W的中央部向周边部的下方突出的翘曲(谷型翘曲)时,存在中央部先被吸引而周边部的吸引不充分的情况,但通过将烘焙板1A的上表面做成凹部形状,从而可以充分地吸引基板W的周边部。因此,不论基板W是否翘曲都可以在基板W的面内实施均衡的热处理。另外,即使采用这样的烘焙板1A,也可以对具有中心部向上方突出的翘曲(山型翘曲)的基板W进行处理。
实施例3
下面,参照附图来说明本发明的实施例3。另外,图9是放大表示实施例3涉及的基板热处理装置的一部分的纵剖视图。并且,通过对与前述实施例1相同的结构标有相同的附图标记,从而省略详细的说明。
此实施例3的封闭部25A的结构和前述实施例1、2不同。
即,封闭部25A具有支撑部21A和限制部23,支撑部21A由接触部43和槽部45构成。接触部43具有比基板W的外径稍小的内径,接触部43的上表面和基板W的外周偏内侧的下表面接触。槽部45在接触部43的外侧,不接触基板W的下表面。
当将基板W装载于这种结构的基板热处理装置上时,由封闭部25A的支撑部21A将基板W的周边下表面支撑在球体19的高度并由限制部23限制其向侧方的移动,因此可以防止基板W的位置偏移。而且,各种工序中的处理液有可能蔓延到基板W下表面的周边部,如果在其紧密附着于支撑部21A的状态下吸引基板W,那么即使解除吸引,基板W周边部也有可能较难从支撑部21A离开。但是,用直径比基板W外径小的接触部43接触并支撑基板W的下表面,同时,槽部45不接触有可能蔓延处理液等的基板W的下表面周边部,所以,即使处理液等附在基板W的下表面周缘部,基板W也可以容易地从封闭部25A离开。
另外,接触部43可以以在上部为一个顶点的纵剖面三角形状构成,也可以将其做成配置成多个同心圆形状的结构。由此,可以提高气密性。
实施例4
下面,参照附图来说明本发明的实施例4。另外,对与前述实施例1相同的结构标有相同的附图标记,从而省略详细的说明。图10是表示实施例4涉及的基板热处理装置的概略结构的纵剖视图。
在烘焙板1B的传热部5B中,在封闭部25的内侧形成有较浅的凹部47。在该凹部47中配设具有连续气泡的多孔质构件49。另外,在凹部47形成有与烘焙板1B的上表面和下表面连通的排出孔27A。
在这种结构中,由于由封闭部25封闭基板W的下表面和烘焙板1B的上表面之间的微小空间ms的侧面,所以当通过排出孔27A排出微小空间ms的气体时,经由多孔质构件49,从微小空间ms的下方全面进行排出而产生负压,因该负压,基板W被向烘焙板1B侧吸引。因此,即使基板W有翘曲也得以矫正,基板W的下表面和球体19接触,适当维持和烘焙板1B上表面的距离,所以在基板W的面内可以实施均衡的热处理。而且,由于经由多孔质构件49全面地进行排出,所以,可以是整体的、均匀的进行微小空间ms的气体的排出。其结果,在烘焙板1B的热分布中难以产生偏颇,更加均衡进行热处理。
另外,本实施例装置可以同前述的实施例1~3或下面所述的各实施例5~8等的任意一个相组合。
实施例5
下面,参照附图来说明本发明的实施例5。另外,对与前述实施例1相同的结构标有相同的附图标记,从而省略详细的说明。图11是表示实施例5涉及的基板热处理装置的概略结构的纵剖视图。
在本实施例,烘焙板1C上具有多个供给孔51。这些供给孔51用供给管道55和氮气供给源53连通并连接。在供给管道55上配设有开闭阀57、流量调节阀59和压力计61。另外,也可以采用净化空气供给源代替氮气供给源53。由CPU39控制开闭阀57的开放·关闭动作。而且,在排出管道29上配设不具有真空破坏阀的一般开闭阀63,以代替前述实施例1的开闭阀33。
在本实施例装置中,由CPU39开放开闭阀63,通过排出孔27,以一定流量排出微小空间ms内的气体。于是,在微小空间ms内产生负压,基板W由球体19和支撑部21支撑,并被吸引向烘焙板1C(传热部5C)侧。将此状态按照程序仅保持所规定的时间,并实施热处理。经过规定时间后,CPU39首先开放开闭阀57,通过供出孔51,而以一定流量向微小空间ms送入氮气,同时,关闭开闭阀63,停止吸引。
如上所述,当为了解除微小空间ms的吸引、开放基板W,而从排出了微小空间ms的气体的排出孔27供给气体或与大气连通时,颗粒等被吹向基板W的下表面,有可能污染基板W。但是,由于具有与排出孔27不同的供给孔51,在解除吸引时,首先从供给孔51供给气体,积极地解消微小空间ms内的负压,然后停止从排出孔27的排气,从而可以防止吹上颗粒等,可以清洁度较高地处理基板W。
另外,因蔓延到基板W下表面的处理液等的影响,即使解消负压,基板W依然贴附在封闭部25上,用支承销9也较难举起,但由于积极地供给气体,从而在举起基板W的方向施加压力,所以能用支承销9容易地举起基板W。
实施例6
下面,参照附图来说明本发明的实施例6。另外,对与前述实施例5相同的结构标有相同的附图标记,从而省略详细的说明。图12是表示实施例6涉及的基板热处理装置的概略结构的纵剖视图。
在本实施例,排出管道29中,在开闭阀63的上游侧(接近排出孔27一侧)配设压力计65,由CPU39监视其压力值。另外,压力计65相当于本发明中的排出压检测机构,CPU39相当于本发明中的判断机构及报告机构。
参照图13来说明本实施例装置的动作。另外,图13是表示实施例6的动作说明的流程图。
步骤S1
搬入基板W。使气缸15动作,使支承销9上升,接受基板W。
步骤S2~S4
停止空气缸15动作,收缩动作轴,使支承销9下降。由此,基板W装载到烘焙板1C上。这时,CPU39通过没有图示的传感器等判断支承销9是否正常下降(步骤3),监视到其正常下降为止(步骤4)。
步骤S5~S7
确认装载了基板W后,开放开闭阀63(第一阀),在一定时间内,CPU39监视压力计65的压力是否达到设定压,而分别处理。也就是,在以下两种情况下分别处理:由于发生基板W破损、或封闭部25破损等障碍,微小空间ms没有减压到设定压;没有发生障碍,能减压到设定压。
步骤S8
在减压到规定压力时,基于程序只待机经过处理时间,对基板W实施热处理。
步骤S9~S11
当达到处理时间时,开放开闭阀57(第二阀)。由此,向微小空间ms和与其连通的排出管道29供给氮气。在一定的时间内,CPU39监视压力计65的压力,判断是否达到设定压,并分别处理。也就是,在以下两种情况下进行分别处理:由于氮气供给系统等发生问题,没能充分地供给氮气,没能解消负压;没有发生障碍,能解消负压。
步骤S12~S14
在达到设定压力,负压解消了的情况下,关闭开闭阀63(第一阀),停止排气动作。然后,在一定时间内,CPU39监视压力计65的压力,判断是否达到设定压,而进行分别处理。也就是,由于关闭了排气系统的开闭阀63,所以在一定时间内,监视在微小空间ms及与其连通的部分的压力是否由于氮气的供给而变高,根据结果,进行分别处理。这样,为了解消负压而供给氮气,所以即使例如基板W的下表面周边部贴附在支撑部21的情况下,用支承销9也可以容易举起基板W。
步骤S15~S17
达到设定压力后,CPU39关闭开闭阀57,停止氮气的供给,同时,使气缸15动作,使支承销9上升。在一定时间内,CPU39通过没有图示的传感器等,监视支承销9是否正常上升,根据结果进行分别处理。
通过如前述一系列的处理,进行对一张基板W的处理,在各步骤S4、S7、S11、S14、S17,在时间已到时,在步骤S 18进行分别处理。在步骤S 18,CPU39中断处理的同时,将装置发生了问题的情况报告给操作员。对于报告方式,例如,报警灯的闪亮、蜂鸣器发出响声。这样,由于检知故障,停止处理并进行报告,所以能预先报告处于如下状况:排出系有异常,没将微小空间ms充分保持在负压,对基板W的热处理不均衡。因此,可以防止继续进行不适宜的处理。另外,不只是排出系统,也可以检测并报告在供给系统发生的问题。进一步,由于也监视支承销9的升降,因此不只是排出·供给系统,也可检测出在升降系统发生的问题。
实施例7
下面,参照附图来说明本发明的实施例7。另外,对与前述实施例6相同的结构标有相同的附图标记,从而省略详细的说明。图14是表示实施例7涉及的基板热处理装置的概略结构的纵剖视图。
本实施例装置,其特征在于在排出系统,分两步骤改变排气压力。
即,在排出管道29上,在压力计65和开闭阀63之间分支设置排出管道67。在排出管道67配设开闭阀69、流量调节阀71和压力计73。流量调节阀71以大于流量调节阀35的流量(排气压力高)的方式进行预先设定。如下述说明,CPU39根据时间切换开闭阀63、69,从而可以分两步骤地切换排出压。
另外,前述的开闭阀63、69和流量调节阀71、73相当于本发明中的切换机构。
参照图15来说明这样构成的实施例装置的动作。另外,图15是表示实施例7的动作说明中一部分的流程图。这个流程图是代替前述实施例6的流程图(图13)中的微小空间ms的气体排出动作(步骤S5、S6)。因此,在下面说明中,说明确认了支承销9下降之后的处理过程。
步骤S51、S52
开放开闭阀69(第零阀),用较高的压力排出微小空间ms内的气体。然后,判断压力计65的压力是否达到了设定压,在达到时,转移到步骤S53,在没有达到时,分支到步骤S7以后。
步骤S53
关闭开闭阀69(第零阀)的同时,开放开闭阀63(第一阀)。由此,以低于开放开闭阀69时的排气压力开始排气。这之后的处理和前述实施例6中的动作说明相同。
这样在本实施例装置中,要分两步骤地切换排气压,排出微小空间ms内的气体,这样构成的优点如下所述。
即,排出压越大则作用在基板W上的吸引力越大,但是,由于大流量的气体的流过而有可能带来烘焙板1的热分布的不均衡。另一方面,由于在基板W的周边部向中央部的上方翘曲时,封闭部25和基板W的周边部之间的缝隙就比较大,所以如果排出压不够大,可能不能充分地吸引周边部。这样,通过使排出初期的排出压大于其后稳定时的排出压,从而即使是基板W的中央部向下方突出那样的翘曲的基板W,也可以确切地被吸引,不会给烘焙板1的热分布带来不良影响。
实施例8
下面,参照附图说明本发明的实施例8。另外,对与前述各实施例1~7相同的结构标有相同的附图标记,从而省略详细的说明。图16是放大表示实施例8涉及的基板热处理装置的一部分的纵剖视图。
如前述实施例1那样,当排出孔27开口在烘焙板1的上表面时,能使在基板W的下表面容易作用向着烘焙板1方向的吸引力,有效地进行吸引。但是,在本发明只要可以排出微小空间ms内的气体,则怎样构成排出孔都可以,例如,如下说明那样构成也可以。
在本实施例8中,烘焙板1D的传热部5D不具有排出孔27,在封闭部25的支撑部21B的下部,形成有多个流路纵剖面形状呈半圆形状的排出孔27B。但是,由于微小空间ms为负压的关系,用基板W的下表面周边部,将支撑部21B从上方向烘焙板1D侧按压,因此,优选以具有不因该压力而变形的强度的方式来设定孔的形状及个数。另外,并非全用于排出,一部分也可以用于氮气的供给。
这样,由于传热部5D不具有排出孔27,所以可以使烘焙板1D的热分布更均衡,而且,可以矫正基板W的翘曲来实施均衡的热处理。
本发明不只限于前述实施方式,可以实施下述变形。
(1)在前述各实施例中,具有球体19而并构成微小空间ms,但是,也可以取而代之,例如通过对烘焙板1的传热部5的上表面进行蚀刻、部分电镀、部分涂层,从而将凹凸形成为同心圆形状或网眼状。另外,也可以做成这样的结构,由其他部件构成这些部分,并和传热部5的上表面相连接。
(2)在前述各实施例中,以在传热部5中埋设导热管的结构为例进行了说明,但也可以适用于不使用导热管的基板热处理装置。
(3)在前述各实施例中,以在俯视下为圆形的基板为例进行了说明,但也可以适用于处理矩形的基板的基板热处理装置。
本发明可以在不脱离其思想、本质的范围内具体地实施其他形式,因此,作为表示发明范围,应该参照附加的权利要求书,而不是以上的说明。

Claims (22)

1.一种基板热处理装置,其对基板进行热处理,其特征在于,
前述装置包含以下部分:
烘焙板,其在上表面具有凸部,
封闭部,其设置在前述烘焙板的上表面周边部,在将基板装载到前述烘焙板上时,将在基板下表面和烘焙板上表面之间形成的微小空间的侧方封闭,并且该封闭部具有限制部和接触部,该限制部限制基板向侧方移动,该接触部配置在与前述限制部之间的空间内,和基板的下表面相接触,且直径小于基板外径,
排出孔,其用于将前述微小空间的气体排出;
在通过前述排出孔排出了前述微小空间的气体的状态下,对被装载到前述烘焙板上的基板进行热处理。
2.如权利要求1所述的基板热处理装置,其特征在于,
前述排出孔开口在前述烘焙板的上表面上。
3.如权利要求2所述的基板热处理装置,其特征在于,
前述排出孔相比于前述烘焙板的中心部形成在靠近前述封闭部侧。
4.如权利要求1所述的基板热处理装置,其特征在于,
前述烘焙板呈现其上表面向下方凹下的凹部形状。
5.如权利要求1所述的基板热处理装置,其特征在于,
前述封闭部具有槽部,该槽部在前述限制部与前述接触部之间,并且位于前述接触部的外侧,和基板的下表面不接触。
6.如权利要求2所述的基板热处理装置,其特征在于,
前述封闭部具有槽部,该槽部在前述限制部与前述接触部之间,并且位于前述接触部的外侧,和基板的下表面不接触。
7.如权利要求3所述的基板热处理装置,其特征在于,
前述封闭部具有槽部,该槽部在前述限制部与前述接触部之间,并且位于前述接触部的外侧,和基板的下表面不接触。
8.如权利要求1所述的基板热处理装置,其特征在于,
具有供给孔,该供给孔用于向前述微小空间供给气体,
对基板的热处理结束后,从前述供给孔供给气体,同时,停止前述排出孔的排气。
9.如权利要求2所述的基板热处理装置,其特征在于,
具有供给孔,该供给孔用于向前述微小空间供给气体,
对基板的热处理结束后,从前述供给孔供给气体,同时,停止前述排出孔的排气。
10.如权利要求3所述的基板热处理装置,其特征在于,
具有供给孔,该供给孔用于向前述微小空间供给气体,
对基板的热处理结束后,从前述供给孔供给气体,同时,停止前述排出孔的排气。
11.如权利要求1所述的基板热处理装置,其特征在于,
还具有:
排出压检测机构,其检测出前述排出孔的排出压,
判断机构,其基于从前述排出压检测机构获取的排出压,判断在排出系统是否有异常,
报告机构,其在前述判断机构判断有异常时,报告异常。
12.如权利要求1所述的基板热处理装置,其特征在于,
还具有切换机构,该切换机构能够切换来自前述排出孔的排出压,将前述微小空间内的排出初期的排出压切换为大于其后稳定时的排出压的压力。
13.一种基板热处理装置,其对基板进行热处理,其特征在于,
前述装置包含以下部分:
烘焙板,其在上表面具有凸部,
封闭部,其设置在前述烘焙板的上表面外周部,在将基板装载到前述烘焙板上时,将在基板下表面形成的微小空间的侧方封闭,并且该封闭部具有限制部和接触部,该限制部限制基板向侧方移动,该接触部配置在与前述限制部之间的空间内,和基板的下表面相接触,且直径小于基板外径,
多孔质构件,其配置在前述烘焙板的上表面中从前述封闭部起的中心侧,
排出孔,其为了将前述微小空间的气体排出而与前述多孔质构件连通并连接;
在通过前述排出孔排出了前述微小空间的气体的状态下,对装载到前述烘焙板上的基板进行热处理。
14.如权利要求13所述的基板热处理装置,其特征在于,
前述烘焙板呈现其上表面向下方凹下的凹部形状。
15.如权利要求13所述的基板热处理装置,其特征在于,
前述封闭部具有槽部,该槽部在前述限制部与前述接触部之间,并且位于前述接触部的外侧,和基板的下表面不接触。
16.如权利要求14所述的基板热处理装置,其特征在于,
前述封闭部具有槽部,该槽部在前述限制部与前述接触部之间,并且位于前述接触部的外侧,和基板的下表面不接触。
17.如权利要求13所述的基板热处理装置,其特征在于,
具有供给孔,该供给孔用于向前述微小空间供给气体,
对基板的热处理结束后,从前述供给孔供给气体,同时,停止前述排出孔的排气。
18.如权利要求14所述的基板热处理装置,其特征在于,
具有供给孔,该供给孔用于向前述微小空间供给气体,
对基板的热处理结束后,从前述供给孔供给气体,同时,停止前述排出孔的排气。
19.如权利要求13所述的基板热处理装置,其特征在于,
还具有:
排出压检测机构,其检测出前述排出孔的排出压,
判断机构,其基于从前述排出压检测机构获取的排出压,判断在排出系统是否有异常,
报告机构,其在前述判断机构判断有异常时,报告异常。
20.如权利要求13所述的基板热处理装置,其特征在于,
具有切换机构,该切换机构能够切换来自前述排出孔的排出压,将前述微小空间内的排出初期的排出压切换为大于其后稳定时的排出压的压力。
21.一种基板热处理装置,其对基板进行热处理,其特征在于,
前述装置包含以下部分:
烘焙板,其在上表面具有凸部,
封闭部,其设置在前述烘焙板的上表面周边部,在将基板装载到前述烘焙板上时,将在基板下表面和烘焙板上表面之间形成的微小空间的侧方封闭,并且该封闭部具有限制部、接触部、槽部,该限制部限制基板向侧方移动,该接触部配置在与前述限制部之间的空间内,和基板的下表面相接触,且直径小于基板外径,该槽部位于该接触部的外侧,与基板的下表面不接触,
排出孔,其用于将前述微小空间的气体排出;
在通过前述排出孔排出了前述微小空间的气体的状态下,对被装载到前述烘焙板上的基板进行热处理。
22.一种基板热处理装置,其对基板进行热处理,其特征在于,
前述装置包含以下部分:
烘焙板,其在上表面具有凸部,
封闭部,其设置在前述烘焙板的上表面外周部,在将基板装载到前述烘焙板上时,将在基板下表面形成的微小空间的侧方封闭,并且该封闭部具有限制部、接触部、槽部,该限制部限制基板向侧方移动,该接触部配置在与前述限制部之间的空间内,与基板的下表面相接触,且直径小于基板外径,该槽部位于该接触部的外侧,与基板的下表面不接触,
多孔质构件,其配置在前述烘焙板的上表面中从前述封闭部起的中心侧,
排出孔,其为了将前述微小空间的气体排出而与前述多孔质构件连通并连接;
在通过前述排出孔排出了前述微小空间的气体的状态下,对装载到前述烘焙板上的基板进行热处理。
CNB200610081842XA 2005-05-12 2006-05-12 基板热处理装置 Active CN100536074C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005139567A JP4666473B2 (ja) 2005-05-12 2005-05-12 基板熱処理装置
JP2005139567 2005-05-12

Publications (2)

Publication Number Publication Date
CN1862204A CN1862204A (zh) 2006-11-15
CN100536074C true CN100536074C (zh) 2009-09-02

Family

ID=37389684

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB200610081842XA Active CN100536074C (zh) 2005-05-12 2006-05-12 基板热处理装置

Country Status (5)

Country Link
US (1) US7432476B2 (zh)
JP (1) JP4666473B2 (zh)
KR (1) KR100750630B1 (zh)
CN (1) CN100536074C (zh)
TW (1) TWI307926B (zh)

Families Citing this family (336)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4535499B2 (ja) * 2005-04-19 2010-09-01 東京エレクトロン株式会社 加熱装置、塗布、現像装置及び加熱方法
JP4666496B2 (ja) * 2005-12-07 2011-04-06 大日本スクリーン製造株式会社 基板熱処理装置
JP4827569B2 (ja) 2006-03-23 2011-11-30 大日本スクリーン製造株式会社 基板支持構造とこれを用いた熱処理装置と基板支持構造に用いられるシート状物と基板支持構造の製造方法
JP4899879B2 (ja) * 2007-01-17 2012-03-21 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US8209833B2 (en) * 2008-11-07 2012-07-03 Tokyo Electron Limited Thermal processing system and method of using
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8785821B2 (en) * 2009-07-06 2014-07-22 Sokudo Co., Ltd. Substrate processing apparatus with heater element held by vacuum
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR20120108229A (ko) * 2011-03-23 2012-10-05 삼성디스플레이 주식회사 레이저 가공용 워크 테이블
KR20120119781A (ko) * 2011-04-22 2012-10-31 삼성전자주식회사 지지 유닛 및 이를 가지는 기판 처리 장치
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10242890B2 (en) * 2011-08-08 2019-03-26 Applied Materials, Inc. Substrate support with heater
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9099514B2 (en) * 2012-03-21 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer holder with tapered region
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9076834B2 (en) * 2012-09-28 2015-07-07 United Microelectronics Corp. Spacer for thermal plate in semiconductor processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9583363B2 (en) 2012-12-31 2017-02-28 Sunedison Semiconductor Limited (Uen201334164H) Processes and apparatus for preparing heterostructures with reduced strain by radial distension
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
WO2014126896A1 (en) * 2013-02-13 2014-08-21 Entegris, Inc. Vacuum chuck with polymeric embossments
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN104600000A (zh) * 2013-10-30 2015-05-06 沈阳芯源微电子设备有限公司 一种基板周边吸附烘烤结构
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP6732429B2 (ja) * 2014-11-28 2020-07-29 キヤノン株式会社 基板保持装置、リソグラフィ装置、及び物品の製造方法
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
WO2016114877A1 (en) 2015-01-12 2016-07-21 Applied Materials, Inc. Support assembly for substrate backside discoloration control
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6436828B2 (ja) * 2015-03-26 2018-12-12 株式会社テックインテック 熱処理装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US11854842B2 (en) * 2016-03-18 2023-12-26 Acm Research (Shanghai), Inc. Substrate heat treatment apparatus
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
WO2017176419A1 (en) * 2016-04-08 2017-10-12 Applied Materials, Inc. Vacuum chuck pressure control system
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6847610B2 (ja) * 2016-09-14 2021-03-24 株式会社Screenホールディングス 熱処理装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP2018122380A (ja) * 2017-01-31 2018-08-09 ブラザー工業株式会社 部品保持装置
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6978840B2 (ja) * 2017-02-28 2021-12-08 株式会社Screenホールディングス 基板処理装置および基板保持装置
JP6970515B2 (ja) * 2017-03-08 2021-11-24 株式会社Screenホールディングス 基板処理装置
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US9983118B1 (en) * 2017-06-03 2018-05-29 Himax Technologies Limited Wafer holding apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US20190027392A1 (en) * 2017-07-19 2019-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate support apparatus and method
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11955362B2 (en) * 2017-09-13 2024-04-09 Applied Materials, Inc. Substrate support for reduced damage substrate backside
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
JP7104531B2 (ja) * 2018-03-19 2022-07-21 キヤノン株式会社 基板保持装置および基板処理装置
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11682574B2 (en) 2018-12-03 2023-06-20 Applied Materials, Inc. Electrostatic chuck design with improved chucking and arcing performance
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
KR20210104696A (ko) 2019-01-15 2021-08-25 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버들을 위한 페디스털
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP6535828B1 (ja) * 2019-02-08 2019-06-26 株式会社幸和 基板処理装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
JP7256034B2 (ja) * 2019-03-04 2023-04-11 株式会社Screenホールディングス 熱処理装置および熱処理方法
JP7249814B2 (ja) * 2019-03-04 2023-03-31 株式会社Screenホールディングス 熱処理装置および熱処理方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
CN112185844A (zh) * 2019-07-01 2021-01-05 圆益Ips股份有限公司 基板处理装置
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11177146B2 (en) * 2019-10-31 2021-11-16 Applied Materials, Inc. Methods and apparatus for processing a substrate
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202143380A (zh) * 2020-03-21 2021-11-16 美商應用材料股份有限公司 用於快速氣體交換的基座幾何形狀
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TWI786408B (zh) * 2020-05-28 2022-12-11 環球晶圓股份有限公司 晶圓承載台及晶圓鑲埋結構的形成方法
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
JP7413164B2 (ja) 2020-06-26 2024-01-15 東京エレクトロン株式会社 熱処理ユニット、基板処理装置、熱処理方法、及び記憶媒体
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4949783A (en) * 1988-05-18 1990-08-21 Veeco Instruments, Inc. Substrate transport and cooling apparatus and method for same
JPH02290013A (ja) 1989-04-28 1990-11-29 Tokyo Electron Ltd 温度処理方法
US5096536A (en) * 1990-06-12 1992-03-17 Micron Technology, Inc. Method and apparatus useful in the plasma etching of semiconductor materials
JP2809834B2 (ja) * 1990-07-27 1998-10-15 東京エレクトロン株式会社 レジスト処理装置
JPH06123924A (ja) * 1992-10-12 1994-05-06 Nikon Corp 基板ホルダ
JP3328375B2 (ja) * 1993-05-27 2002-09-24 大日本スクリーン製造株式会社 熱処理装置
JPH0927541A (ja) * 1995-07-10 1997-01-28 Nikon Corp 基板ホルダ
KR970048917A (ko) * 1995-12-15 1997-07-29 김주용 포토 마스크 제작방법
US5761023A (en) * 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
KR100212715B1 (ko) * 1996-10-08 1999-08-02 윤종용 베이크 챔버내의 웨이퍼 탑재장치
JPH10270535A (ja) * 1997-03-25 1998-10-09 Nikon Corp 移動ステージ装置、及び該ステージ装置を用いた回路デバイス製造方法
JPH10284360A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
JP3803487B2 (ja) * 1998-05-08 2006-08-02 大日本スクリーン製造株式会社 基板冷却装置および基板冷却方法
JP2000193376A (ja) 1998-12-24 2000-07-14 Dainippon Screen Mfg Co Ltd 熱処理装置
JP2000200824A (ja) * 1999-01-07 2000-07-18 Canon Inc 半導体製造装置
JP3356115B2 (ja) * 1999-05-20 2002-12-09 ウシオ電機株式会社 レジスト硬化装置
JP2001093864A (ja) * 1999-09-24 2001-04-06 Toshiba Corp 半導体ウェーハ固定治具及び半導体装置の製造方法
JP2001313246A (ja) * 2000-04-28 2001-11-09 Nikon Corp 露光方法及び露光装置並びにデバイスの製造方法及びデバイス
KR100407364B1 (ko) * 2000-06-26 2003-12-01 유니셈 주식회사 반도체 웨이퍼 베이크 장치
JP3581303B2 (ja) * 2000-07-31 2004-10-27 東京エレクトロン株式会社 判別方法及び処理装置
JP2002134484A (ja) * 2000-10-19 2002-05-10 Asm Japan Kk 半導体基板保持装置
JP2002173250A (ja) * 2000-12-07 2002-06-21 Nitto Denko Corp 吸着搬送方法および吸着加工方法
US6634882B2 (en) * 2000-12-22 2003-10-21 Asm America, Inc. Susceptor pocket profile to improve process performance
KR100396699B1 (ko) * 2001-01-10 2003-09-03 주식회사 하이닉스반도체 반도체 제조를 위한 리플로우 베이크 시스템
JP3515963B2 (ja) 2001-04-25 2004-04-05 東京エレクトロン株式会社 基板処理装置
JP4681756B2 (ja) * 2001-05-16 2011-05-11 キヤノン株式会社 露光装置およびデバイス製造方法
KR20030092791A (ko) * 2002-05-31 2003-12-06 삼성 엔이씨 모바일 디스플레이 주식회사 기판고정장치
JP2004052098A (ja) 2002-05-31 2004-02-19 Tokyo Electron Ltd 基板処理装置およびそれに用いるサセプタ
KR20050040512A (ko) * 2003-10-29 2005-05-03 삼성전자주식회사 베이크 장치

Also Published As

Publication number Publication date
KR100750630B1 (ko) 2007-08-20
TWI307926B (en) 2009-03-21
KR20060117249A (ko) 2006-11-16
JP4666473B2 (ja) 2011-04-06
TW200735219A (en) 2007-09-16
CN1862204A (zh) 2006-11-15
US7432476B2 (en) 2008-10-07
US20060289432A1 (en) 2006-12-28
JP2006319093A (ja) 2006-11-24

Similar Documents

Publication Publication Date Title
CN100536074C (zh) 基板热处理装置
US8003919B2 (en) Substrate heat treatment apparatus
CN102163573B (zh) 支承体机构、负载锁定装置、处理装置及搬送机构
US8608885B2 (en) Substrate heat treatment apparatus
JP4485374B2 (ja) 冷却処理装置
JP4827569B2 (ja) 基板支持構造とこれを用いた熱処理装置と基板支持構造に用いられるシート状物と基板支持構造の製造方法
JP2007158077A (ja) 基板熱処理装置
US20110232075A1 (en) Wafer holding apparatus and method
KR20060017768A (ko) 반도체 처리 시스템용 낱장식 열처리 장치
US9214373B2 (en) Chuck exhaust openings
TWI655672B (zh) 基板處理方法及其裝置
US20070138134A1 (en) Etching apparatus and etching method
CN202093315U (zh) 冷却装置
CN110249409B (zh) 衬底处理方法及衬底处理装置
TWI664663B (zh) 基板處理方法及其裝置
KR102233012B1 (ko) 기판 처리 방법
CN210776182U (zh) 光刻机的掩膜版冷却系统
JP2005109404A (ja) 基板保持装置
KR20070020580A (ko) 기판 지지장치
CN220774279U (zh) 一种刻蚀机中的腔体以及刻蚀机
JP2007158076A (ja) 基板熱処理装置
JPH10150051A (ja) 半導体熱処理装置
KR100933508B1 (ko) 게이트 밸브
CN116313877A (zh) 改善晶圆间厚度均匀性的排气方法及排气装置及扩散炉
JPH07183242A (ja) 処理装置及び処理方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee

Owner name: DAINIPPON SCREEN MFG. CO., LTD.

Free format text: FORMER NAME: DAINIPPON MESH PLATE MFR. CO., LTD.

Owner name: SCREEN GROUP CO., LTD.

Free format text: FORMER NAME: DAINIPPON SCREEN MFG. CO., LTD.

CP01 Change in the name or title of a patent holder

Address after: Kyoto Japan

Patentee after: Skilling Group

Address before: Kyoto Japan

Patentee before: DAINIPPON SCREEN MFG Co.,Ltd.

Address after: Kyoto Japan

Patentee after: DAINIPPON SCREEN MFG Co.,Ltd.

Address before: Kyoto Japan

Patentee before: Dainippon Screen Mfg. Co.,Ltd.