JPH1145122A - 動的ガス流コントローラ - Google Patents

動的ガス流コントローラ

Info

Publication number
JPH1145122A
JPH1145122A JP14497998A JP14497998A JPH1145122A JP H1145122 A JPH1145122 A JP H1145122A JP 14497998 A JP14497998 A JP 14497998A JP 14497998 A JP14497998 A JP 14497998A JP H1145122 A JPH1145122 A JP H1145122A
Authority
JP
Japan
Prior art keywords
gas
flow
reservoir
signal
mass
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP14497998A
Other languages
English (en)
Inventor
E Willmer Michael
イー. ウィルマー マイケル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH1145122A publication Critical patent/JPH1145122A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D7/00Control of flow
    • G05D7/06Control of flow characterised by the use of electric means
    • G05D7/0617Control of flow characterised by the use of electric means specially adapted for fluid materials
    • G05D7/0629Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means
    • G05D7/0635Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/7722Line condition change responsive valves
    • Y10T137/7758Pilot or servo controlled
    • Y10T137/7759Responsive to change in rate of fluid flow
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/7722Line condition change responsive valves
    • Y10T137/7758Pilot or servo controlled
    • Y10T137/7761Electrically actuated valve

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Flow Control (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】リザーバから半導体プロセスチャンバへのガス
の送出を制御するための方法と装置を提供する。 【解決手段】 リザーバがレシピステップの開始時にガ
スで充填され、ガスの温度と圧力が測定されてガスの初
期質量が決定される。リザーバからチャンバへのガス流
が自己較正式動的流量制御回路に制御された可変流量バ
ルブによって計量される。運転時にはリザーバからガス
が放出され、可変流量バルブと音波オリフィスを介して
チャンバに当該ガスが向けられる。可変流量バルブは測
定ガス流量に応答して動的に制御される。チャンバへの
ガス流が終了するとガスの最終質量が決定される。ガス
の初期値と質量値とが比較されて、ステップ中に放出さ
れたガスの実際の質量が決定される。この値は装置較正
定数を更新するために、較正サーボループに入力され
る。較正サーボループを実行っすると、動的サーボルー
プが連続的自己較正される。

Description

【発明の詳細な説明】
【0001】
【発明の分野】本発明は、一般的には、ガス流を制御す
るためのガス流装置及び方法に関する。より詳細には、
本発明は、プロセスチャンバその他の使用点の場所への
ガスの送出を制御するための自己較正式動的ガス流制御
方法と装置に関する。
【0002】
【発明の背景】多くの工業的プロセスでは、使用点の場
所に送出される特定質量のガスとガス混合体を高度な精
度で制御する必要があることが知られている。特に、半
導体処理では、半導体デバイスの製作時に送出されるガ
スの特定質量を制御することが益々重要になってきてい
る。次世代の半導体デバイスの速度が増すにつれて、ま
た次世代の半導体デバイスの大きさ/寸法が減少するに
つれて、次世代の半導体デバイスの製作を支配する精度
と制御の程度が高まらなけらばならない。半導体デバイ
スのアーキテクチャが3サブミクロンスケール(three
submicron scale)未満に下がると、半導体業界は、特
定質量のガスをプロセスチャンバに送出するための、よ
り精確な方法を見出さなくてはならない。本発明の使用
と利益を、ここに半導体処理に関して、また、より詳細
にはプロセスチャンバへのガスの送出に関して説明す
る。しかしながら、かかる説明は単に説示的なものであ
ること、そして本発明は、使用点の場所に送出されるガ
ス質量を精確に制御することが望まれる他の分野に適用
できることを認識されたい。
【0003】半導体プロセスチャンバにガスを送出する
ための従来技術の方法の一つは、質量流量コントローラ
(MFC)の使用を含む。図1は、ガス流を制御するが
めに使用される従来技術の質量流量コントローラ(MF
C)を示す。このMFCは、指定された時間内にプロセ
スチャンバに特定質量のガスを送出するように較正され
る。例えば、MFCは、100標準立法センチメートル
/分(sccm)の窒素ガス(N2 )をプロセスチャン
バに送出するように較正できる。
【0004】ガス流を制御するために、MFCによっ
て、被加熱検出チューブ(センサ)110と流れ絞りバ
イパス(バイパス)120との間でガス流が分割され
る。MFCによって、ガス流は、大部分がバイパス12
0を通って流れて、一部分がセンサ110を通って流れ
るように分割される。
【0005】質量流量はセンサ110で測定される。ガ
ス流がヒータコイル(コイル)111を通過すると、ガ
スは熱を拾って、それをヒータコイル(コイル)112
に向けて運ぶ。ガスによる熱の動きは2個のコイル間に
温度差を発生させる。コイル111とコイル112は共
にヒータだが、ガスの温度を測定する抵抗温度検出器
(RTD)としても働く。かくして、ガスがコイル11
1とコイル112の間に流れると、コイル111とコイ
ル112間の温度変化が測定されて、MFC制御装置1
30によってガスの質量流量に相関されることができ
る。
【0006】温度差が測定されて特定ガスの相関質量流
量が決められると、制御装置130によって制御バルブ
140の位置が調節される。使用される特定ガスに対す
る所望(又は較正された)流量が得られるように制御バ
ルブ140の位置が設定される。
【0007】プロセスチャンバに特定質量のガスを送出
するMFCが係わる方法に伴う一つ問題は、MFC法の
精度である。MFCは現在、約5%精度レベルで、実際
の較正流量の40〜80%で運転するように設計されて
いる。例えば、100sccmの流量で較正されたN2
用のMFCについては、上記のように、その特定MFC
は40〜80sccmの範囲の流量でN2 を送出するよ
うに設計されている。前記40〜80%の範囲外では、
MFCの精度レベルは低下する。次世代の半導体デバイ
スでは、従来技術のMFCよりも、高精度の製作プロセ
スが必要とされる。
【0008】MFCに伴うもう一つの問題は、それらの
動的範囲(ダイナミックレンジ)が制限されていること
である。動的範囲とは、最大制御流量と最小制御流量の
比である。上記のように、大抵のMFCは約5%の精度
を達成するために、実際の較正流量の40〜80%で運
転するように設計されている。従って、上記装置の動的
範囲は約2対1の比に制限される。
【0009】MFCに伴う、もう一つの問題は、大抵の
プロセスにおいて、プロセスチャンバへのガスの流れが
被制御性を有することが必要とされる点である。多くの
用途で、特定レシピ用のガスのすべてをプロセスチャン
バに一度に送出することは望ましくない。同様に、プロ
セスの開始時にガスの少量部分をプロセスチャンバに送
出し、プロセスの終わりにガスの大部分をプロセスチャ
ンバに送出すること(又はその反対)も望ましくないだ
ろう。その代わりに、ガスをプロセスチャンバに、制御
された率で、そのプロセスの生産性を最適化する方式で
送出することが望ましい。MFCの精度は、特定のMF
C較正流量の40〜80%の範囲外では低下するので、
ガスの送出を制御する程度も低下する。
【0010】他の方法と装置が使用点の場所にガスを送
出するために使用される。例えば、フィードバック制御
ループの制御下での可変流量バルブの使用は、使用点の
場所へのガス送出を制御するための一般的な方法であ
る。図2に、フィードバックコントローラ230の制御
下で可変流量バルブ210を使用する典型的な従来技術
のガス送出装置を示す。ガスは、ガス源から使用点の場
所まで、制御信号234に応答してバルブ210のスロ
ート面積を調節することにより送出される。制御信号2
34は、所望流れ入力信号236と被測定流れ信号22
0の間の比較結果に応答して発生される。所望流れ入力
信号は通常、ユーザインタフェースを介して、又は予め
プログラムされたプロセスレシピから提供される。被測
定流れ信号220は、可変流量バルブ210の下流地点
に配置された流量計その他の流量測定装置220によっ
て生成される。
【0011】時間が経過すると、可変流量バルブ210
の流れ定数(CV )は、磨耗や堆積物の増加が原因で変
化する。更に、流量測定装置220の出力信号236
は、「ドリフト」として知られる現象が原因で、測定さ
れる所定の流れに対して時間の経過と共に変化する。流
れ定数CV の変化と「ドリフト」の発生は共に流量制御
装置の精度を低下させるように作用する。その結果、現
状で入手可能なフィードバック流量制御装置は、装置を
許容精度範囲内に保つために、時間のかかる再較正手順
を頻繁に実施することを必要とする。そのような較正手
順は、プロセス休止時間をもたらすと共にその手順の実
行に熟練技術者を必要とするのでコストがかかることに
なる。
【0012】かくして、広い動的範囲にわたって高精度
で、ガス流を処理装置に送出できる方法と装置が必要と
される。
【0013】
【発明の概要】リザーバから半導体プロセスチャンバへ
のガスの送出を制御するための方法と装置を開示する。
本発明によれば、出入口隔離バルブと既知の容積を有す
るリザーバは、プロセスレシピステップが開始されると
ガスで充填される。リザーバ内のガスの温度と圧力が測
定されて、リザーバ内にあるガスの初期質量が決められ
る。リザーバからプロセスチャンバへのガス流は、流量
制御サーボループ(流量制御回路)と較正サーボループ
(較正回路)とを含む自己較正式動的流量制御回路の制
御の下で可変流量バルブによって計量される。可変流量
バルブは、リザーバと、オリフィスの上流点にあるプロ
セスチャンバとの間のガス流路内に配置されている。運
転時、リザーバからガスを放出してそのガスを可変流量
バルブと音波オリフィスを通ってプロセスチャンバ内に
向けることによって、ガスがプロセスチャンバに送出さ
れる。流量制御サーボループを使って、測定ガス流量に
応答して可変流量バルブを動的に制御する。プロセスチ
ャンバへのガスの流れが終了すると、リザーバ内にある
ガスの温度と圧力が再び測定されて、リザーバ内にある
ガスの最終質量が決定される。ガスの初期質量と、ガス
の最終質量の値が比較されて、レシピステップ中にリザ
ーバから放出されたガスの実際質量が決定される。この
値は、装置の較正定数を更新するために較正サーボルー
プへの入力として役立つ。較正サーボループの実行は、
ダイナミックサーボループの連続的な自己較正として役
立つ。
【0014】所望流量で流れを開始する指令によって、
所望流れ入力信号がゲイン回路に提供される。ゲイン回
路は較正サーボループから較正信号を受け取り、所望流
れ入力信号を、記憶された公称ガス流量と、対応する電
圧設定点に相関させ、電圧設定点値を、被較正所望流れ
信号として出力する。この被較正所望流れ信号は流量制
御サーボループへの入力として役立つ。被較正所望流れ
信号の生成と同時又はいくらか前に、リザーバ内にある
ガスの温度と圧力を測定してリザーバ内にあるガスの初
期質量を決める。被較正所望流れ信号を受け取ると、流
量制御サーボループが可変制御バルブの位置を調節し、
リザーバ出口隔離バルブを開くことによってリザーバか
らガスが放出される。オリフィスを通るガスの流量が音
速以上の場合は、装置中を流れるガスの流量は、オリフ
ィスの上流で発生する圧力をモニタすることにより測定
される。被測定圧力に対応した被測定流れ信号は、流量
制御サーボループへの入力として提供される。一方、流
量制御サーボ流れループは、被較正所望流れ信号と被測
定流れ信号とに応答して可変流量バルブのスロート面積
を制御するために流れ制御信号を発生する。
【0015】流れを終了する指令によって、リザーバ出
口隔離バルブが閉じられ、リザーバ内のガスの圧力と温
度とが測定されてリザーバ内に残留するガスの最終質量
が決定される。リザーバから放出されるガスの所望質量
は、リザーバ出口隔離バルブが開かれた全期間にわたっ
て所望流れ信号を積分することによって決定される。リ
ザーバ内のガスの最初と最後の質量が分かると、リザー
バからプロセスチャンバ内へ放出されるガスの実際質量
の精確な計算が可能となる。リザーバから放出されるべ
きガスの所望質量と、リザーバから放出されたガスの実
際質量との比較の結果、補正/較正係数が決定される。
較正係数をゲイン回路への入力として使用して、いま完
了したプロセスステップに対する所望流量に対応する電
圧設定点を更新又は調整する。言い換えれば、特定の公
称流量に関係する電圧出力設定点は、先に得られた一つ
以上の実際流量と、それに対応する所望流量の差を補償
するために各プロセスレシピステップの完了時に更新さ
れる。従って、自己較正は、精確に導かれたガス質量値
に応答してゲイン回路設定点値を反復更新することによ
って達成される。
【0016】本発明の、もう一つの実施態様では、リザ
ーバ出口隔離バルブの下流に配置されたガス流路が、リ
ザーバからのガスの放出に先立って減圧排気される。流
路の減圧排気は、ガスが最初にリザーバから放出される
ときの流れスパイク発生を最少にするのに役立つ。
【0017】本発明の更なる特徴と利益は下記の詳細な
説明、図面、及び請求項から明らかになるであろう。
【0018】本発明は、例として添付の図面で示される
が、それに限定されることはない。
【0019】
【実施形態の詳細な説明】リザーバから半導体プロセス
チャンバへのガスの送出を制御するための方法と装置を
開示する。以下の説明では、本発明の完全な理解を提供
するために特定の材料、手段、寸法等の数々の特定の詳
細を記載する。しかしながら、当業者には、本発明を実
施するために必ずしもこれらの特定の詳細を使用する必
要がないことは明らかであろう。他の例では、不必要に
本発明を分かり難くするのを避けるために、周知の材
料、装置、方法等を詳細には説明しなかった。更に、強
調したいのは、本発明は半導体処理に関連して説明して
いるが、当業者ならば、このような説明は単なる説示的
なものであって、本発明の制限を意図するものでないこ
とがよく理解されるであろう。本明細書で説明する特定
のプロセスと装置の意図は、もっぱら、本発明の理解の
明確化を助けることであり、また本発明が実施可能な特
定実施形態を説示することである。付帯する請求項に記
述される通り、本発明のより広い趣旨と範囲は、本発明
よって達成される成果が要求される任意のタイプのプロ
セスに適用できることを認識されたい。
【0020】図3は、本発明が利用できるガス送出装置
300の概略線図である。一実施形態で、既知の容積を
有するリザーバ350から流量制御バルブ356とオリ
フィス360とを含むガス流路内にガスを放出すること
によって、半導体プロセスチャンバにプロセスガスが送
出される。自己較正式動的ガス流制御回路301は、装
置を通るガス流を制御するために、流量制御バルブアク
チュエータ357に制御信号を提供する。アクチュエー
タ357は、サーボモータ、空気圧コントローラ、ソレ
ノイド等を含んでもよい。静電容量式圧力計等の圧力検
出装置358を、ガス流路内に設けて、装置を通って流
れるガスの圧力をオリフィス360の上流地点で測定す
る。オリフィス360を通るガス流が臨界状態(すなわ
ち音速以上)のとき、オリフィスの上流にあるガスの圧
力は、オリフィスを通るガスの流量に関係する。その場
合は、測定ガス流量は、圧力検出装置358で測定され
るオリフィス360の上流の圧力が決まると計算され
る。オリフィス360を通るガス流が臨界状態でない
(すなわち音速未満)とき、オリフィスを通るガス流
は、オリフィスの下流の配管内の圧力に影響される。そ
の場合は、オリフィス360を通るガスの流量は、オリ
フィスの上流と下流の圧力の関数である。
【0021】リザーバ350は、入口隔離バルブ352
と出口隔離バルブ354とを含む。ガスは主ガス源39
0からリザーバ350に送出される。ガスを、ガス源3
90からリザーバ350を介してプロセスチャンバ36
6に向けることもできる。代替として、ガスを、リザー
ババイパスバルブ380を介してプロセスチャンバ36
6に送出してもよい。リザーバ350は、リザーバ内に
あるガスの温度と圧力を測定するために使用される温度
測定装置314と圧力測定装置316とを含む。一実施
形態において、温度検出装置314は、静電容量式圧力
計を備える熱電対兼圧力検出装置316を備える。リザ
ーバ350内の温度と圧力を測定するための何れの装置
も本発明のコンセプトに従って使用できることに注意さ
れたい。また、図3では一つの温度測定装置と一つの圧
力測定装置しか図示しないが、リザーバ350内のガス
の平均の温度又は圧力を決定するためにそれぞれの装置
を2個以上使用できることも当然である。加えて、リザ
ーバ350の大きさ(又は寸法)によっては、温度と圧
力の測定装置の配置や位置決めを、リザーバ350の中
央部、壁等でのガスの温度と圧力を決定するために様々
に変えてもよい。
【0022】装置隔離バルブ362がガス送出装置配管
内に含まれており、ガス送出装置とプロセスチャンバ3
66との間を隔離している。一実施形態において、真空
源365がバルブ354と356との間でガス送出装置
配管に連結されている。真空源を用いて、チャンバ36
6へのガス流の開始に先立って、ガス送出装置配管から
ガス及び/又は空気が減圧排気される。プロセスチャン
バへのガス流の開始に先立って、ガス送出装置配管を減
圧排気するプロセスは、従来のガス送出装置に固有の、
流れスパイク(flow spikes)を最小にする。バルブ3
64を用いて、真空源365がガス送出装置から隔離さ
れている。一実施形態において、真空源365は真空ポ
ンプを備えている。エダクタ、又は当該技術分野で公知
の多数の他のガス排気装置の何れかが、捕捉されたガス
及び/又は空気をガス送出装置配管から除去するために
使用できる。真空源365は、バルブ354と356間
でガス送出装置配管に連結されるように図示されている
が、真空源をバルブ354とバルブ362間の任意の地
点でガス送出装置配管に連結できることを認識された
い。
【0023】リザーバ350からプロセスチャンバ36
6へのガス流は、自己較正式動的流量制御回路301に
制御される可変流量制御バルブ356によって計量され
る。一実施形態において、流量制御回路301は、流量
制御サーボループ(流量制御回路)308と較正サーボ
ループ(較正回路)326とを備えている。流量制御バ
ルブ356の位置は、制御信号310を流量制御回路3
08から受け取るアクチュエータ357によって変化さ
れる。
【0024】本発明によれば、リザーバ350は、プロ
セスレシピステップの開始と同時にガスで充填される。
既知の容積を有するリザーバ350は、出口隔離バルブ
354を閉じて入口隔離バルブ352を開くことによっ
てガスで充填される。リザーバ350がガスで充たされ
た後、入口隔離バルブ352が閉じられる。次に、リザ
ーバ350内のガスの温度と圧力とが測定されて、レシ
ピステップの最初にリザーバ内にあるガスの初期質量が
決定される。温度検出装置314は、演算回路322へ
の入力として使用される温度信号318を発生させる。
圧力検出装置316は、これも回路322への入力とし
て使用される圧力信号320を発生させる。センサ31
4と316から初期温度信号318と初期圧力信号32
0を受け取ると、回路322によって、ガスの状態方程
式を使ってリザーバ350内にあるガスの初期質量が決
定される。
【0025】プロセスガスは、隔離バルブ354と36
2を開いてリザーバ350からのガスを放出することに
よって、チャンバ366に送出される。プロセスチャン
バ圧力は、リザーバ350のガス圧力よりも低圧状態に
ある。従って、バルブ354と362を開くことによっ
て、ガス流は、制御バルブ356とオリフィス360を
通ってプロセスチャンバ366に向けられる。流量制御
サーボループ308を用いて、被測定ガス流量信号33
0と、被較正所望流れ入力信号306とに応答して流量
制御バルブ356の位置が動的に制御される。先に検討
したように、オリフィス360の上流地点で測定された
ガス圧力は、流量が音速以上のときの、オリフィスを通
過するガスの流量に関係する。一実施形態において、圧
力検出装置358で測定した圧力とガス流量との間の相
関は線形化されて、オリフィス線形化回路332内に記
憶される。このような実施形態では、装置350によっ
て生じた圧力信号328が、回路332への入力として
使用される。回路332によって、圧力信号328が、
流量制御サーボ308への入力として働く被測定流れ信
号330に変換される。被較正所望流れ入力信号306
は、ゲイン回路304によって流量制御サーボ308に
提供される。第2圧力検出装置370は、図4に示すよ
うに、オリフィス360の下流地点に配置されてもよ
い。このようにして、オリフィス360を跨ぐ差圧が決
定されて、回路332への入力として使用され得る。こ
のような実施形態で、回路332によって、差圧信号3
72は、流量制御サーボ308への入力として役立つ被
測定流れ信号330に変換される。
【0026】所望流量で流れを開始する命令によって、
所望流れ入力信号302がゲイン回路304に提供され
る。ゲイン回路304は、較正サーボループ326から
較正信号303を受け取って、所望流れ入力信号を、記
憶された公称ガス流量と、対応する電圧設定点に相関さ
せ、電圧設定点値を、被較正所望流れ入力信号306と
して出力する。上記のように、被較正所望流れ入力信号
306は、流量制御サーボループ308への入力として
役立つ。被較正所望流れ入力信号306を受け取ると、
流量制御サーボループ308は、信号306と330と
を比較して、流量制御バルブ356の位置を調節するた
めの制御信号310を発生させる。
【0027】プロセスレシピステップが完了すると、リ
ザーバ350からプロセスチャンバ366へのガス流
は、リザーバ出口隔離バルブ354と装置隔離バルブ3
62を閉じることによって終了される。リザーバ350
内にあるガスの温度と圧力が再び測定されて、リザーバ
内にあるガスの最終質量が決定される。温度と圧力の測
定値は、温度検出装置314と圧力検出装置316とに
よって得られる。リザーバ350内にあるガスの最終質
量は回路322によって算出される。回路322によっ
て、リザーバ内にあるガスの初期質量とガスの最終質量
とが比較されて、レシピステップ中にリザーバから放出
されるガスの実際質量を表す出力信号324が発生され
る。バルブ354と362と間に位置する配管内に少量
のガスが捕捉されているので、チャンバ366に送出さ
れるガスの実際量の決定時に、配管内にあるガスの量を
補償するように回路322を構成してもよい。大きな体
積流量の場合は、バルブ354とバルブ362と間の配
管内に捕捉されるガスの量は重要ではないだろう。その
ような状況において、チャンバ366に実際に送出され
るガス量の決定時に、捕捉されたガスを補償する必要は
ないだろう。信号324は較正サーボループ326への
入力として役立つ。
【0028】レシピステップ中にリザーバ350から放
出すべきガスの所望量に対応する信号は、レシピステッ
プ中にリザーバ出口隔離バルブ354が開いている全期
間にわたって所望流れ入力信号302を積分することに
より決定される。積分回路334は積分機能を実行し
て、いま完了したプロセスレシピステップ中に放出すべ
きガスの所望量を表す信号336を発生させる。リザー
バから放出すべきガスの所望量(信号336)と、リザ
ーバから放出されたガスの実際質量(信号324)との
比較によって、較正サーボループ326によって決定さ
れる補正/較正信号303が決められる。一実施形態に
おいて、較正サーボループ326は比例積分微分(PI
D)コントローラを備えている。較正信号303はゲイ
ン回路304への入力として使用されて、いま完了した
プロセスステップのための所望流量に対応する電圧設定
点が更新又は調整される。言い換えれば、特定公称流量
に関係した電圧出力設定点が、各プロセスレシピステッ
プの完了と同時に更新されて、先に導かれた一つ以上の
実際流量と、その対応する所望流量との差が補償され
る。従って、自己較正は、精確に導かれたガス質量値に
応答してゲイン回路設定点値を反復更新することによっ
て達成される本発明は、レシピステップ中に、プロセス
チャンバへ送出されるガスの実際質量を決定する際に、
精確に導かれた値を使用するので、装置較正定数の算出
は、ガス送出装置性能の絶対的で独立した基準として役
立つ。例えば、オリフィス360と流量制御バルブ35
6の流れ定数CV は、熱的影響、磨耗、及び粒子集積が
原因で、時間の経過と共に変化することが知られてい
る。更に、容量式圧力計358等の計測装置の出力信号
は、時間の経過と共に、流れサーボループ308の精度
に悪影響を及ぼすドリフトを経験することも知られてい
る。本発明は、ガス送出装置レシピ要素に固有の変数か
らは独立した装置較正定数を確定できるので、本発明
は、MFCその他従来型流量制御装置を使用して得られ
る精度よりもはるかに高精度でガス流量を制御する能力
を有している。その上、ガス流量送出装置の動的範囲
(最小制御ガス流量に対する対最大制御ガス流量の比)
は、従来技術の方法よりも大きく向上している。例え
ば、従来の流量制御装置の動的範囲は10/1以下であ
る。200/1以上の範囲の動的範囲が、本発明のガス
流量送出装置と方法で達成されるであろう。
【0029】一部の例では、リザーバ350をバイパス
することが望ましいこともある。そのような場合、ガス
はリザーババイパスバルブ380を通り、制御バルブ3
56を介してプロセスチャンバ366に送出してもよ
い。リザーバ350をバイパスするときは、先に導かれ
た計算値を他の制御パラメータと共に使用して、流量制
御バルブ356を通るガス流を制御する。
【0030】上記の説明では、自己較正式動的流量制御
装置301を、互いに関連して動作して被較正流量制御
信号を発生させる各種回路を含むように説明した。本発
明が制御装置レシピ要素の特定セットの使用に限定され
ないことを認識されたい。例えば、従来の電気/電子ス
イッチング技術と従来のソリッドステートマイクロプロ
セッサ技術とを使用して、本発明の方法によってガス流
を制御してもよい。そのような要素はコンピュータ又は
マイクロプロセッサ、ディジタル信号プロセッサ、ファ
ームウェア、ディジタルハードウェア、離散型ハードウ
ェア、ソフトウェアルーチン、プログラマブルハードウ
ェア又は集積回路、出力信号アンプ、記憶メモリ等を含
んでもよい。一実施形態において、制御装置301は、
プロセスレシピステップ開始時における制御バルブ35
6の初期位置決め時の援けとなるルックアップテーブル
も含む。このルックアップテーブルは、送出される特定
プロセスガスに関する較正情報と、リザーバ350内に
あるプロセスガスの初期温度と圧力が与えられたときに
制御装置301による制御バルブ356の初期位置の確
定を可能にする制御バルブ位置に関する較正情報と、を
含む。当業者には、リザーバ入口隔離バルブ352、リ
ザーバ出口隔離バルブ354、装置隔離バルブ362、
及び真空パージバルブ364が、同一の制御装置、又は
別の制御装置によって自動的に作動できることも自明で
あろう。一実施形態において、制御装置301は、制御
バルブ356と共に、入口隔離バルブ352と出口隔離
バルブ354とをそれぞれ作動させる。
【0031】先の説明は、リザーバ内にあるガスの初期
質量とガスの最終質量を決定するために、温度測定を利
用することを含んでいた。そのような温度測定は、ガス
送出装置が等温状態で運転される場合には必要がないこ
とを認識されたい。従って、リザーバ350内のガスの
温度が温度制御装置その他の装置によって一定に保たれ
る場合、上記のようにガスの温度を測定する必要はな
い。
【0032】オリフィス360のサイズ、流量制御バル
ブ356のスロート(流れ面積)のサイズ、及びリザー
バ350のサイズは、ガス送出装置の特定の流れ要件に
よって変えてよい。一実施形態において、ガス送出装置
レシピ要素は、上流オリフィス360の位置で2〜10
psiaの圧力を保つように寸法決めされて制御され
る。オリフィス上流で圧力を制御することによって、ユ
ーザは装置の動的制御範囲を制御することが可能にな
る。
【0033】図6は、本発明によるプロセスレシピステ
ップ中に、リザーバ350からプロセスチャンバ366
へのガス流を制御するために使用される方法を表すフロ
ーチャートを示す。プロセスレシピステップが開始され
ると、所望流れ入力信号302と較正信号306とが流
量制御回路308に提供されて、被較正所望流れ入力信
号310が発生される。被較正所望流れ入力信号310
の発生と同時又はそれに少し先立ってリザーバ350が
充填され、リザーバ内のガスの温度と圧力が、リザーバ
内にあるガスの初期質量を決定するために測定される。
被較正所望流れ入力信号が受け取られると、流量制御回
路308によって制御信号が流量制御バルブ356に提
供されて、流量制御バルブの位置が調節される。リザー
バ350からプロセスチャンバ366へのガス流は、リ
ザーバ出口隔離バルブ354と装置隔離バルブ362と
を開くことによって開始される。ガスの流量は、音波オ
リフィス360の上流地点でガスの圧力を検出すること
によって測定される。圧力検出装置358によって測定
された圧力に対応する被測定流れ信号330が、回路3
08に提供される。プロセスレシピステップが完了する
と、リザーバ350からプロセスチャンバ366へのガ
ス流は、リザーバ出口隔離バルブ354を閉じることに
よって終了される。リザーバ350内に残るガスの最終
質量は、リザーバ内のガスの温度と圧力を再び測定する
ことによって決定される。次いで、リザーバから放出さ
れたガスの実際質量が、初期質量計算から最終質量計算
を差し引くことによって算出される。この計算を表す実
際質量信号324が較正回路326に提供される。リザ
ーバ350から分配されたガスの実際質量の決定に関連
して、いま完了したレシピステップに要求されるガスの
所望質量が、リザーバ350からプロセスチャンバ36
6内に放出された全期間にわたって所望流れ入力信号を
積分することによって計算出される。この機能は通常、
ガス要求信号336を発生する積分回路334によって
実行される。所望ガス質量信号336は較正回路326
への入力としても役立てられる。信号324と336を
受け取ると、較正回路326は、更新された装置較正係
数を決定して、信号324と336の間の比較に関係す
る較正信号303を発生させる。更新された較正信号3
03は次に、後続のプロセスレシピステップで使用され
て、所望流れ入力信号302が、被較正所望流れ入力信
号306に調節される。
【0034】一実施形態において、隔離バルブ354と
362の間に配置されたガス送出装置300の一部が、
リザーバ350からチャンバ366へのガス流の開始に
先立って減圧排気される。装置からのガス及び/又は捕
捉空気の減圧排気は、パージ隔離バルブ364を開くこ
とによって達成されて、真空ポンプその他の真空源によ
る装置上への真空の引込みが可能となる。前述のよう
に、流路の減圧排気は、ガスがリザーバからプロセスチ
ャンバ内に最初に放出されるときの流れスパイクの発生
の最少化に役立つ。
【0035】上記の説明はガス送出装置と方法に限定さ
れ、その中でガスは既知の容積のリザーバから単一のガ
ス流チャネルに送出される。しかしながら、本発明のガ
ス送出装置は、単一ガス流量コントローラを通るガスの
送出に限定されないことを認識されたい。図5は、本発
明の教示を使用して、リザーバ550から複数のガス流
チャネル570と572にガスを送出するガス流制御装
置を示す。図5は、二つの独立した流れチャネル570
と572を含むガス流制御装置を示すが、本発明はその
ような実施形態に限定されず、一つ以上のプロセスチャ
ンバに接続された任意数のガス流チャネルを含んでよい
ことを認識されたい。
【0036】図5の装置では、プロセスガスをリザーバ
からガス流チャネル570又は572の何れかにガスを
放出することによって、ガスをリザーバ550からプロ
セスチャンバ566aか566bの何れかにそれぞれ送
出することができる。ガス源590か多重ガス源(59
0と594)によってリザーバ550にガスが送出され
る。一部の例では、ガスを最初にリザーバ550を通す
ことなく、ガス流チャネル570又は572の何れかを
通してガスを向けることが望ましい場合もある。そのよ
うな流れ系(scheme)を許容するためには、リザーババイ
パスバルブ580aと580bがガス送出配管内に設け
られる。ガス流チャネル570は、隔離バルブ555
a、流量制御バルブ556a、圧力検出装置558a、オ
リフィス560a、及び装置隔離バルブ562aを含む。
同様に、ガス流チャネル572は、隔離バルブ555
a、流量制御バルブ556b、圧力検出装置558b、
オリフィス560b、及び装置隔離バルブ562bを含
む。第2圧力検出装置(図示せず)を、オリフィス56
0aと560bの下流地点で両ガス流チャネル570と
572内に含めてもよい。本発明によれば、自己較正式
動的ガス流制御回路501によって、制御信号510a
又は510bが流量制御バルブアクチュエータ557a
か557bの何れかに提供されて、プロセスチャンバ5
66a又は566bへのガス流がそれぞれ制御される。
【0037】リザーバ550は、入口隔離バルブ552
と出口隔離バルブ54とを含む。リザーバ550は、リ
ザーバ内にあるガスの温度と圧力の測定に使用される温
度測定装置514と圧力測定装置516も含む。
【0038】リザーバ550からプロセスチャンバ56
6aへのガス流が望ましいときは、ガス流は、アクチュ
エータ557aに制御された可変流量制御バルブ556a
によって計量される。逆に、リザーバ550からプロセ
スチャンバ566bへのガス流が望ましいとき、ガス流
は、アクチュエータ557bに制御された可変流量制御
バルブ556bによって計量される。二つの流量制御サ
ーボループ508a、508bが回路501内に含まれ
ており、制御信号510a又は510bを、アクチュエ
ータ557a又は557bにそれぞれ提供している。各
流量制御サーボループ508aと508bは、図3で説
明される流量制御サーボループ308と同様に機能す
る。流量制御サーボループ508aは、較正信号506a
と被測定ガス流量信号530aとを入力として受け取
る。オリフィス線形化回路532aは、被測定圧力信号
528aに応じて信号530aを発生する。流量制御サー
ボループ508bは、較正信号506bと被測定ガス流
量信号530bとを入力として受け取る。オリフィス線
形化回路532bは、被測定圧力信号528bに応答し
て信号530bを発生する。
【0039】本発明の一実施形態によれば、リザーバ5
50は、プロセスレシピステップの開始と同時にガスで
充填される。既知の容積のリザーバ550は、出口隔離
バルブ554を閉じて入口隔離バルブ552を開くこと
によって、ガスで充填される。リザーバ550がガスで
充填された後、入口隔離バルブ552が閉じられる。次
に、リザーバ550内のガスの温度と圧力が測定され
て、レシピステップ開始時にリザーバ内にあるガスの初
期質量が決定される。温度検出装置514によって、演
算回路522への入力として使用される温度信号518
が発生される。圧力検出装置516によって、これも回
路522への入力として使用される圧力信号520が発
生される。センサ514と516とから初期温度信号と
圧力信号518と520とがそれぞれ受け取られると、
回路522によって、ガスの状態方程式を用いて、リザ
ーバ550にあるガスの初期質量が、決定される。
【0040】プロセスガスは、リザーバ550を介し
て、プロセスチャンバ566aか566bの何れかに送
出してよい。例えば、ガスは、リザーバ出口隔離バルブ
554とガス流チャネル570の隔離バルブ555aと
562aとを開いてリザーバ550からガスを放出する
ことによって、プロセスチャンバ566aに送出され
る。プロセスチャンバ圧力はリザーバ550内のガス圧
力より低圧状態にあるので、ガス流は、制御バルブ55
6aとオリフィス560aを通ってプロセスチャンバ56
6aに向けられる。流量制御サーボループ508aを使用
して、被測定ガス流量信号530aと、被較正所望流れ
入力信号506aに応じて流量制御バルブ556aの位置
を動的に制御する。オリフィス560aを通過するガス
の流量が音速より大きいときは、圧力検出装置558a
で測定されるガス圧力はオリフィス560aを通過する
ガスの流量に関係する。一実施形態において、圧力検出
装置558aで測定された圧力と、ガス流量との間の相
関は線形化されて、オリフィス線形化回路532a内に
記憶される。このような実施形態において、装置558
aによって生成された圧力信号528aは、回路532a
への入力として使用される。回路532aによって圧力
信号528aが、流量制御サーボ508aへの入力として
役立つ被測定流れ信号530aに変換される。被較正所
望流れ入力信号506aは、ゲイン回路504aによって
流量制御サーボ508aに提供される。上記のように、
第2圧力検出装置(図示せず)を、オリフィス560a
を跨ぐ差圧が決定されて回路532への入力として使用
できるように、オリフィス560aの下流地点に配置し
てもよい。そのような実施形態では、差圧信号は回路5
32によって受け取られて、その信号は、流量制御サー
ボ508aへの入力として役立つ被測定流れ信号530a
に変換される。
【0041】所望流量でプロセスチャンバ566aへの
流れを開始する指令によって、所望流れ入力信号502
aがゲイン回路504aに提供される。逆に、ガス流が流
れチャネル572を介してプロセスチャンバ566bに
向けられるように選ばれると、信号502bがゲイン回
路504bに提供される。ガス流チャネル570がリザ
ーバ550からのガス流を受け取るように選択される
と、ゲイン回路504aは較正サーボループ526から
マルチプレクサ507を介して較正信号503を受け取
り、所望流れ入力信号が、記憶された公称ガス流量と、
対応する電圧設定点に相関されて、電圧設定点値が、被
較正所望流れ信号506aとして出力される。マルチプ
レクサ507は、リザーバ550からガスを受け取るよ
うに選択された流れチャネルに依存しており、ゲイン回
路504aか504bの何れかに信号503が向けられ
る。上記のように、被較正所望流れ入力信号506aは
流量制御サーボループ508aへの入力として役立つ。
被較正所望流れ入力信号506aを受け取ると、流量制
御サーボループ508aは信号506aと530aとを比
較して、流量制御バルブ556aの位置を調節するため
の制御信号510aが発生される。
【0042】プロセスレシピステップが完了すると、リ
ザーバ550からプロセスチャンバ566aへのガス流
は、リザーバ出口隔離バルブ554aとチャネル隔離バ
ルブ555a及び562aを閉じることによって終了され
る。リザーバ550内にあるガスの温度と圧力が再度測
定されて、リザーバ内にあるガスの最終質量が決定され
る。温度と圧力の測定は、温度と圧力検出装置514と
516によって得られる。リザーバ550内にあるガス
の最終質量は、回路522によって算出される。回路5
22によって、リザーバ内にあるガスの初期質量とガス
の最終質量とを比較して、レシピステップ中にリザーバ
から放出されるガスの実際質量を表す出力信号524が
発生される。バルブ554aと562a間に配置された配
管内には少量のガスが捕捉されているので、回路522
は、チャンバ566aに送出されたガスの実際量を決定
するときに配管内にあるガスの量が補償されるように構
成されてもよい。信号524は較正サーボループ526
への入力として役立つ。
【0043】レシピステップ中にリザーバ550から放
出すべきガスの所望量に対応する信号は、レシピステッ
プ中にリザーバ出口隔離バルブ554aが開かれていた
全期間にわたって、所望流れ入力信号502aか502
bの何れかを積分することによって決定される。マルチ
プレクサ505は、リザーバ550からガスを受け取る
ように選択されたガス流チャネルに依存して、信号50
2aか502bの何れかを積分回路534に向けるよう
に選択され得ることもできる。積分回路534は積分機
能を実行し、いま完了したプロセスレシピステップ中に
放出すべきガスの所望量を表す信号536を発生する。
リザーバから放出すべきガスの所望質量(信号536)
と、リザーバから放出されたガスの実際質量(信号52
4)との比較によって、較正サーボループ526によっ
て決定される補正/較正信号503が決定される。先に
検討したように、較正信号503は、マルチプレクサ5
07を介してゲイン回路504aか504bの何れかに
提供される。一実施形態において、較正サーボループ5
26は比例積分微分(PID)コントローラを備えてい
る。較正信号503をゲイン回路504aへの入力とし
て用いて、いま完了したプロセスステップのための所望
流量に対応した電圧設定点が更新又は調節される。言い
換えれば、特定公称流量に関係する電圧出力設定点が各
プロセスレシピステップの完了と同時に更新されて、先
に導かれた一つ以上の実際流量と、その対応する所望流
量との差が補償される。従って、自己較正は、精確に導
かれたガス質量値に応答してゲイン回路設定点値を連続
的に更新することによって達成される。ゲイン回路50
4aは、更新された設定点値を記憶するための記憶装置
を含む。リザーバ550からプロセスチャンバ566b
へのガス流も同様に制御される。プロセスチャンバ56
6bが、リザーバ550からのガス流を受け取るように
選択されたときは、マルチプレクサ505によって信号
502bが積分回路534に向けられて、マルチプレク
サ507によって較正信号503がゲイン回路504b
に向けられる。
【0044】本発明の一実施形態によれば、ガス流をリ
ザーバ550から一方のガス流チャネル(570又は5
72)が送出されると、その間、その同じガスか別のガ
スが他方のガス流チャネルに送出される。例えば、ガス
源590からのガスが、リザーバ550を介してリザー
バ566aに向けられている間、ガス源594からの別
のガスがプロセスチャンバ566bに送出されてもよ
い。隔離バルブ598がガス源隔離バルブ592と59
6との間に設けられる。そのような実施形態では、充填
リザーバ550とバルブ598が閉じている間、ガス源
隔離バルブ592は開いている。ガスは、リザーバ出口
隔離バルブ554とチャネル入口隔離バルブ555aと
を開くことによって、リザーバ550から流れチャネル
570を介して向けられる。ガスがプロセスチャンバ5
66aに送出されている間に、別のガスが流れチャネル
572を介してプロセスチャンバ566bに送出され
る。ガスは、ガス源隔離バルブ596とリザーババイパ
スバルブ580bとを開くことによって、流れチャネル
572に送出される。このようにして、流れを、流れチ
ャネル570と572を介してプロセスチャンバ566
aと566bに、それぞれ同時に向けてもよい。流れチ
ャネル570を通るガス流は、上記の自己較正流量制御
方法に従って流量制御バルブ556aによって制御され
て、流れチャネル572を通るガス流は、先に導かれた
較正値を使って流量制御バルブ556bによって制御さ
れる。図5は、二つのガス源と二つのガス流チャネルを
有するガス流装置を示すが、本発明の精神と範囲から逸
脱することなく、任意数のガス源とガス流チャネルがガ
ス送出装置に組み込まれ得ることを認識されたい。
【0045】一実施形態において、真空源565がリザ
ーバ出口隔離バルブ554とガス流チャネル隔離バルブ
555a、555bとの間でガス送出装置配管に連結さ
れている。真空源を用いて、チャンバ566aか566
bの何れかへのガス流の開始に先立って、ガス送出装置
配管からガス及び/又は空気が減圧排気される。バルブ
564を用いて、ガス送出装置から真空源565が隔離
される。真空源565を、流れチャネル隔離バルブ55
5a、555bの下流直下地点でガス送出装置配管に連
結されるように図示したが、真空源は、リザーバ隔離バ
ルブ555a及び555bと、チャンバ566a及び56
6bとの間の任意地点でガス送出装置配管に連結され得
ることを認識されたい。
【0046】本発明の多数の代替例及び変更例は、上記
を一読した当業者には恐らく自明となるであろう。説明
として図示、記載した様々な実施形態を決して制限的な
ものと見做してはならないことが理解されるべきであ
る。従って、様々な実施形態の詳細な参照的記述は、本
質的に本発明に不可欠と見做される特徴のみを列挙した
請求項の範囲を限定するものではない。
【図面の簡単な説明】
【図1】ガス流の制御のために使用される従来技術の質
量流量コンローラ(MFC)を示す図である。
【図2】代表的な従来技術のガス送出装置を示す図であ
る。
【図3】本発明の一実施形態の概略線図を示す図であ
る。
【図4】本発明の別の実施形態の概略線図を示す図であ
る。
【図5】本発明の更に別の実施形態の概略線図を示す図
である。
【図6】本発明の一実施形態のフローチャートを示す図
である。

Claims (23)

    【特許請求の範囲】
  1. 【請求項1】 既知の容積を有するリザーバから流出す
    るガス流を制御するための方法であって、 所望流れ入力信号と較正信号とを第1回路に提供し、被
    較正流れ入力信号を発生するステップと、 前記被較正流れ入力信号を流量制御回路に提供するステ
    ップであって、前記流量制御回路が、前記リザーバの下
    流のガス流路に配置された流量制御バルブへの制御信号
    を発生して前記ガス流を制御するステップと、 リザーバ出口隔離バルブを開くことによって前記リザー
    バからガスを放出するステップと、 前記ガス流路内の前記ガス流を前記流量制御バルブ下流
    の場所で検出して、該ガス流を示す被測定流量信号を、
    前記第1制御回路に提供するステップと、 前記リザーバ出口隔離バルブが開いている全期間にわた
    って前記所望流れ入力信号を積分することによって、前
    記リザーバから放出すべきガスの所望質量を算出し、該
    所望質量を示す第1信号を発生するステップと、 前記出口隔離バルブを開く前の第1時点での前記リザー
    バ内にあるガスの第1質量を、前記出口隔離バルブを閉
    じた後の第2時点での前記リザーバ内にある前記ガスの
    第2質量と比較することによって、前記リザーバから放
    出されたガスの実際質量を算出して、該実際質量を示す
    第2信号を発生させるステップと、 前記第1信号と第2信号とを比較して、更新された較正
    信号を発生させるステップと、を備える方法。
  2. 【請求項2】 前記リザーバが入口隔離バルブを有して
    おり、前記ガス流が、 前記入口隔離バルブが開き且つ前記出口隔離バルブが閉
    じている間に、前記リザーバを前記ガスで充填するこ
    と、 前記入口隔離バルブを閉すること、及び、 前記出口隔離バルブを開くこと、によって生成される請
    求項1に記載の方法。
  3. 【請求項3】 前記ガスの前記第1質量が前記第1時点
    で、前記第2質量が前記第2時点で、前記リザーバ内の
    前記ガスの温度と圧力を測定することによってそれぞれ
    決定される請求項1に記載の方法。
  4. 【請求項4】 前記ガス流を検出する前記ステップが、
    前記制御バルブの下流地点に配置されたオリフィスの上
    流地点で前記ガスの圧力を測定することを含む請求項1
    に記載の方法。
  5. 【請求項5】 前記ガス流を検出する前記ステップが、
    前記制御バルブの下流地点に配置されたオリフィスの上
    流地点と下流地点とで前記ガスの圧力を測定することを
    含む請求項1に記載の方法。
  6. 【請求項6】 前記更新された較正信号を発生するステ
    ップが、PIDコントローラによって実行される請求項
    1に記載の方法。
  7. 【請求項7】 複数の先の第1信号を複数の対応する第
    2信号と比較し、比較値の合計を平均することによって
    前記較正信号が生成される請求項1に記載の方法。
  8. 【請求項8】 ガス流制御方法であって、 所望ガス流量を表す所望流量入力信号と較正信号とを第
    1回路に提供して、被較正流れ入力信号を発生させるス
    テップと、 前記被較正流れ入力信号を流量制御回路に提供するステ
    ップであって、前記流量制御回路が、ガスリザーバ下流
    のガス流路内に配置された流量制御バルブへの制御信号
    を発生するステップと、 前記リザーバをガスで充填するステップであって、前記
    リザーバが、既知の容積、入口隔離バルブ及び出口隔離
    バルブを有し、前記入口隔離バルブが開き且つ前記出口
    隔離バルブが閉じている間に前記充填が実行されるステ
    ップと、 前記充填ステップ後に前記入口隔離バルブを閉じるステ
    ップと、 前記リザーバ内の前記ガスの圧力と温度を測定して、前
    記リザーバ内の前記ガスの第1質量を決定するステップ
    と、 前記出口隔離バルブを第1時点で開いて、前記ガスを前
    記流路内に放出するステップであって、前記流路が前記
    流量制御バルブの下流に配置されたオリフィスを有して
    いるステップと、 前記流路を通る前記ガスの流量を制御するステップと、 前記出口隔離バルブを第2時点で閉じるステップと、 前記リザーバ内の前記ガスの第2質量を決定するために
    前記リザーバ内の前記ガスの圧力と温度を測定するステ
    ップと、 前記第1時点と前記第2時点の間の全期間にわたって前
    記所望流れ入力信号を積分することによって、前記リザ
    ーバから放出すべきガスの所望質量を算出して、該所望
    質量を示す第1信号を発生するステップと、 前記リザーバ内の前記ガスの前記第1質量と前記第2質
    量とを比較することによって、前記リザーバから放出さ
    れたガスの実際質量を決定し、該実際質量を示す第2信
    号を発生するステップと、 前記第1信号と第2信号とを比較して、更新された較正
    信号を発生させるステップと、を備え、 前記流量を制御するステップが、 制御バルブと前記音波オリフィスの間で前記ガスの流量
    を測定して、該流量を示す被測定流量信号を発生させ、
    前記被測定流量信号を前記流量制御回路に提供するこ
    と、 前記被測定流量信号を前記被較正流れ入力信号と比較し
    て、比較値に関係した前記制御信号を発生すること、及
    び、 前記制御信号に応答して前記流量制御バルブのバルブ開
    度を調節して、前記ガスの流量を制御すること、を含む
    方法。
  9. 【請求項9】 前記ガスの流量を測定するステップが、
    前記ガスの圧力を前記オリフィスの上流地点で測定する
    ことを含む請求項8に記載の方法。
  10. 【請求項10】 前記流量制御バルブの前記バルブ開度
    を調節するステップが、前記制御信号に応答してバルブ
    スロート位置を変化させるアクチュエータに前記制御信
    号を提供することを含む請求項8に記載の方法。
  11. 【請求項11】 前記更新された較正信号を発生させる
    ステップが、PIDコントローラによって実行される請
    求項8に記載の方法。
  12. 【請求項12】 前記較正信号が、前記所望流量入力信
    号に対応する少なくとも一つの先に導かれた較正信号を
    有する前記更新された較正信号を平均することによって
    生成される請求項8に記載の方法。
  13. 【請求項13】 前記ガスを前記流路内に放出する前に
    前記流路を減圧排気するステップを更に備える請求項8
    に記載の方法。
  14. 【請求項14】 ガス流制御装置であって、 入口隔離バルブと出口隔離バルブとを有する既知の容積
    のリザーバと、 圧力信号を発生する、前記リザーバ内のガスの圧力を測
    定するための圧力測定装置と、 温度信号を発生する、前記リザーバ内の前記ガスの温度
    を測定するための温度測定装置と、 制御信号によって制御される、前記出口隔離バルブの下
    流のガス流路内に配置されたガス流制御バルブと、 前記ガス流制御バルブの下流の前記ガス流路内に配置さ
    れたオリフィスと、 圧力を示す出力流れ信号を発生する圧力測定装置と、 前記圧力信号を受け取り、前記オリフィスを通るガス流
    量を示す流れ信号を出力する変換回路と、 前記制御信号を発生するためのガス流制御回路と、を備
    え、 前記ガス流制御装置が、 所望流れ入力信号と較正信号とを受け取るように構成さ
    れた第1回路であって、前記所望流れ入力信号と前記較
    正信号とに応答して、被較正流れ入力信号を発生する第
    1回路と、 前記被較正流れ入力信号を前記流れ信号と比較して、前
    記制御信号を発生するように構成される第2回路と、 前記圧力信号と前記所望流れ入力信号とに応答して前記
    較正信号を発生するための第3回路と、を備えるガス流
    制御装置。
  15. 【請求項15】 前記第3回路が、前記の温度、圧力及
    び所望流れ入力の信号に応答して前記較正信号を発生す
    る請求項14に記載のガス流制御装置。
  16. 【請求項16】 前記第3回路がPIDコントローラを
    備える請求項14に記載のガス流制御装置。
  17. 【請求項17】 前記温度測定装置が熱電対を備える請
    求項14に記載のガス流制御装置。
  18. 【請求項18】 前記圧力測定装置が圧力計を備える請
    求項14に記載のガス流制御装置。
  19. 【請求項19】 前記ガス流制御バルブが、前記制御信
    号に応答してバルブディスク位置を変化させるアクチュ
    エータを含む請求項14に記載のガス流制御装置。
  20. 【請求項20】 前記ガス流れセンサが圧力計を備える
    請求項14に記載のガス流制御装置。
  21. 【請求項21】 前記第3回路が、前記所望流れ入力信
    号に対応する少なくとも一つの先の較正信号を有する前
    記較正信号を統計的に平均することによって発生する請
    求項14に記載のガス流制御装置。
  22. 【請求項22】 前記ガスを前記ガス流路から減圧排気
    するための手段を更に含む請求項14に記載のガス流制
    御装置。
  23. 【請求項23】前記ガス流路から前記ガスを減圧排気す
    るための前記手段が真空ポンプを含む請求項22に記載
    のガス流制御装置。
JP14497998A 1997-04-17 1998-04-17 動的ガス流コントローラ Withdrawn JPH1145122A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/840242 1997-04-17
US08/840,242 US5865205A (en) 1997-04-17 1997-04-17 Dynamic gas flow controller

Publications (1)

Publication Number Publication Date
JPH1145122A true JPH1145122A (ja) 1999-02-16

Family

ID=25281823

Family Applications (1)

Application Number Title Priority Date Filing Date
JP14497998A Withdrawn JPH1145122A (ja) 1997-04-17 1998-04-17 動的ガス流コントローラ

Country Status (2)

Country Link
US (1) US5865205A (ja)
JP (1) JPH1145122A (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000259255A (ja) * 1999-03-11 2000-09-22 Ckd Corp ガス供給制御装置
JP2003529218A (ja) * 2000-03-27 2003-09-30 パーカー・ハニフィン・コーポレーション 半導体製造におけるプロセス・ガスの流量制御
KR100446708B1 (ko) * 2002-10-16 2004-09-01 장영철 기계적 동기식 개폐수단을 구비하는 시간식 유량 제어장치
US7918238B2 (en) 2004-06-21 2011-04-05 Hitachi Metals, Ltd. Flow controller and its regulation method
US7979165B2 (en) 2006-03-20 2011-07-12 Hitachi Metals, Ltd. Mass flow rate control apparatus, its calibration method and semiconductor-producing apparatus
JP2015138338A (ja) * 2014-01-21 2015-07-30 株式会社フジキン 圧力式流量制御装置及びその流量制御開始時のオーバーシュート防止方法。
JPWO2015064035A1 (ja) * 2013-10-31 2017-03-09 株式会社フジキン 圧力式流量制御装置
JP2019525489A (ja) * 2016-08-13 2019-09-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 処理チャンバへのガス流れを制御するための方法及び装置
JP2021508129A (ja) * 2018-02-02 2021-02-25 エム ケー エス インストルメンツ インコーポレーテッドMks Instruments,Incorporated 遮断弁を用いたパルスガス供給方法および装置

Families Citing this family (434)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6205409B1 (en) * 1998-06-26 2001-03-20 Advanced Micro Devices, Inc. Predictive failure monitoring system for a mass flow controller
SE513333C2 (sv) * 1998-11-13 2000-08-28 Alfa Laval Ab Sätt och arrangemang för att övervaka en process genomförd under vakuum
US6269692B1 (en) * 1999-02-01 2001-08-07 Dxl Usa Inc. Mass flow measuring assembly having low pressure drop and fast response time
US6119710A (en) * 1999-05-26 2000-09-19 Cyber Instrument Technologies Llc Method for wide range gas flow system with real time flow measurement and correction
US6186177B1 (en) 1999-06-23 2001-02-13 Mks Instruments, Inc. Integrated gas delivery system
US6581623B1 (en) * 1999-07-16 2003-06-24 Advanced Technology Materials, Inc. Auto-switching gas delivery system utilizing sub-atmospheric pressure gas supply vessels
US6302139B1 (en) * 1999-07-16 2001-10-16 Advanced Technology Materials, Inc. Auto-switching gas delivery system utilizing sub-atmospheric pressure gas supply vessels
US6578435B2 (en) * 1999-11-23 2003-06-17 Nt International, Inc. Chemically inert flow control with non-contaminating body
DE10005820C1 (de) * 2000-02-10 2001-08-02 Schott Glas Gasversorungsvorrichtung für Precursoren geringen Dampfdrucks
WO2001061284A1 (en) 2000-02-14 2001-08-23 Unit Instruments, Inc. Method and apparatus for balancing resistance
US6405745B1 (en) * 2000-03-22 2002-06-18 Delphi Technologies, Inc. Ultra accurate gas injection system
AU2002224569A1 (en) * 2000-07-08 2002-02-05 Fugasity Corporation Fluid mass flow control valve and method of operation
WO2002008845A1 (en) 2000-07-25 2002-01-31 Fugasity Corporation Small internal volume fluid mass flow control apparatus
US6539968B1 (en) 2000-09-20 2003-04-01 Fugasity Corporation Fluid flow controller and method of operation
US6832628B2 (en) * 2000-10-11 2004-12-21 Flowmatrix, Inc. Variable pressure regulated flow controllers
US6564824B2 (en) 2001-04-13 2003-05-20 Flowmatrix, Inc. Mass flow meter systems and methods
KR20040024854A (ko) 2001-04-24 2004-03-22 셀레리티 그룹 아이엔씨 질량유량 제어장치를 위한 시스템 및 방법
JP2002339071A (ja) * 2001-05-18 2002-11-27 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Alcvdシステムにおける処理ガス供給機構
KR20040019293A (ko) 2001-05-24 2004-03-05 셀레리티 그룹 아이엔씨 소정 비율의 프로세스 유체를 제공하는 방법 및 장치
US6655408B2 (en) * 2001-06-13 2003-12-02 Applied Materials, Inc. Tunable ramp rate circuit for a mass flow controller
US6711956B2 (en) * 2001-10-31 2004-03-30 Macronix International Co., Ltd. Method and apparatus for regulating exhaust pressure in evacuation system of semiconductor process chamber
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
JP4078982B2 (ja) * 2002-04-22 2008-04-23 東京エレクトロン株式会社 処理システム及び流量測定方法
US6948508B2 (en) * 2002-06-24 2005-09-27 Mks Instruments, Inc. Apparatus and method for self-calibration of mass flow controller
US6868862B2 (en) * 2002-06-24 2005-03-22 Mks Instruments, Inc. Apparatus and method for mass flow controller with a plurality of closed loop control code sets
US20030234047A1 (en) * 2002-06-24 2003-12-25 Ali Shajii Apparatus and method for dual processor mass flow controller
US20030234045A1 (en) * 2002-06-24 2003-12-25 Ali Shajii Apparatus and method for mass flow controller with on-line diagnostics
US7004191B2 (en) * 2002-06-24 2006-02-28 Mks Instruments, Inc. Apparatus and method for mass flow controller with embedded web server
US7809473B2 (en) * 2002-06-24 2010-10-05 Mks Instruments, Inc. Apparatus and method for pressure fluctuation insensitive mass flow control
US6712084B2 (en) * 2002-06-24 2004-03-30 Mks Instruments, Inc. Apparatus and method for pressure fluctuation insensitive mass flow control
WO2004010091A1 (en) * 2002-07-19 2004-01-29 Celerity Group Inc. Variable resistance sensor with common reference leg
US7073392B2 (en) * 2002-07-19 2006-07-11 Celerity, Inc. Methods and apparatus for pressure compensation in a mass flow controller
KR100489307B1 (ko) * 2002-08-14 2005-05-16 장영철 시간식 비압축성 유체 유량 제어장치 및 이를 이용한시간식 비압축성 유체 유량 제어방법
GB0227109D0 (en) * 2002-11-20 2002-12-24 Air Prod & Chem Volume flow controller
US20040112540A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
JP4195837B2 (ja) * 2003-06-20 2008-12-17 東京エレクトロン株式会社 ガス分流供給装置及びガス分流供給方法
US6955198B2 (en) * 2003-09-09 2005-10-18 Advanced Technology Materials, Inc. Auto-switching system for switch-over of gas storage and dispensing vessels in a multi-vessel array
US7137400B2 (en) * 2003-09-30 2006-11-21 Agere Systems Inc. Bypass loop gas flow calibration
DE10352467A1 (de) * 2003-11-07 2005-06-02 Robert Bosch Gmbh Verfahren zur Steuerung mindestens eines Stellgliedes in einer Massenstromleitung
US7051749B2 (en) * 2003-11-24 2006-05-30 Advanced Technology Materials, Inc. Gas delivery system with integrated valve manifold functionality for sub-atmospheric and super-atmospheric pressure applications
US7282158B2 (en) * 2004-03-26 2007-10-16 Aviza Technology Limited Method of processing a workpiece
US7628860B2 (en) * 2004-04-12 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US7628861B2 (en) * 2004-12-17 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US7216019B2 (en) * 2004-07-08 2007-05-08 Celerity, Inc. Method and system for a mass flow controller with reduced pressure sensitivity
US7412986B2 (en) * 2004-07-09 2008-08-19 Celerity, Inc. Method and system for flow measurement and validation of a mass flow controller
US7225085B2 (en) * 2005-02-25 2007-05-29 The Regents Of The University Of California Precision gas flow meter
DE102005017698B4 (de) * 2005-04-07 2007-02-08 Festo Ag & Co. Sensorvorrichtung mit einem Durchflusssensor und einem Schaltausgang
JP2007051002A (ja) * 2005-08-19 2007-03-01 Kyocera Mita Corp 用紙カセット
FR2890761B1 (fr) * 2005-09-12 2007-10-19 Air Liquide Methode pour la fourniture d'un melange de gaz
US20070204913A1 (en) * 2006-03-01 2007-09-06 Asahi Organic Chemicals Industry Co., Ltd. Fluid mixing system
US7971604B2 (en) 2006-04-20 2011-07-05 Hitachi Metals, Ltd. Flow controller delivery of a specified-quantity of a fluid
CN101460659B (zh) * 2006-06-02 2011-12-07 应用材料股份有限公司 利用压差测量的气流控制
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US7846497B2 (en) * 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
JP2009076881A (ja) * 2007-08-30 2009-04-09 Tokyo Electron Ltd 処理ガス供給システム及び処理装置
CN101398129A (zh) * 2007-09-27 2009-04-01 谭仲禧 高精度流量自动平衡装置
US7866345B2 (en) * 2007-09-28 2011-01-11 Circor Instrumentation Technologies, Inc. Non-clogging flow restriction for pressure based flow control devices
US7937987B2 (en) * 2007-09-28 2011-05-10 Circor Instrumentation Technologies, Inc. Filter monitor-flow meter combination sensor
JP5177864B2 (ja) * 2008-06-04 2013-04-10 株式会社フジキン 熱式質量流量調整器用自動圧力調整器
WO2010045246A1 (en) * 2008-10-14 2010-04-22 Circor Instrumentation Technologies, Inc. Method and apparatus for low powered and/or high pressure flow control
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP2010169657A (ja) * 2008-12-25 2010-08-05 Horiba Stec Co Ltd 質量流量計及びマスフローコントローラ
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI435196B (zh) 2009-10-15 2014-04-21 Pivotal Systems Corp 氣體流量控制方法及裝置
JP5250875B2 (ja) * 2009-10-20 2013-07-31 Smc株式会社 フローコントローラ
EP2366448B1 (en) * 2010-03-16 2016-07-27 Amminex Emissions Technology A/S Method and device for controlled dosing of a gas with fluctuating supply pressure
US20110226354A1 (en) * 2010-03-17 2011-09-22 Petur Thordarson Flow Controller
JP5562712B2 (ja) * 2010-04-30 2014-07-30 東京エレクトロン株式会社 半導体製造装置用のガス供給装置
US9348339B2 (en) 2010-09-29 2016-05-24 Mks Instruments, Inc. Method and apparatus for multiple-channel pulse gas delivery system
US8997686B2 (en) * 2010-09-29 2015-04-07 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US10353408B2 (en) * 2011-02-25 2019-07-16 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US10126760B2 (en) 2011-02-25 2018-11-13 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US10031531B2 (en) 2011-02-25 2018-07-24 Mks Instruments, Inc. System for and method of multiple channel fast pulse gas delivery
WO2012153454A1 (ja) 2011-05-10 2012-11-15 株式会社フジキン 流量モニタ付圧力式流量制御装置と、これを用いた流体供給系の異常検出方法並びにモニタ流量異常時の処置方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) * 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
WO2013002885A1 (en) 2011-06-30 2013-01-03 Applied Materials, Inc. Method and apparatus for fast gas exchange, fast gas switching and programmable gas delivery
JP5755958B2 (ja) 2011-07-08 2015-07-29 株式会社フジキン 半導体製造装置の原料ガス供給装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5739261B2 (ja) * 2011-07-28 2015-06-24 株式会社堀場エステック ガス供給システム
US8915262B2 (en) * 2011-08-09 2014-12-23 Hitachi Metals, Ltd. Mass flow controller algorithm with adaptive valve start position
US9958302B2 (en) 2011-08-20 2018-05-01 Reno Technologies, Inc. Flow control system, method, and apparatus
US9188989B1 (en) 2011-08-20 2015-11-17 Daniel T. Mudd Flow node to deliver process gas using a remote pressure measurement device
JP5647083B2 (ja) 2011-09-06 2014-12-24 株式会社フジキン 原料濃度検出機構を備えた原料気化供給装置
TWI458843B (zh) * 2011-10-06 2014-11-01 Ind Tech Res Inst 蒸鍍裝置與有機薄膜的形成方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5754853B2 (ja) * 2012-01-30 2015-07-29 株式会社フジキン 半導体製造装置のガス分流供給装置
JP5881467B2 (ja) * 2012-02-29 2016-03-09 株式会社フジキン ガス分流供給装置及びこれを用いたガス分流供給方法
JP5665793B2 (ja) * 2012-04-26 2015-02-04 株式会社フジキン 可変オリフィス型圧力制御式流量制御器
GB2502134B (en) * 2012-05-18 2015-09-09 Edwards Ltd Method and apparatus for adjusting operating parameters of a vacuum pump arrangement
US20130312663A1 (en) * 2012-05-22 2013-11-28 Applied Microstructures, Inc. Vapor Delivery Apparatus
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9235219B2 (en) * 2012-12-27 2016-01-12 Zhejiang Dunan Hetian Metal Co., Ltd. Microvalve with integrated flow sensing capability
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
WO2014152755A2 (en) * 2013-03-14 2014-09-25 Christopher Max Horwitz Pressure-based gas flow controller with dynamic self-calibration
US9454158B2 (en) 2013-03-15 2016-09-27 Bhushan Somani Real time diagnostics for flow controller systems and methods
JP6107327B2 (ja) * 2013-03-29 2017-04-05 東京エレクトロン株式会社 成膜装置及びガス供給装置並びに成膜方法
JP6336719B2 (ja) * 2013-07-16 2018-06-06 株式会社ディスコ プラズマエッチング装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN104731116B (zh) * 2013-12-24 2017-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 半导体加工设备中气路控制的方法及系统
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN106133484B (zh) * 2014-03-31 2019-10-15 日立金属株式会社 热式质量流量测定方法、流量计以及流量控制装置
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP2016040402A (ja) * 2014-08-12 2016-03-24 東京エレクトロン株式会社 原料ガス供給装置
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
CN105854597B (zh) * 2016-04-14 2018-03-20 国网河南省电力公司电力科学研究院 Scr脱硝装置喷氨格栅智能优化调整系统及方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10303189B2 (en) 2016-06-30 2019-05-28 Reno Technologies, Inc. Flow control system, method, and apparatus
US11144075B2 (en) 2016-06-30 2021-10-12 Ichor Systems, Inc. Flow control system, method, and apparatus
US10838437B2 (en) 2018-02-22 2020-11-17 Ichor Systems, Inc. Apparatus for splitting flow of process gas and method of operating same
US10679880B2 (en) 2016-09-27 2020-06-09 Ichor Systems, Inc. Method of achieving improved transient response in apparatus for controlling flow and system for accomplishing same
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11353352B2 (en) * 2016-09-19 2022-06-07 Flow Devices And Systems Inc. Apparatus and methods for self-correcting pressure based mass flow controller
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10031004B2 (en) 2016-12-15 2018-07-24 Mks Instruments, Inc. Methods and apparatus for wide range mass flow verification
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10663337B2 (en) 2016-12-30 2020-05-26 Ichor Systems, Inc. Apparatus for controlling flow and method of calibrating same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10983538B2 (en) 2017-02-27 2021-04-20 Flow Devices And Systems Inc. Systems and methods for flow sensor back pressure adjustment for mass flow controller
CH713539A1 (fr) * 2017-03-03 2018-09-14 Pelco Sarl Mélangeur de gaz automatique.
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019065611A1 (ja) * 2017-09-29 2019-04-04 日立金属株式会社 質量流量制御システム並びに当該システムを含む半導体製造装置及び気化器
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
JP6841201B2 (ja) * 2017-10-06 2021-03-10 株式会社島津製作所 ガス推定装置および真空排気装置
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US11327510B2 (en) * 2018-05-23 2022-05-10 Hitachi Metals, Ltd. Multi-chamber rate-of-change system for gas flow verification
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
JP7398886B2 (ja) * 2018-07-02 2023-12-15 東京エレクトロン株式会社 流量制御器、ガス供給系及び流量制御方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10705543B2 (en) * 2018-08-29 2020-07-07 Illinois Tool Works, Inc. Mass flow controller and controller algorithm
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
JP2020139864A (ja) * 2019-02-28 2020-09-03 株式会社堀場エステック 流量算出システム、流量算出システム用プログラム、流量算出方法、及び、流量算出装置
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
CN113632038A (zh) * 2019-04-25 2021-11-09 株式会社富士金 流量控制装置
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
US11742188B2 (en) * 2019-08-15 2023-08-29 Tokyo Electron Limited Substrate processing method, pressure control apparatus and substrate processing system
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
EP3848579B1 (de) * 2020-01-13 2023-08-02 Promix Solutions AG System und verfahren zur dosierung eines flüssigen oder gasförmigen mediums
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US11789472B2 (en) * 2020-01-21 2023-10-17 Horiba Stec, Co., Ltd. Gas delivery system with electrical backplane
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
DE112021004087T5 (de) * 2020-07-30 2023-06-15 Fanuc Corporation Druckfluidzufuhrsystem
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112945356B (zh) * 2021-01-28 2023-02-03 北京东方计量测试研究所 气体流量计系统及其使用方法
KR20230150309A (ko) 2021-03-03 2023-10-30 아이커 시스템즈, 인크. 매니폴드 조립체를 포함하는 유체 유동 제어 시스템
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN113311881B (zh) * 2021-05-28 2022-12-13 北京七星华创流量计有限公司 一种质量流量控制器和流量控制方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023180095A1 (en) * 2022-03-21 2023-09-28 Belimo Holding Ag Method and devices for controlling a flow control system

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1097834A (en) * 1913-11-05 1914-05-26 Gustavus W Anderson Gas-meter-testing device.
US4064898A (en) * 1976-03-24 1977-12-27 Cincinnati Electronics Corporation Purge and charge equipment
DE2915983C2 (de) * 1979-04-20 1983-03-31 Klöckner Ionon GmbH, 5000 Köln Verfahren zum Erzeugen eines Gasgemisches
US4285245A (en) * 1979-12-06 1981-08-25 Precision Machine Products, Inc. Method and apparatus for measuring and controlling volumetric flow rate of gases in a line
US4383547A (en) * 1981-03-27 1983-05-17 Valin Corporation Purging apparatus
JPS57199004A (en) * 1981-06-01 1982-12-06 Toshiba Corp Sample value adaptive process controller
DE3207392C2 (de) * 1982-03-02 1985-01-10 Daimler-Benz Ag, 7000 Stuttgart Vorrichtung zur selbstanpassenden Stellungsregelung eines Stellgliedes
US4535812A (en) * 1982-07-12 1985-08-20 The Boc Group, Inc. Time controlled gas flows
US4718443A (en) * 1987-02-06 1988-01-12 Conoco Inc. Mass flowmeter apparatus
JPH0644986B2 (ja) * 1988-05-08 1994-06-15 忠弘 大見 プロセスガス供給配管装置
US4877051A (en) * 1988-11-28 1989-10-31 Mks Instruments, Inc. Flow controller
US4930538A (en) * 1989-01-17 1990-06-05 Memron, Inc. Compact manifold valve
JPH03156509A (ja) * 1989-11-14 1991-07-04 Stec Kk マスフローコントローラ
US5220517A (en) * 1990-08-31 1993-06-15 Sci Systems, Inc. Process gas distribution system and method with supervisory control
JP2692770B2 (ja) * 1992-09-30 1997-12-17 シーケーディ株式会社 マスフローコントローラ流量検定システム
JP3332053B2 (ja) * 1993-10-27 2002-10-07 清原 まさ子 チャンバーへのガス供給方法
US5744695A (en) * 1997-01-10 1998-04-28 Sony Corporation Apparatus to check calibration of mass flow controllers

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000259255A (ja) * 1999-03-11 2000-09-22 Ckd Corp ガス供給制御装置
JP2003529218A (ja) * 2000-03-27 2003-09-30 パーカー・ハニフィン・コーポレーション 半導体製造におけるプロセス・ガスの流量制御
KR100446708B1 (ko) * 2002-10-16 2004-09-01 장영철 기계적 동기식 개폐수단을 구비하는 시간식 유량 제어장치
US7918238B2 (en) 2004-06-21 2011-04-05 Hitachi Metals, Ltd. Flow controller and its regulation method
US7979165B2 (en) 2006-03-20 2011-07-12 Hitachi Metals, Ltd. Mass flow rate control apparatus, its calibration method and semiconductor-producing apparatus
JPWO2015064035A1 (ja) * 2013-10-31 2017-03-09 株式会社フジキン 圧力式流量制御装置
US10386863B2 (en) 2013-10-31 2019-08-20 Fuiikin Incorporated Pressure-type flow controller
JP2015138338A (ja) * 2014-01-21 2015-07-30 株式会社フジキン 圧力式流量制御装置及びその流量制御開始時のオーバーシュート防止方法。
JP2019525489A (ja) * 2016-08-13 2019-09-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 処理チャンバへのガス流れを制御するための方法及び装置
JP2021508129A (ja) * 2018-02-02 2021-02-25 エム ケー エス インストルメンツ インコーポレーテッドMks Instruments,Incorporated 遮断弁を用いたパルスガス供給方法および装置

Also Published As

Publication number Publication date
US5865205A (en) 1999-02-02

Similar Documents

Publication Publication Date Title
JPH1145122A (ja) 動的ガス流コントローラ
US10801867B2 (en) Method and apparatus for self verification of pressured based mass flow controllers
KR100427563B1 (ko) 병렬분류형 유체공급장치와, 이것에 사용하는 유체가변형압력식 유량제어방법 및 유체가변형 압력식 유량제어장치
JP5174032B2 (ja) 質量流量コントローラのコントローラ利得スケジューリング
CN111670420B (zh) 用于流体的脉冲输送的流体控制系统和输送流体脉冲的方法
US6119710A (en) Method for wide range gas flow system with real time flow measurement and correction
US8112182B2 (en) Mass flow rate-controlling apparatus
TWI642910B (zh) 流量控制機器、流量控制機器的流量校正方法、流量測定機器及使用流量測定機器的流量測定方法
JP2012032983A (ja) ガス供給装置用流量制御器の校正方法及び流量計測方法
KR101116979B1 (ko) 반도체 제조 장치 및 반도체 제조 방법
TWI818066B (zh) 用於使用外部壓力觸發儀輸送脈衝氣體的方法和裝置
US9778083B2 (en) Metrology method for transient gas flow
TW201812497A (zh) 流量比率控制裝置、儲存流量比率控制裝置用程式的程式記錄媒體以及流量比率控制方法
JP2020502516A (ja) 質量流量を広範囲で検証するための方法および装置
JP6929566B2 (ja) 流量測定方法および流量測定装置
JP7131561B2 (ja) 質量流量制御システム並びに当該システムを含む半導体製造装置及び気化器
TWI695244B (zh) 流體控制系統及流量測定方法
JPWO2020026784A1 (ja) 流量制御システム及び流量測定方法
US8056579B2 (en) Mass flow controller
JP3311762B2 (ja) マスフローコントローラと半導体装置の製造装置
JP7249030B2 (ja) 流量測定装置内の容積測定方法および流量測定装置
Boyd et al. A new device for highly accurate gas flow control with extremely fast response times
TW202427088A (zh) 用於質量流量控制之方法及設備
JP2023163311A (ja) 流量測定装置、流量測定方法および流量制御装置の校正方法
JPWO2021216352A5 (ja)

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20050705