JP4180800B2 - オン抵抗が低減されたスーパー自己整列トレンチdmosfet - Google Patents

オン抵抗が低減されたスーパー自己整列トレンチdmosfet Download PDF

Info

Publication number
JP4180800B2
JP4180800B2 JP2000614495A JP2000614495A JP4180800B2 JP 4180800 B2 JP4180800 B2 JP 4180800B2 JP 2000614495 A JP2000614495 A JP 2000614495A JP 2000614495 A JP2000614495 A JP 2000614495A JP 4180800 B2 JP4180800 B2 JP 4180800B2
Authority
JP
Japan
Prior art keywords
region
trench
layer
polysilicon
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000614495A
Other languages
English (en)
Other versions
JP2002543587A (ja
JP2002543587A5 (ja
Inventor
ウィリアムス、リチャード・ケイ
グラボウスキー、ウェイン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Publication of JP2002543587A publication Critical patent/JP2002543587A/ja
Publication of JP2002543587A5 publication Critical patent/JP2002543587A5/ja
Application granted granted Critical
Publication of JP4180800B2 publication Critical patent/JP4180800B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7813Vertical DMOS transistors, i.e. VDMOS transistors with trench gate electrode, e.g. UMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0248Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection
    • H01L27/0251Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices
    • H01L27/0255Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices using diodes as protective elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7803Vertical DMOS transistors, i.e. VDMOS transistors structurally associated with at least one other device
    • H01L29/7808Vertical DMOS transistors, i.e. VDMOS transistors structurally associated with at least one other device the other device being a breakdown diode, e.g. Zener diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7811Vertical DMOS transistors, i.e. VDMOS transistors with an edge termination structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0692Surface layout
    • H01L29/0696Surface layout of cellular field-effect devices, e.g. multicellular DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • H01L29/0852Source or drain regions of field-effect devices of field-effect transistors with insulated gate of DMOS transistors
    • H01L29/0873Drain regions
    • H01L29/0878Impurity concentration or distribution
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1095Body region, i.e. base region, of DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • H01L29/407Recessed field plates, e.g. trench field plates, buried field plates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • H01L29/42368Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity the thickness being non-uniform
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

【0002】
【技術背景】
図1は従来の垂直二重拡散MOSFET(DMOS)10を示す図であり、DMOS10は、トレンチゲート11と、P型ボディ拡散領域(P)と、浅いN+ソース領域12と、P+ボディコンタクト領域13とを有するものであり、N+基板上に成長したN型エピタキシャル層Nepi上に形成されている。ソース及びボディコンタクト領域12、13は、突き合わせコンタクト構造を用いてソース金属14により短絡されている。ゲート11は、エピタキシャル層Nepi方向にエッチングされたトレンチ15内に埋め込まれて酸化される。その後、ゲート11にはドープされたポリシリコンが充填される。デバイスのチャネルは、N+ソース−Pボディ接合部からPボディ−N型エビタキシャルドレイン間に形成された接合部まで延在しているシリコン領域内のトレンチの側壁に沿って形成されている。従来のデバイスでは、トレンチの側壁と底部でゲート酸化層16が同時に形成され、それによって肉厚が均一なものとなっていた(曲面での圧縮酸化効果及び種々の結晶平面での拡散酸化速度による微妙な変化を除く)。
【0003】
ドレインドーピングは通常Pボディ領域よりも濃度が低いので、それによって任意の印加電圧に対してドレイン内にかなりの広がる空乏層とチャネル内に最小限の広がる空乏層を与える。Pボディがより高濃度にドープされていれば、突き抜け現象及びその他の短絡チャネルによる好ましくない効果を回避することができる。チャネルは通常0.3〜1μmの有効長さを有する。
【0004】
このようなデバイスのオン抵抗は、図2に示す抵抗部分即ち基板抵抗(Rsub)、エピタキシャルドレイン抵抗(Repi)、チャネル抵抗(Rch)、ソースコンタクト抵抗(R)及び金属連続抵抗(R)の合計により決定される。エピタキシャル抵抗(Repi)は、チャネルから発せられる電流が拡散する或る領域(Repi1)と、エピ層がより厚い場合には、電流が均一になっている別の領域(Repi2)とに細分され、次式、
【数1】
Figure 0004180800
となる。ここで、
【数2】
Figure 0004180800
である。
【0005】
スイッチとして用いられるパワーMOSFETに対する主たるデザイン目標は、各抵抗成分を同時に小さくすることで最低オン抵抗を達成することである。その際、以下の要素を考慮しなければならない。
【0006】
1. より厚い金属層を用いることにより金属抵抗が小さくなる。
2. ウエハをグラインディングして可能な限り最も薄い寸法にすることにより、基板抵抗を小さくすることができる。グラインディングは、製造プロセスの最終段階付近で行わなければならない。そうすることによって取り扱いによる破損の危険性が小さくなる。
3. アバランシェ降伏電圧とデバイスのオン抵抗との間には、二律背反になるような不可避的な関係がある。降伏電圧を高くしようとすれば、より高いエピタキシャル抵抗に寄与する、より低濃度にドープされたエピタキシャル層が必要となる。一般的に、エピタキシャル層のドーピングの選択は、必要なオフ・ブロック電圧(即ち特定のアバランシェ降伏電圧)を維持することが可能であるような最も高濃度にドープされた層を提供するように行われる。
4. 所与の領域に対してチャネルの周縁を最大にすることにより、チャネル抵抗が最小化される。MOSFETの個々のセルは、任意の縞模様又は多角形形状に構成することができる。理想的には、所与の領域内でより多くのセルを並列に接続することができるように、規則的なピッチで繰り返すことができるような形状を選択すべきである。多数のセルを並列に並べてそれをタンデムに運転することにより、超低オン抵抗を達成することができる。
5. セル濃度をより高くすればするほど、エピタキシャルドレインを流れる電流が表面により近いところで均一になり、伝導のため及びエピタキシャル抵抗の拡散抵抗項(Repi1)を減少させるためにエピタキシャル層をより十分に活用し得るという利点がある。図3Aと図3Bを比較すると分かるように、セルのピッチが小さければ小さいほど、電流が流れていない無駄な領域が減少し、エピタキシャル層のより多くの部分を電流が均一に流れるようになる。伝導が均一になればなるほど、エピタキシャル層は低いドレイン抵抗を示す。
【0007】
所与の領域に対してトレンチゲートの周縁を最大にすることにより、チャネル抵抗(Rch)が低下する。これは、MOSFETチャネル伝導に対する方程式がゲートの全「周縁」に依存し、デバイスが面積には依存しないためである。
【0008】
従来の横型MOSFETのチャネル抵抗に対する方程式は、垂直DMOSのチャネル抵抗を概算するために用いることができ、次式、
【数3】
Figure 0004180800
で表される。ここで、
【数4】
Figure 0004180800
の関係である。これを変形すると、
【数5】
Figure 0004180800
となる。
【0009】
幾何的評価係数A/Wを用いて面積に関して表現すると、次式、
【数6】
Figure 0004180800
が得られる。ここでは、
【数7】
Figure 0004180800
である。
【0010】
Wを最大、Aを最小にすることが望ましいので、評価係数A/Wを小さくしてチャネル抵抗を低下させる必要がある。様々な種類のジオメトリ配列に対してA/Wを決定するために、面積A及び周縁Wに対する方程式は、トレンチ幅(「ゲート幅W」と区別するためにトレンチの表面寸法をYとする)及びトレンチとトレンチの間のソース−ボディ「メサ」の幅YSBによって定義することができる。図4Aに示すように、表面長さZの連続縞模様に対して、
【数8】
Figure 0004180800
となる。また、
【数9】
Figure 0004180800
であるので、
【数10】
Figure 0004180800
を導きだすことができる。
【0011】
言い換えると、縞模様配列に対するA/Wは、単にピッチの半分である。図4Bの正方形セルに対して、周縁は、
【数11】
Figure 0004180800
及び、
【数12】
Figure 0004180800
より、
【数13】
Figure 0004180800
と表される。
【0012】
縞模様配列と比較すると、ソース−ボディ寸法と比較してゲートが小さい時は常に、正方形セル配列はより低い抵抗を与える。従来のトレンチゲートDMOSにおいては小さなトレンチの製造は小さなシリコンメサの製造ほど困難ではなかったので、閉じたセル配列は性能の点で優れていた。ゲート寸法がソース−ボディメサ寸法よりも大きい場合には、縞模様配列の方が優れた性能を発揮する。実際にはこの関係に到達することは困難であり、特に、ソース及びボディ領域を形成して双方の領域にコンタクトを確立するべく必要とされるアライメント許容差はメサを広くするような狭いトレンチゲートにおいては困難である。ゲート寸法は、Y及びソース−ボディメサ寸法YSBが等しい場合には常に、A/Wを小さくすることに関して2つの幾何学配列間に差はない。
【0013】
トレンチゲートDMOSセルのアレイの正方形コーナーにソースが存在すると、おそらくはトレンチコーナーに沿在する欠陥またはコーナーに沿在するソースの増速拡散により、デバイス内のオフ状態リークの原因となることが分かっていた。この問題を解決する1つの方法は、図4Cに示すように、フォトレジストマスクを用いてN+ソースがトレンチのコーナーに注入されないようにすることである。残念ながらこのコーナーブロックフィーチャーは、デバイスのゲート周縁を小さくし、チャネル抵抗を増加させる。ここで、幅がYであるドーナツ型のソースを仮定する。幅Yは必ずメサ幅YSBの半分以下でなければならない。図に示すようにソースマスクからコーナーだけを除去した場合には、デバイスの周縁はもはや4YSBではなく、
【数14】
Figure 0004180800
にまで減少し、従って、
【数15】
Figure 0004180800
となる。
【0014】
コーナーブロックに起因する予測抵抗損失は線形であるので、YがYSBの20%であるならば、ゲート周縁は20%小さくなり、チャネル抵抗はそれに応じて増加する。以上の説明は、コーナーブロックされた領域に伝導がないと仮定していることから、最悪の場合のモデルである。現実には、コーナーブロックされた領域内には電流が流れているが、このような電流は、より長いチャネル長さ及びおそらくは異なる閾電圧を有するようなトランジスタに対応するものである。更に、セルの寸法はより小さいものに定められているので、コーナーとコーナーが近くなりすぎてしまい、コーナーブロックコンセプトを用い続けることは非現実的になっている。このような場合、ソースの周縁の減少はかなりのものであり、ソースのコンタクト領域も減少する。
【0015】
八角形セルトレンチDMOS(図4D参照)においては、八角形メサ周縁の周りの角度はそれほど鋭角ではない(実際には鈍角である)ので、コーナーをブロックする必要性は考えなくてもよいであろう。その一方で、トレンチのエッチングポート表面は、シリコンの天然結晶表面に平行にはなっていない。多結晶表面を横切ってカットすることにより、チャネルの表面粗さが増加し、チャネル移動度が減少し、チャネル抵抗が増加する。これと反対に商業及び工業の取引雑誌におけるクレームにも係わらず、八角形セルの充填密度は従来の正方形セルデザインのものと変わりなく、結果的にA/Wは全く同じになる。
【0016】
従って、セル濃度を最大にし、垂直トレンチゲートDMOSのセルピッチを最小にするためには、A/Wが小さくなる限り、トレンチゲート表面寸法及びメサの表面寸法を共に最小にするべきである。可能な限り最小のトレンチ寸法は、エッチング装置と、トレンチ幅及び深さと、丸みを含むトレンチの形状と、トレンチ再充填プロセスとの関数である。これら全ての変化にもかかわらず、トレンチの最小引き出しフィーチャー寸法は単一層の寸法であり、即ち最小フィーチャー寸法はウエハ構造がトレンチをプリントし、エッチングし、充填する能力によって決定されるものであり、別のフォトマスキング層への相互干渉により決定されるものではない。最小トレンチ寸法はそういうわけで、単一層マスクフィーチャーとして規定される。単一マスク層デザインフィーチャーは通常、単一層寸法(SLD)として参照される。もっぱらマイクロプロセッサ及びDRAMを製造するために用いられていたフォトマスキング装置がパワー半導体の製造に対しても利用可能になったので、トレンチ幅SLDは縮小する可能性がある。
【0017】
ソース−ボディメサの最小寸法は、2層以上のフォトマスキング層に関連するデザインルールによって決定される。即ち、複数層寸法(MLD)デザインルールに関与しているのである。このようなルールは、限界寸法(ΔCDと呼ばれる)及びオーバレイ(OL)として知られている1つのマスキング層から別のマスキング層への重ね合わせエラーが両方における変動を説明する。フィーチャー寸法におけるΔCD変分は、フォトレジストの厚さ及び粘度、露光時間、光の反射、エッチング中のフォトレジストの侵食、エッチング時間、エッチング率、その他の変動の結果である。OLの層−層ミスアライメントに起因する変動は、より重要である。
【0018】
図5Aから図5Eは、トレンチDMOSメサの最小寸法を設定する際の変動性の構成要素を示している。この場合、メサ幅は3つのデザインルールによって設定される。
【0019】
1.トレンチとのコンタクトのスペースを最小にすること。図5Aに示したデザインルールの目的は、金属コンタクトかゲートと短絡しないようにすることである(図5Bに示した破局故障を参照)。コンタクトがトレンチに位置合わせされていると仮定すると、OLは単一オーバーレイ・ミスアライメントを示している。ΔCDはトレンチ幅の幅の変動を表し、ΔCDはコンタクトサイズの変動を表している。半分のセルに対しては、ΔCD及びΔCDの値を2で割る。全ての変分を考慮した最小スペースは、埋め込まれたゲートポリシリコンとソース金属が短絡しないように、0より大きくしなければならない。ここでは、
【数16】
Figure 0004180800
である。
【0020】
2.金属コンタクトとN+ソースのオーバーラップを最小にすること。図5Bに示したデザインルールの目的は、金属コンタクト層とN+ソースとのコンタクトを保証することである(ミスアライメントの例については図5Eを参照)。コンタクトマスクがウエハ状のトレンチフィーチャーに位置合わせされていると仮定すると、OLは少なくとも2つの連続するミスアライメントを表す。この時、1つのミスアライメントはコンタクトマスクをトレンチに位置合わせする際に発生し得るものであり、第2の(統計学的に独立な)ミスアライメントはN+ソースマスクとトレンチとの間に発生し得るものである。ΔCDはN+ソース領域の幅の変分を表し、ΔCDは(金属への)コンタクトのサイズの変分を表す。全ての変分を考慮した1側面当たりの最小スペースは、金属コンタクトとN+ソース領域のオーミック・コンタクトを保証するべく正味のオーバーラップδN+を超えるものでなければならない。ここでは、
【数17】
Figure 0004180800
となる。
【0021】
3.P+ボディコンタクト領域と金属コンタクトのコンタクトを最小にすること。図5Cに示したデザインルールの目的は、N+ソース領域がP+ボディコンタクト領域を完全にはカバーしないことを保証することにより、金属コンタクトとP+ボディコンタクト領域のオーミック・コンタクトを保証することである。ΔCDは、N+ソース領域の幅の変分である。N+ソース領域による開口の全サイズは各側においてΔCD/2だけ縮小し得るので、サイズの可能全変分はΔCDである。全ての変分を考慮した最小スペースは、金属コンタクトとP+ボディコンタクト領域とのオーミック・コンタクトを保証するべく正味のオーバーラップδP+を超えるものでなければならない。極端な場合には、図5Fに示すように、P+領域全体はN+領域が横向きに延長したものによってカバーされ、セルの中心においてオーバーラップする。半分のセルに対しては、
【数18】
Figure 0004180800
となる。
【0022】
結論として、最小メサ幅は2つのコンタクト−トレンチルール(メサの各側に1つ)と、(メサの両側のN+ソースとのコンタクトを保証する)2つのN+コンタクトルールと、1つのP+ルールとによって決定される。しかし一方のトレンチ方向のコンタクトマスクにおけるミスアライメントは他方との距離を増加させるので、最小メサ寸法を計算する際には、各ルールを一度だけ検討しなければならない。全てのOL及びΔCDルールを仮定すると、メサの最小幅は、
【数19】
Figure 0004180800
となる。
【0023】
例えば、OL誤差が0.25μm、ΔCDが0.1μm、(図示された各N+に対して)最小N+オーバーラップが0.1μm、(P+とコンタクトする)最小N+開口が0.3μmであると仮定すると、最小ソース−ボディメササイズは、
【数20】
Figure 0004180800
である。
【0024】
しかしながら実際には、高い歩留まりと、十分な欠陥許容差と、改良P+コンタクト領域とを達成するべく、更に0.5μmを必要とし得る。2μmのメサでは、コンタクトマスク及び突合わせN+/P+ソース−ボディコンタクトを用いてトレンチDMOSを実行することは難しくなる。このような場合には、N+ソース領域がシリコンメサ全体に亘りトレンチからトレンチへ延長しているようなデザインを用いなければならない。直下のPボディ拡散と接合させるために用いられるP+ボディコンタクトは、z次元において(縞模様の長さ方向に沿って)接触させることができる。2つのコンタクト−トレンチ機能及びコンタクト寸法により、メサ幅が決定され、
【数21】
Figure 0004180800
となる。ここで、同一の許容差と、N+コンタクト窓0.4μmとを代入することにより、
【数22】
Figure 0004180800
が得られる。
【0025】
実際には、高い歩留まりと十分な欠陥許容差を達成するためにおそらくは1.5μm程度の更に大きな寸法が必要とされるであろう。メサ幅が約0.9〜1.1μmでは、精密なラインコンタクト及び正確な層−層アライメントが難しくなる。更にこの寸法では、その他の製造関連問題が存在する。
【0026】
トレンチゲートDMOSにおける別のデザイン及びプロセスの問題は、ボディ領域Pの抵抗及びそれをソース金属に短絡させるボディコンタクトの質である。ソース−ボディの短絡は、エミッタ及びベースを同電位に維持することによって、寄生NPNバイポーラ・トランジスタ(図7Aの断面図参照)の伝導及びスナップバックブレークダウンを防止する。エミッタとベース端末の短絡は、理論的に言えばエミッタ−ベース接合の順方向バイアスを防止し、結果として生じる少数キャリア(電子)のMOSFETボディ(即ちベース)への注入を回避する。
【0027】
ボディ・ピックアップの周波数によって、z方向沿いのベース抵抗が決定される。「梯子」デザインにおいては、P+ボディコンタクト領域は時としてN+ソース縞模様を中断してボディ領域を電気的にピックアップする(図7Bの平面図及び図7Cの三次元投影図を参照)。N+ソース領域直下のPボディ領域Pの一部の「ピンチ抵抗」は、閾電圧等がその他のデバイスの特性に悪い影響を与えることなく低い値に維持されなければならない。P−ボディ領域を形成するために用いられる方法及びボディへの低抵抗オーミック・コンタクトを達成するために用いられる浅いP+領域の統合は、各トレンチゲートDMOSデザイン及びプロセスに特異的なものである。多くの市販のパワーMOSFETが今日ではこの点に関して不十分であり、結果的にスナップバック及び耐久性の問題に直面している。P+コンタクトが小さければ小さいほど、また周波数が少なければ少ないほど、スナップバックが発生する確率が高くなるのである。
【0028】
小コンタクトフィーチャーを用いて小さなメサ及び高いセル濃度を達成する場合は常に、金属コンタクトのステップカバレージに関して別の問題が発生する。図8Aに示すように、例えばアルミニウムシリコン、アルミニウム銅、またはアルミニウム銅シリコン等の最上層金属のスパッタリングによる被着はコンタクト形状に同形的に従い、金属層70の中間にノッチ又はギャップを作り出す。ノッチは、金属層が薄い場合には厳格なものではない。しかし、薄い金属の抵抗は、特に厚さ1.2μm以下の金属の場合には、パワーデバイスで用いるには過大である。表面金属抵抗は、(電流がデバイスの表面に沿ってボンドワイヤ又はソースピックアップに流れる際に)数ミリオームの抵抗をトレンチゲートDMOSに横向きに加え、大型ダイ製品のオン抵抗に有意の微増をもたらすことが可能である。オン抵抗の問題を最小にするためには、厚い金属層(厚さ3〜4μm)が必要である。しかしながら、図8Bに示すように、厚い金属層72は極端なノッチングを示しており、酸化層71が引き起こすコンタクトステップにおいてこれが金属を腐食する結果となっている。全ての電流は薄い金属を介してステップを超えて流さなければならないので、デバイスは高金属抵抗を示したままであるが、厚い金属被着にもかかわらず、不十分な電気移動法性能にも直面している。
【0029】
活性コンタクト領域の酸化層ステップ高さは、より薄い中間層誘電体(ILD)を被着することにより小さくすることができるが、より薄い誘電体は金属がポリシリコンゲートバスを被覆しているところでは常に金属破損を示し得る。より薄いILDはまた、ソース金属とポリシリコンゲートバスを短絡させ、或いはESD損傷に対する僅かな酸素感受性をもたらすことができる。一例として図9Aは、ゲートバス92を被覆している金属層90を示している。金属ステップカバレージ問題は、ソース金属がポリシリコンゲートバスを被覆しているようなダイの至るところで発生し、このことはポリシリコンの表面が余りにも厚いことに起因する。このような問題が発生するのは、ダイ表面上に配置されたポリシリコンゲートバスがトレンチのポリシリコン平坦化による肉厚を有しているためである。ポリシリコンの厚さは、その最幅広点においてトレンチを充満するのに十分な厚さでなければならない。トレンチが幅1μmであると仮定すると、最幅広点は対角線上のトレンチコーナーに現れ、その寸法は約1.4μmである(図9B参照)。被着後のダイ表面上のポリシリコン厚さは、図9Cに示すようにトレンチを充填するために対角線が寸法の少なくとも半分にして、後から行うエッジバックの最中にポリシリコンがダイ表面より下に下がらないように確実にする必要がある。この全ポリシリコン厚さは、実施例の場合には0.7μmであり、直下の酸化層を加えたものがゲートバス内のダイの最上部に現れることになるので、1〜1.5μmのステップが考え得る。ゲートバスの領域は、ポリシリコンの平坦化エッジバック中には通常マスクされており、結果的にステップになる。ポリシリコンはドーパントを通すには厚すぎるので、厚いポリシリコンもまた製造可能プロセスシーケンスを制限する。
【0030】
要約すると、現行の従来のトレンチゲート垂直DMOS装置の1つの問題は、セル濃度を増加させることができず、幾何領域対ゲート周縁の比を更に小さくして低オン抵抗スイッチの領域効率を向上させることができないことである。これは、従来のトレンチゲート垂直DMOSの製造がセルの寸法に基本的制限を課しているためである。抵抗損失は、全損失の大部分がMOSチャネルの抵抗(Rch)に寄与しているような低電圧デバイスに対して特に重要である。セル濃度の限界は、主としてトレンチとトレンチ間の最小メサ幅に起因するものである。メサの最小幅は、多数のマスク層を用いることによって決定され、特にコンタクトマスクに関連するデザインルールに起因する。
【0031】
縞模様配列は、ソース/ボディに短絡に隣接しているような頻出する或いは大きな面積に対する必要性を低減するか或いは完全に無くし、このことはセルピッチをより狭くすることができるが、良好なブレークダウン及びスナップバック特性を達成する際には潜在的に問題を引き起こす。コンタクト可能最小寸法を押し進めることは、アクティブ・コンタクト領域内及びゲートバス上における金属ステップカバレージ問題の解決方法を要求する。しかし、メサの幅がトレンチゲートの幅に等しいような点までデザインルールを押し進めることなしには、縞模様配列のA/Wは、同様のセルピッチを有する正方形のセル配列のA/Wには及ばない。
【発明の要約】
【0032】
以上の諸問題は、本発明に基づくスーパー自己整列(SSA)トレンチDMOSFETによって解決される。本発明に基づくSSAトレンチMOSFETは、内部にトレンチが形成された半導体ボディを有し、トレンチの壁がトレンチコーナーにおいて半導体ボディの主表面と交差している。半導体ボディは、トレンチ及び半導体ボディの主表面に隣接している第1導電型のソース領域と、トレンチの壁に隣接するチャネル領域を有するような、ソース領域との接合をなす第2導電型のボディ領域と、ボディ領域との接合をなす第1導電型のドレイン領域とを有する。トレンチにはゲートが配置されている。ゲートは酸化層に接している。ゲート酸化層は、チャネル領域に隣接する第1部分と、ゲートの上層にある第2部分とを有し、第1部分は第2部分より厚い。金属層が半導体ボディの主表面に接触(コンタクト)しており、金属層と主表面のコンタクトはトレンチコーナーまで横方向に広がっている。ゲート酸化層の第1部分はゲートとソースとの短絡を防止し、それによって金属層と主表面のコンタクトが、トレンチのコーナーにまで延長されている。従って、ゲートとソースが短絡するリスクなしに、コンタクトがトレンチに自己整列することにより、上記で検討した設計上の制約を回避することができ、トレンチのセグメント間のメサの幅を、従来のMOSFETで可能であったものに比べて小さくすることができる。上記したように、このことによってセル密度を向上し、評価係数A/Wを向上することができる。
【0033】
本発明の別の態様によれば、ゲート酸化層はトレンチ底部に隣接するような、第1部分よりも厚い第3部分を有することもできる。このことは、ゲート−ドレイン容量を低下させ、フィールドプレートに起因するブレークダウンを防止する。
【0034】
本発明の別の態様によれば、DMOSFETのオン抵抗を低減するために、概ねトレンチゲートの形状と同形をなすようにパターン化された高濃度にドープされた埋め込み層が用いられる。この構造を達成する1つの方法は、トレンチが形成された後に埋め込み層を注入により形成することである。
【0035】
SSAトレンチMOSFETは、本明細書中で説明する方法により好適に製造される。製造プロセスには、以下の過程が含まれる。即ち、或る表面を有する半導体材料のボディを提供する過程と、ボディ内にトレンチを配置するべき位置に開口を有する第1マスクを前記表面上に形成する過程と、第1マスクの開口から半導体材料をエッチングし、半導体ボディ内にトレンチを形成する過程と、第1酸化層をトレンチの側壁に形成する過程と、トレンチにポリシリコンを充填する過程と、第1マスクを配置したまま、ポリシリコンの露出面を酸化し、トレンチに向かって下向きに延長する第2酸化層をトレンチの上部に形成する過程と、第1マスクを除去する過程と、第2酸化層の表面及び半導体ボディの表面に金属層を被着する過程とを有する。
【0036】
本発明の別の態様によれば、トレンチに充填されたポリシリコンゲートは、2つのポリシリコン層として被着される。第1ポリシリコン層はメサをカバーしておらず、このことがトレンチ形成後のメサへのイオン注入を容易にしている。
【0037】
本発明の別の態様によれば、半導体ボディの表面の上層にあるポリシリコンの層内にポリシリコンダイオードが形成される。
【0038】
本発明の更に別の態様によれば、コンタクトマスクにより画定された酸化物フィーチャーをトレンチの上部の上に被着し、ソースコンタクト金属とゲートとの電極間容量を低下させることができる。
【0039】
本発明の更に別の態様によれば、小さなフィーチャーを有するコンタクトマスクを用いる場合には、タングステン等の金属を用いてコンタクトを平坦化して、ステップカバレージの問題を回避することができる。
【0040】
先行技術では、通常、トレンチ及びソース−金属コンタクトを各々画定するために別個のマスクが用いられていた。このことは、上記で検討したアライメントの問題を発生させる。本発明のプロセスに基づき、トレンチ及びソース−金属コンタクトの両方を画定するために同一のマスクを用いることができる。トレンチは、ソース−金属コンタクトに自己整合され、ゲートとソースとの短絡は、ゲート上に重合された厚い酸化層によって防止される。
【0041】
(発明の詳細)
図10A及び10Bは、ソース−ボディメサ及びセルピッチの幅を減少させることで得られる、セル密度の向上の度合いを表している。
【0042】
図10Aは、1.0、0.8、及び0.5μmのトレンチゲートに関連する表面寸法Yについての、等価セル密度に対するメサ寸法YSBのプロットを表している。密度は、Mcell/cm2(左軸)及びMcell/in2(右軸)の両者に関して次式、
【数23】
Figure 0004180800
を利用してプロットされる。
【0043】
グラフは3つの領域に分割される。即ち、
1. YSB>2μmである領域III。ここでは通常のバッティング(butting)ソース−ボディコンタクトが用いられてもよい。このタイプのデバイスに於けるセル密度の限界は67〜100Mcell/in2の範囲であるが、製造時には30〜40Mcell/in2の密度が最も一般的である。
2. 0.9μm<YSB<2μmである領域II。ここでは、トレンチに対してフォトリソグラフ的に整合されたコンタクトマスクを用いることにより、ソースの縞模様設計が、可能である。そのような構造を用いることにより、最大170〜320Mcell/in2の密度まで達成することができる。但し、そのためには、或る設計上及び製造上の問題が克服される必要がある。(そのための解決法は後に述べられる)
3. YSB<0.9μmである領域I。ここでは、アクティブなトレンチDMOSトランジスタセルにコンタクトフィーチャーを形成するために、新規な技術が必要となる。それが可能であれば、そのような技術の限界は、フォトリソグラフィ処理機器の、(パターン)解像能力及びより小さなフィーチャーサイズをエッチングする能力のみによって規定される。
【0044】
唯一、領域IIIのみが、本件技術を用いて製造可能であるデバイスを表している。しかし、図10Aのグラフは、領域I及びIIに於いて生ずる技術的問題が克服される場合に得られ得るようなセルを表している。
【0045】
図10Bは、異なる技術を用いることにより得られ得るいくつかのセル密度の特定の実施例を表しており、これらは様々なウエハ製造設備の複雑性(及び初期投資コスト)を反映している。例えば、32Mcell/in2トレンチDMOSを製造するためには、0.8−umウエハ製造技術を必要とし、180Mcell/in2トレンチDMOSを製造するためには、0.6−umのウエハ製造技術を必要とする。ここで、「0.6μm ウエハ製造技術fab」なる用語は、提供可能である最も高密度のCMOS ICプロセスのフィーチャーサイズを指すもので、そのような設備では、相応の空気及び水の清浄度の必須レベルを必要とする。そのような理由で、「0.6μm」なる用語は、ゲート寸法のみを意味するのではなく、最小のコンタクトウインドウ或いは金属ストリップの寸法を意味し、場合によっては、必要とされる表面の平面化方法でさえも意味している。具体的には、金属ステップカバレージは小さなコンタクトウインドウを用いた場合に問題となり、0.8μm製造技術には通常用いられないような技術及び装置が必要となる。高いセル密度を達成することは、より良い、より近代的なウエハ製造技術を使用すればよいという単純な問題ではない。新規な研究開発が、信頼性と高い収率を有する超高密度パワーMOSFETの製造するという問題を解決するために必要とされる。
【0046】
図11A−11Eは、スーパー自己整列(SSA)トレンチDMOSFETの形成のプロセスの基本的要素を表している。プロセスは、シリコンメサ領域の上部にコンタクトするためにコンタクトマスクを必要とすることなく、トレンチ間の表面若しくは背面のシリコンへのアクセスを備えたトレンチキャパシタの密集アレイを形成する方法を明らかにする。このSSAキャパシタはトレンチゲートDMOSFETの構成に適合するが、それに限定されるものではない。例えばSSAアレイは、絶縁ゲートバイポーラトランジスタ(IGBT)、MOS−ゲートバイポーラデバイス、及びその他の型のデバイスに於いて用いられ得る。
【0047】
窒化物層102(又は、例えば、酸化物のようなその他の「硬質の」物質の層)が、トレンチ104(図11A)を画定するべく選択される。後続するプロセスには、フォトレジストでは耐えられない高い温度を伴うものがあり、それに耐えられるようにするためである。窒化物は、トレンチゲートを保護するために用いられる酸化物に対して攻撃的でないような化学的エッチング技術で取り除き得る点で好適である。シリコンボディ108と窒化物層102との間の膨張熱係数(TCE)による応力も減少させるために、窒化物層102は、通常、シリコンボディ108の主面103上の薄い酸化物層106上に形成される。プロセスによっては、薄い酸化層106を省略しても良い。トレンチエッチングプロセス中の浸食を避けるために、複数の追加的な酸化層(図示せず)を窒化層102上に形成しても良い。窒化物フィーチャーを画定するために用いられたフォトレジスト層(図示せず)を、シリコンエッチングプロセスの際に、窒化物若しくは酸化−窒化物サンドイッチ構造の上部に残しておいても良い。トレンチが画定された後、トレンチを、(例えばリアクティブイオンエッチング(RIE)のような)公知のプロセスのエッチングによって形成することができる。その結果、図11Aに示される構造が得られる。「メサ」114が、トレンチ104のセグメント間に形成される。図示されるように、本実施例では、シリコンボディ108はエピタキシャル層を備えているが、本発明はそれに限定されない。
【0048】
容易に理解できるように、図11A−11Eは、パワーMOSFET中に、通常数百万のセルを含んでいるようなアレイの内の、いくつかのMOSFETセルを表している。図示されているように、提供される構造は、トレンチパワーMOSFETの構造的要素である大面積キャパシタをなしている。
【0049】
トレンチは、次に、トレンチエッチングプロセスによって引き起こされるような表面のダメージも減少させるべく、犠牲酸化物(図示せず)を形成するために酸化される。犠牲酸化物は、後に除去される。ゲート酸化物層110が形成され、ポリシリコンがトレンチに充填される。ポリシリコンは、ゲート112をシリコンボディ108の主面と同一平面化するためにエッチバックされる(図11B)。
【0050】
デバイスの或いは、それに必要とされるPN接合の所望の構成に応じて、これらのステップの間に、様々なドーパントが事前被着若しくはイオン注入により導入されてよい。そのような事項については、トレンチパワーMOSFETの製造方法の例に関連して、詳しく述べられる。次に、ポリシリコンゲート112の露出表面を酸化し、ゲート112の上に重ねられた厚い酸化層116を形成する(図11C)。厚い酸化層116は、その後のエッチングからゲート112を保護し、ゲート112をトレンチ104内に「埋設」することにより、完成したデバイスに於いてトレンチ104に重合される(ソース)金属に対して、ゲート112が短絡しないようにする。窒化物層102はメサ114上の酸化物層106を酸化させないようにする。このデバイス製造段階では、単一のマスク(窒化物層102)が、シリコンメサ及び酸化層116によって保護された埋め込みゲートトレンチ104の双方を画定した。従来技術では、ゲートを埋設するべく用いられる酸化物は、トレンチ領域に対して局部的であったり、「自己整列(セルフアライメント)」されずに、メサ上に、或いはメサを超えて延出していた。
【0051】
SSAプロセスフロー中では、窒化物層102の除去は、概ねコンタクトマスクプロセスである。何故なら、窒化物層102の下の酸化物層106が、ゲート112に重合される厚い酸化物層116と比較して薄くなるように選択されるからである。窒化物層102を除去した後の構造が、図11Dに示されている。
【0052】
図11Eに於いて示されるように、弗化水素酸(通常水で希釈されたHF)に於ける短時間のディップ、若しくは等方的プラズマ酸化物エッチングにより、埋め込まれたポリシリコンゲート112を剥がすことなく、メサ114より酸化層106を取り除くことができる。その結果得られるシリコン及び金属層(被膜)間的のコンタクト領域118は、トレンチ104の1つのセグメントから次のセグメントへと向けて、メサ114を横切って延在する。これは、オリジナルのトレンチマスクによって定められたフィーチャーである。したがって、コンタクトは、トレンチ自体に対して自己整列され、トレンチコーナー120へと延出し、そこでトレンチ104の壁がシリコンの表面103と交差する。露出したメサ118即ちコンタクトは、トレンチ104及び厚い酸化層116を画定した同一マスクフィーチャーによって画定される。この方法で、メサ114の幅を削減することが可能となった。
【0053】
対照的に、従来技術のトレンチデバイスに於いて、コンタクトは、所謂「コンタクトマスク」と呼ばれる、別のフィーチャーによって画定されていた。コンタクトマスクのフィーチャーは、整列の不完全さ及び酸化エッチングのばらつきを許容するために、必然的にメサ幅より小さい(図12A参照)。
【0054】
厚い酸化層116はポリシリコンのエッチバックの後に形成されるものであるため(図11B)、厚い酸化層116の上部表面はメサ114の表面と略同一面であり、被着された酸化物及び古典的なコンタクトマスクを使用することにより得られるものに比較して、メサ及び酸化物間の段差ををより小さくすることができる。このことは、従来のトレンチDMOSFETを表す図12Aを、メサ114の上部表面にコンタクトした金属層122を備えた本発明によるメサを表す図12Bを比較することより明らかである。
【0055】
結果として、別個のコンタクトマスクが、ポリシリコンゲートバス、終端及び堅牢なESD性能を達成するのに必要なポリシリコンPNダイオードアレイに至るコンタクトを形成するために必要とはなるが、セルアレイ自体に別個のマスクが用いられないことから、メサ−金属(ソース−金属)コンタクトのサイズについての限界が存在しない。同様に、段差高が減少されることから、アクティブなアレイに於ける金属ステップカバレージ問題が発生しない。(例えばポリシリコンゲート及び金属の上部間の電極間キャパシタンスを減少させるために)コンタクトマスクが望まれる場合でさえ、図12Cに示すように、シリコン表面の「下側」に存在する酸化物があるため、段差高を減少させ得る。
【0056】
パワーMOSFETを評価するための公知の数値としては、エリアと幅の比、即ちA/Wがある。これは、所与の「チャネル幅」を提供するために必要となるダイの面積の尺度である(これは、概ね、MOSFETセルの周長に相当する)。A/W比を、デバイスの性能及びオン抵抗の指標として用いてることにより、様々なデバイス設計の比較を行うことができる。A/Wが小さければ小さい程、性能は良くなる。
【0057】
図13は、シリコンメサ幅YSBの関数として、(先に定められた式を用いて)A/W比を示すものである。正方形のセルは、メサ及びトレンチが同一の幅である場合には、最小値を有するU字型カーブを有する。ソースボディの寸法がゲートの寸法よりも小さいときは、メサ幅を減少させると、面積を節約する以上にセルの周長を減少させることから、A/W比を増加させる。1−um−広幅ゲートの場合、閉じられたセルのための最小のA/Wは、幾何学的に見て、メサYSBが1μmの幅でもあるような場合に引き起こされ、その結果、セルピッチが2μmとなる。この最小のポイントに於いては、2μmピッチデバイスの場合のA/Wは、閉じられたセルでも、縞模様ジオメトリでも同一である。
【0058】
しかしながら、商用の用途では、トレンチコーナーに於けるアクティブなチャネル導電を伴う閉じられたセルの設計では、短チャネル効果、過渡的な過度の拡散及び結晶の欠陥等の様々な理由によって、異常漏洩及びしきい値の低下が引き起こされる。図4Cに関して前記したように、この問題の解決方法としては、すべてのメサのコーナーへのイオン注入を防止するために、N+ソース注入マスク内に「コーナーブロック」フィーチャーを導入することがある。トレンチゲートグリッドの内側コーナーが、トレンチの形成の後に残るシリコンメサの外側コーナーを形成するのと同一のフィーチャーであることに留意されたい。
【0059】
このコーナーブロックフィーチャーのお陰で、セルピッチを一定量減少させることにより、それが面積を節約する以上に、周長を減少させる。従って、トレンチゲート寸法より小さくなるようにメサ寸法を更に減少させると、YSBが減少されるに伴い、A/Wを急激に増大させる。また、両1μmセル設計のA/Wの最小値が、YSB値が1μmと2μmの間である領域IIに於いて引き起こされることに留意されたい。先に述べたように、領域IIに於いては、縞模様設計のみが実用的であって、コンタクト寸法は、金属ステップカバレージ問題を引き起こす。曲線上で最も右側の2つの丸により表された実用的な最新型の製造モデルデバイスでも、そのA/Wの最適値とはかけ離れた領域III内にある。
【0060】
図13はまた、0.8μm及び0.5μmの縞模様設計デザインが、1μm正方セルデザインより低く、A/W比を更に改善すなわち減少し続けることを表している。小さなコンタクト金属ステップカバレージ問題に対する解決法をもってすれば、1.2μmメサは、コンタクトマスクベースの縞模様デザインを用いて、1よりも小さいA/W値を達成し得る(領域II)。しかしながら、A/W値が、その最小値からかけ離れていることから、更にメサ幅を0.9μm未満とするように自己整列を用いてメサを縮小し、領域I内に到達することは、有益であり、しかも妥当なことである。このような自己整列技術を用いて、A/Wを0.5μm未満は現実的に実行可能である。
【0061】
横軸を、メサ幅ではなく、セル密度(図14)としてプロットした同一の幾何学的設計のA/W比の比較を見てみると、A/Wを低下させるために、より高い密度を用いることの利点が明らかになる。ここで、同等なA/W性能に到達するために、縞模様デザインは、閉じられたセルアプローチよりも、より高いセル密度を必要とすることに注意して欲しい。例えば、32Mcells/in2正方セルデザインと同等レベルに到達するには、70Mcells/in2縞模様デザインが必要となる。言い換えれば、本発明により可能となる自己整列及び広範囲な寸法のスケーラビリティは、縞模様ジオメトリのA/W特性に於ける固有の弱点を補うために必要となる。幸いにも、縞模様設計で可能となる(縞模様のZ−方向への)ボディ及びソース拡散の連続性、即ち(Z−方向に対する)遠隔ボディコンタクトは、よりタイトな寸法設定を可能にすることで、A/W特性についての弱点を補うことができる。図14に於けるグラフ中によれば、ここに述べた本発明を用いて、1平方インチ当たり10億のセルにも達する密度(1Gcells/in2)を有するトレンチDMOS構造を製造し得ることが期待される。これらの方法を適用すれば、このような設計のスケーリングは、このような数値に限定されずに、フォトリソグラフィ技術の進歩に制約されるのみで、無限にスケーリングし得るものと期待される。
【0062】
図15A−15Dは、様々なトレンチDMOS設計の断面図を表しており、各々がトレンチの側面及び底面に沿った一様なゲート酸化物厚さを備えている。この場合、「一様」とは、ゲート酸化物が、トレンチの側壁上の厚さと、その底面の厚さとで意図的に異なるようにする方法で製造されたものではないことを意味している。勿論、トレンチが横切る様々な結晶学的面の酸化速度が異なるのに応じて、また、応力により引き起こされる酸化の向上或いは抑制効果に応じて、トレンチ表面に沿って酸化物の厚さが変化することが予想される。
【0063】
図15Aに於いて、ボディ領域Pは一様であり、特定の領域を、ボディ−ドレイン接合150より低いブレークダウンを示すように適合させて、電圧クランプとして機能させるようにしていない。そのようなデバイスでは、ゲート酸化物が熱キャリアにより劣化されたり、薄いゲート酸化物の近傍にて、好ましくないアバランシェを引き起こすことがある。望ましくない熱キャリアの生成は、ボディ−ドレイン接合150を、ポリシリコンゲートの底部に対して可能な限り近接させることにより最小化することができる。
【0064】
図15Bに於いて、深いP領域152は、局所的にブレークダウンを低くするために用いられ、電圧クランプ(深いP領域152及びN埋め込み層156間のツェナーダイオード154として断面図上に概略的に表されている)として働く。1995年6月2日に出願された米国特許出願第08/459,555号明細書(ここで言及したことにより本出願の一部とする)に述べられているように、デバイス若しくはセルアレイ中に於いて、電圧クランプはランダムに繰り返されてもよく、一定の間隔で繰り返してもよい。クランプの概念は、従来から知られた方法を用いた極めて高密度のデバイスでは製造可能でない。小さな寸法のクランプに対するコンタクトは、従来の方法を用いては、通常、ゲートに対し短絡を生ずることなく実現することはできない。
【0065】
図15Cに示されたデバイスは、次の点を除いて図15Bに示されたデバイスと類似する。相違点は、電圧クランプのアバランシェブレークダウンを定めるヘビードーピングがPボディ領域の内部に設けられ、しかしそれが高濃度であるということである。小さな寸法のクランプに対するコンタクトは、通常、従来の方法を用いてゲートに対する短絡を生ずることなく可能ではない。
【0066】
図15Dに於いて、互いにバッティングするソース−ボディコンタクトが示されており、これは、閉じられたセル及び縞状の設計のいずれにも適用可能である。金属層は、N+ソース領域159及びP+ボディコンタクト領域160の双方にコンタクトし、それによってソース及びボディを互いに短絡している。図15A、15B及び15Cでは、(示された図に於ける破断平面には含まれずに、トレンチに沿って延在する)z次元に於けるボディコンタクトが想定されている。その代わりに、オフ状態では、ボディ領域が完全に空乏化されるように、P領域にはP+コンタクトが無いようなデバイスを設計し、提供することもできる。自己整列コンタクトが、トレンチのエッジに至るまで延出していることから、N+ソースの長さを短縮し、しかも、良好なオーミックコンタクトを確保することができる。このようなN+ソース領域の寸法、従ってメサの寸法は、ここで言及された技術を用いることなく達成することはできない。
【0067】
図16Aは、薄いゲート酸化トレンチDMOSデバイスに於けるフィールドプレート誘導(FPI)ブレークダウン現象を表している。図16Aに示されるようにFPIの制約を受けるデバイスに於けるイオン化は、ドレインをオーバーラップするトレンチのコーナーに於いて発生する。図16Bに示すように、酸化物が薄くされると、ブレークダウン電圧が低下する。FPIブレークダウンが発生するような全ての場合に於いて、アバランシェ及びキャリアの生成は、トレンチゲート及びそのゲート酸化物の近傍で引き起こされ、ゲートに、熱キャリア損傷及び酸化物消耗を引き起こさせることとなる。
【0068】
薄いゲート酸化物トレンチDMOSFETによる別の不利益は、ゲート及びドレイン間のキャパシタンスが、結果的に大きくなり、このキャパシタンスによりゲート電荷が増大することである(図17A)。入力キャパシタンス及び対応するゲート電荷に対するゲート−ドレインキャパシタンスCGDの効果は、更にMiller効果で悪化する。Miller効果とは、ゲート−ドレインキャパシタンスよりのフィードバックにより入力キャパシタンスが増加する現象である。この効果は、図17Bのゲート電圧曲線中の平坦域として見られ、それは、ドレイン電圧が降下しまたデバイスがターンオンする間に、ゲート電荷の増加に伴うゲート電圧の上昇が停止する場合である。デバイスにかかる電圧がローであり、即ちそれが完全にターンオンされた後、ゲート電圧は入力電荷に比例して上昇を再開する。要するに、ゲート電荷は、ゲート−ドレインキャパシタンスに加わるΔVDGをバランスするために用いられた。平坦域の追加発生することにより、それだけ多くの電荷を必要とすることから(x軸にプロットされた値)、「実効的な」入力キャパシタンスは増加し、デバイスはスイッチングの間に、より高いエネルギーロスを示す。ゲート−ボディ及びゲート−ソースキャパシタンス、すなわちCGB及びCGSも存在するが、図17Bで平坦部に先立ったカーブのスロープとして示されている、それらの入力ゲート電荷に対する寄与の度合は、ドレインの項程は著しくない。平坦部の幅が、ずっと大きいことを考慮されたい。グラフから、より薄い酸化物は、より低いゲートバイアスでターンオンするが(多くの用途に於いて、より低いしきい値電圧が望ましいとされている)、ゲートバイアスが同一の最終値に到達するために(、また同一チャネルエンハンスメントに到達するために)より多くのゲート電荷を必要とすることを明瞭に示している。オーバーラップキャパシタンスの増加なしに、低いしきい値及び高い相互コンダクタンスを達成することがより望ましいが、そのためには特別なプロセス及びデバイス構造が必要となる。
【0069】
本発明による実施例が、図18に示される。トレンチゲート181、シリコンメサ182、及びコンタクトマスクの完全な自己整列フィーチャーによって、MOSFET180がNエピタキシャル層188中に縞模様(ストライプ)デザインに形成されている。メサを横切って(即ち、y−方向に)、N+ソース領域183及びPボディ領域184が同様にトレンチに対し自己整列している。N+ソース領域183は、下に広がるPボディ領域184と接するためのP+ボディ接点領域185によってz方向に周期的にさえぎられている。この特徴はストライプデザインにおけるセルピッチの設定においては重要でなく、従って自己整列はz方向機構には必要ではない。示されているように、トレンチ上部の酸化層186は、ソース金属(図示せず)に対する短絡を防ぐべく表面下にゲートを埋め込んでいるが、シリコンメサ182の上面より上に著しく突出はしていない。これによってソース金属によるステップカバレージ問題は回避される。一様なN型埋め込み層(NBL)187がNエピタキシャル層188及びN+基板189中に示され、上面からNBLまでの距離はN+エピタキシャル層188を成長させた後イオン注入によってセットすることができることを示している。オーバーラップキャパシタンスを減少させ且つ薄いゲート酸化物が望まれる場合につねに生ずるようなフィールドプレート誘導ブレークダウン効果を避けるべく、厚い酸化層部分190がトレンチの底に形成されているが、デバイスのチャネル領域191と重なるトレンチ側壁には形成されていない。
【0070】
この実施例に於いて、ゲートの寸法Yは0.5μmとして選択され、デバイスのソースボディ要素を形成するシリコンメサは0.5μmの寸法YSBを有する。縞模様デザインであるため、デバイス構造はコーナーブロックを必要とせず(長寸のフィンガーの端部は例外かもしれないが)、それ故デバイスのA/W効率で不利になることがない。更にはYSB=Yである場合(このデザインの好適実施例に於けるように)は常に、正方形ジオメトリと縞模様ジオメトリのA/Wは同じであり、よって縞模様デザインの使用は抵抗に関しても不利となることがない。
【0071】
ソース及びボディのコンタクト構造も、図19A−19Fの平面図に示すように縞模様デザイン用に幾何学的に変えることができる。デザインは、N+ソースの周縁長さを最大化するように(抵抗をできるだけ小さくする)、或いはボディ領域に対するP+コンタクトを最大化するように(寄生バイポーラのターンオンを抑制し、スナップバックを防止し、デバイスの耐久性を高める)、若しくはこれら2つの間の妥協点に落ち着くように、選択することができる。図19Aでは、N+ソース領域及びP+ボディコンタクトの両方が連続する縞模様を形成しているが、ボディコンタクトを改善するべくP+開口(N+に於ける穴)の周期的な広幅化を伴っている。N+領域の狭幅部分は、N+領域を消滅させる危険を伴うことなくフォトリソグラフィアライメントによって実現することができるような小ささで形成することができる。例えば、N+領域を0.2μm幅(各サイド)とし、P+領域用に0.4μmの穴を残すようにすることができる。製造可能な最小のメサ幅は従って1.3μmのピッチに対して約0.8μmであり(0.5μmのトレンチゲートを仮定)、密度は59Mcells/cm2(381Mcells/in2)及びA/Wは0.65μmである。このような「波形」デザインは、抵抗と丈夫さとの間の妥協の結果である。P+領域よりもN+ソース領域が広いような部分では、P+領域は適切な抵抗接触を提供するくらいに狭くなってもよい。例えば、N+領域が0.3μmの幅であった場合、P+領域は0.2μmまで狭くなる。そのような場合、N+注入後の高温処理の量を制限することでP+領域へのN+領域の横方向拡散を極力少なくしなければならない(急速熱アニール(rapid thermal anneal)が好適である)。
【0072】
P+のストラップが周期的にメサの幅を横断する図19Bの“ストラップ付き波形”デザインでは、堅牢さをやや改善することができる。A/Wは、縞模様に沿ったその使用の周期に比例して小さくなる。実際、最終的には垂直方向電導となるトレンチの長さ方向に沿った横方向の電流を通じた電導がP+領域で生じる。
【0073】
図19Cの分割されたN+ソースデザインでは、N+コンタクト及びチャネル周囲長さが一層減少しており、堅牢さ強化のためにオン抵抗について妥協している。このデザインにおける最小の製造可能なメサ幅は好適には1.4μmのピッチに対して約0.9μm(0.5μmのトレンチゲートを仮定している)であり、密度は51Mcells/cm2(329Mcells/in2)、A/Wは0.7μmである。しかしながら、N+の各島それぞれに対して良好な質のコンタクトが要求されるため、このデザインのN+コンタクトの抵抗は製造に於いて大きく変化し得る。
【0074】
N+コンタクトの抵抗について全く妥協しない別のデザインは、図19Dの竹状または階段型構造であり、ここでは、N+ソースはP+ストラップがあるところ以外その長さに沿って接触がなされている。製造可能な最小のメサ幅はその構造により限定されない。0.5μm幅のメサによって、0.1μmのピッチ(0.5μmのトレンチゲートと仮定する)、100Mcells/cm2(645cells/in2)のセル密度、及び0.5μmのA/W(P+ストラップの周期性によって直線的に増加)が得られる。そのようなデザインは将来、1Gcells/in2の密度(0.8μmピッチ)及び0.4μmのA/Wへと拡張可能(scaleable)であるべきである。図19E及び19Fのデザインに基づくウインドウ及びストラップ化されたウインドウは、各々、図19A及び19Bの波形及びストラップ付き波形デザインと類似した幾何学的フィーチャーを有するが、より良好なN+コンタクト抵抗及びより小さいP+コンタクト領域(堅牢さは低下)を伴う。
【0075】
上記において議論したジオメトリ及びデバイスフィーチャーを考慮すると、SSAトレンチDMOSFETの好適な実施例は表1に要約されるような構造及び電気的特性を示すことが期待される。
【0076】
【表1】
Figure 0004180800
【0077】
表1に示されるESD保護は、ポリシリコン層に形成されるバック−トゥ−バック(back-to-back)PN接合ダイオードD1、D2の組合せ及びトレンチパワーDMOSのソース電極へのゲートの電気的なシャント(shunt)を必要とする。規定の電圧(通常、直列接続されたダイオードペア当たり6.5〜8V)未満では、ダイオードD1、D2はオープン回路を維持する(サブマイクロアンペアレンジの接合漏洩を除く)。ダイオード電圧より上では、それらはアバランシェブレークダウンを起こして導通状態となり、最大ゲート電圧を抑制(クランプ)する。図20Aに於ける単一のペアはESDパルスに対し幾分か保護機能を提供することができるが、尚、ゲート酸化物に対するある程度の過電圧ストレスは許容し得る。更には、単一ステージデザインでは定常状態におけるDC過電圧ストレスに耐えることができない。
【0078】
図20Bの2ステージクランプでは、直列ゲート抵抗R1に対応して選択された値だけ第2のダイオードペアD3、D4へと流れる電流を制限することで、この問題が全体として回避される。このネットワークは、デバイスターミナルの電圧が外側ダイオードペアD3及びD4のブレークダウンを超過しない間、内側ダイオードペアD1及びD2がブレークダウンして酸化物を保護している限り、ゲート破壊電圧より大きなDC過電圧状態に無期限に耐えることができる。実施例によっては、ダイオードペアD3及びD4のブロック電圧はダイオードペアD1及びD2のブロック電圧に等しくてもよい。
【0079】
ポリダイオード構造が図20Cにおいて2つの直列接続されたバック−トゥ−バックペア、D5、D6、D7、D8(即ち、NPNPN)として示されている。ここでは、ソース注入からのN+をN+カソードとして用いている。また、ブレイクダウン値をセットするためアノードドーピングとして専用のP型注入がしばしば用いられる。ダイオードD5−D8は、酸化物もしくは誘電体層199の上に広がるポリシリコン層198内に形成される。ダイオードD5及びD8のカソードに対するコンタクトは、金属層197によって成される。6.5Vよりも低い電圧に耐えることができるゲート酸化物層を保護すべき場合、内側のアバランシェダイオードスタックは、並列接続された順方向バイアスダイオードのアレイによって置き換えなければならない(図20D)。
【0080】
図21Aは、ドレイン抵抗のエピタキシャル要素を削除することで、より低いブレイクダウン電圧を有するデバイス(特にアバランシェブレイクダウン電圧が12V以下)において改善されたオン−レジスタンスを達成するべくゲートトレンチの底部の厚い酸化層214とN埋め込み層NBL212がオーバーラップしているSSAトレンチDMOSFET210を示している。N埋め込み層(NBL)は、エピ層の成長の直後、すなわちトレンチの形成の前、もしくはトレンチの形成後、トレンチの再充填前に注入することができる。
【0081】
図21B及び図21Cに於いて示されるように、トレンチの形成の後NBLが注入される場合、NBLは注入の間のシリコン上面の形状に従った形状を示す。その結果、NBLはトレンチ間のメサ領域よりもトレンチの下の領域においてより一層基板内へ広がることとなる。メサの下の領域に於いて、NBLはエピタキシャル層内へとトレンチに向かって広がり、トレンチ間のメサ領域とオーバーラップすらしている。図21Cでは、NBLの形状はトレンチのそれに従い、トレンチの下部の厚い酸化層の間のメサの領域はドープされている。このような形状はトレンチ形成の中間段階のどこかでイオン注入によって形成することができ、例えば厚い底部酸化物被着の後、ゲートポリシリコンによるトレンチの再充填の前や、或いはポリシリコンの再充填及びエッジバックの後、第2のポリシリコン層の被着の前に行うことができる。
【0082】
SSAトレンチDMOSFETの製造は、図22のフローチャートにその概要が示されている。含まれる主要なブロックは以下に関連する:
・ドレインの形成
・SSAトレンチの形成
・ゲートの形成
・ボディの形成
・ゲートバス/ポリシリコンダイオードの形成
・SSAソース/メサの形成
・SSAコンタクトの形成
・P+ボディコンタクトの形成(オプション)
・金属コンタクトの形成
図22のフローチャートは、各構造的要素を形成するために用いられる過程を一連のラベル付けされた長方形として詳しく示している。角が切り取られた長方形に示されている過程はオプションとして行い得るものであり、特定の実施例においてある構造的な機構が必要とされない場合には省略しても良い。矢印による複数の経路は、オプションとして実行されるプロセスのフローを示す。尚、説明するフローは類似の構造要素を生成する他のシーケンスを排除するものではなく、限定的に介されるべきではない。
【0083】
このプロセスシーケンスで生成されるSSAトレンチMOSFETの断面図が図23に示される。示されたデバイスはNチャネルSSAトレンチDMOSであるが、N型ドーパントをP型に置き換えP型ドーパントをN型に置き換えることでSSA Pチャネルデバイスを形成することも可能である。好適な実施例ではこのプロセスは低熱収支(budget)製造シーケンスであるので、Pチャネルデバイスを生成するために拡散サイクルを大幅に変更する必要はない。
【0084】
図23は、アクティブセルアレイ260、ゲートバス領域270、ポリシリコンダイオード領域280、及びエッジ終端領域290を含むデバイス250の重要な特徴を表している。この図は、様々な領域間の空間的関係はデバイスレイアウトに基づいて変化し得るし、またデバイスはどの断面線が選択されるかによって様々な組み合わせで現れ得るという意味で、説明のための模式的なものである。図23の目的は、そのようなデバイスの製造について説明するために、単一の図面に様々な領域を図示することである。
【0085】
アクティブセルアレイ260に於いて、複数のトレンチゲートセグメント262が、埋め込みポリシリコンゲート264を含むアレイまたはグリッドを形成している。ポリシリコンゲート264は、チャネル領域263に隣接した側壁上に薄い酸化層部分266を備え、ポリシリコンゲート264の上にはより厚い酸化層部分268が設けられている(ゲートとその上に位置するソース金属層269を電気的に隔離するため)。また、好適実施例に於いては厚いゲート酸化物層部分261がトレンチの底に配置される。埋設されたポリシリコンゲート264は、Pとラベル付けされたボディ領域の底部よりも下まで、エピタキシャルドレイン物質267へ向かって延在している。エピタキシャルドレイン物質267は、均一にドープされていても、或いはトレンチ近辺が最も低濃度にドープされるように濃度が徐々にまたはステップ状に変化していてもよい。或いは、図示されているように、注入により形成された埋め込み層265を含んでいてもよい。埋め込み層265は、その中央部分(X方向に沿うように垂直な向き)が、エピタキシャル層267とN+基板300の間の境界の近くに配置されないのでインプラント層と同定することができる。
【0086】
N+ソース領域302は、トレンチセグメント間に形成されたメサに渡って広がり、トレンチ毎に、バリア金属サンドイッチ303(Ti/TiNまたはWなど)と接触している。バリア金属は、高温でシリコンメサと反応してシリサイドを形成することもできる。バリア金属は厚いソース金属層269で覆われており、ソース金属層は、好適には、純アルミ(Al)、1%の銅を含むアルミニウム(AlCu)、1%の銅及び1%のシリコンを含むアルミニウム(AlCuSi)、或いは純粋な銅とすることができる。ボディコンタクトは、図19A−19Fの構造に基づき、ストライプに沿ってアレイ全体またはアレイのエッジのいずれかにN+が配置されない浅いP+ドープ領域を周期的に設けることによって実現される。
【0087】
ゲートバス領域270は、トレンチ271に埋め込まれ且つ金属層273を備えた上面へと延在する高濃度にドープされたポリシリコン部分を備えたゲート272を有し、ゲートバスまたはゲート結合パッドエリアとして機能し得る。トレンチの外のポリシリコン層278は窒化物層274の上に位置し、窒化物層274の下には薄い酸化層275が設けられている。ポリシリコンはそのエッジに於いて酸化され、構造全体は上に位置する別の窒化物層276、295で封止されている。
【0088】
ポリシリコンダイオード領域280は、ダイオード領域280にあるポリシリコン層278の一部が、Pアノード注入によって適度にドープされ且つN+ソース注入で選択的にカウンタードープされて一連のダイオード288を生成するようにされているのを除いて、ゲートバスと同じ構造を含んでいる。ゲートバスもしくはポリシリコンダイオード構造において表面に沿って横方向に延在するポリシリコン(例えば278層)は、終端領域290を除いて、その下にPボディ接合を有する。ポリシリコンゲート272及びポリシリコン層278は、コンタクトウィンドウに限定されたTi/TiNバリア金属281が間に介在するようにして、金属層269及び273によって接触されている。アクティブアレイ260とは異なり、ポリシリコン層278に接触するためのコンタクトウィンドウ281の開口は、封止用窒化物層276及び薄いポリシリコン酸化物283を貫通するようエッチングを行うコンタクトマスクによって定められる。一連のポリシリコンダイオード288は、一般に、一端においてソース金属層269に、他端においてポリシリコンゲート272に電気的に接続される。ポリシリコンゲート272及びポリシリコン層278のN+部分は、金属層(図示せず)によって若しくはトレンチに埋設されたN+ポリシリコンを介して、例えばアクティブ領域260のゲート264のような他のポリシリコンゲート領域に接続される。
【0089】
外側の終端領域290は、窒化物層274及び酸化物サンドイッチ275の上に位置し、p−ボディ292を越えて延在するポリシリコンフィールドプレート291(ポリシリコン層278の一部及びポリシリコン電極293の延長部)を含む。ポリシリコン電極293/フィールドプレート291は、ゲートもしくはソース電位のいずれかにバイアスされる。ポリシリコン電極293/フィールドプレート291に対するコンタクトは、ソース金属層269を通じて設けられる。もし、ソース金属層269がポリシリコン電極293/フィールドプレート291から分離されている場合には、代わりに、ストラップ状の金属層273を介してポリシリコン電極293/フィールドプレート291をゲート電極272に電気的に短絡することもできる。デバイスがオフ状態にバイアスされるとき、パワーMOSFETのゲート及びソースは通常互いに短絡されているので、ゲート272及びフィールドプレート291の動作は同じである。オン状態に於いてソース電位より上にゲートをバイアスすることは、フィールドプレートの動作を大きく変えない。従って、フィールドプレートは全てのゲートバイアス状態に於いて終端のタスクを実行し得る。
【0090】
ドレイン電位にバイアスされる第2のポリシリコン電極294及び第2のフィールドプレート299はデバイスの外側エッジを取り囲むとともに、ボディ接合に向かって横に広がり、ソースフィールドプレート291との間に横方向ギャップを形成するように広がりが止まっている。ギャップは窒化物295によって充填される。また窒化物295はポリシリコンフィールドプレート291及び299をシール及び封止し、薄い酸化物サンドイッチ275を保護する。外側ポリシリコン電極294及びフィールドプレート299は、金属269を介してデバイスの外側エッジに短絡され、ダイエッジにおいてN+コンタクト297によってエピタキシャル層267の一部に接続されている。即ち、ドレイン電位に接続されている。代わりに、第2のフィールドプレート299がチップの外部エッジに向かって、スクライブ(scribe)ライン領域へと延在し、そこでチップを切断するのに用いられるソーがフィールドプレート299を切断し、それによってそれをドレインに短絡させるようにしてもよい。
【0091】
アクティブデバイス領域にドーパントを導入するために製造シーケンスは数多く存在するが、本発明の主たる構造的特徴は窒化物層274によって定められるような、そのSSA(スーパー自己整列)にある。プロセスの流れは図24A−24Qに定められる。
【0092】
ドレインの形成
図24Aに示すように、プロセスはN+基板300によって開始され、エピタキシャル(EPI)層267が既知の方法でその上に成長させられる。図24Bに示されるように、応力緩和酸化層275が形成され、ブランケット埋め込み層265が注入される。これらのステップはアクティブアレイ領域260、ゲートバス領域270、ポリシリコンダイオード領域280、及びエッジ終端領域290に対して同じである。NBL注入のエネルギーは、デバイスのBVDSSをプログラムするべく調整することができる。表2は典型的なプロセスのパラメータを示す。記号B、P、及びP++は、各々一価にイオン化されたボロン、一価にイオン化されたリン、及び二価にイオン化されたリンを表す。
【0093】
【表2】
Figure 0004180800
【0094】
トレンチの形成
ゲートトレンチの構成は、窒化物層274のハードマスクまたはエッチング工程に耐久し得るその他の誘電体を用いたトレンチのエッチング、若しくはフォトマスク定義を含む。窒化物層274は化学蒸着(CVD)を用いて被膜され、その浸食の防止を助けるために薄い酸化層でキャップ(cap)される。窒化物層274若しくはその他の誘電体は、後のプロセス中、酸化物に対し良好なドライエッチング選択性を有する。図24Cは窒化物層274の被着を表す。酸化物と比較しそのような選択性を示す他のあらゆる誘電体を用いることもできる。
【0095】
図24Dは、アクティブなアレイ領域260に於けるトレンチセグメント262を形成するべく、細かなライン及びスペースを備えたトレンチマスキングステップを表す。フォトレジスト層320が窒化物層274上に被着され、既知のフォトレジストプロセスを用いてパターン化される(マスク1)。ゲートバス領域(図示せず)に於いてはバス当たり唯一1つ若しくは2つのトレンチがエッチングのために開かれ、末端領域290に於いて2つのトレンチが開かれ、ポリシリコンダイオード領域280に於いてトレンチは開かれない。トレンチのエッチングは、図24Eに於いて示されるようにRIEエッチャー(ポリシリコンのエッチングに一般的に用いられるものと同様の装置)を用いて行われる。窒化物若しくは酸化窒化物スタックがマスクとして機能するが、フォトレジスト層320はシリコントレンチエッチングの間、残したままでもよい。平均温度より高い温度によるフォトレジストの高度の焼付けにより(10−20℃従来の焼付けと比較して高い)、フォトレジストの架橋結合を促進することでフォトレジストをより硬くしてもよい。紫外線(UV)への暴露は同様の効果を有する。そのため、フォトレジストの急峻なプロフィールが、エッチングの間維持され得る。具体的には、それらのステップは、シリコントレンチエッチングの間窒化物の浸食を減少できる。その後フォトレジストは取り除かれる。典型的なプロセスのパラメータが、表3に示されている。
【0096】
【表3】
Figure 0004180800
【0097】
ゲートの形成
トレンチがエッチングされた後、トレンチは酸化され犠牲酸化層がダメージ除去を目的としてエッチングされる(図示せず)。図24Fに示されるように、その時、トレンチはゲート酸化物層266を形成するべく酸化される。好適な実施例に於いて、薄い酸化層261は最終的な側壁ゲート酸化層266が成長するより前に、トレンチの底面に形成される。厚い底面酸化プロセスの一例は、図25に関して以下で述べられる。図24Fを再び参照し、第一のポリシリコン層322がその時被膜され、好ましく、低い抵抗値を有するようにin situで同時にドープされ、それは好ましくはN−チャネルデバイスにはリンで、またP−チャネルデバイスには硼素で行われる。その代わりに、ポリシリコン層322がドープされず被膜されてもよく、またそれに1×1015から7×1015の量、60〜100KeVのエネルギーでリンを注入し、その時10分から2時間に渡り900℃〜1100℃の温度でアニールすることができる。
【0098】
図24Gに示すように、最初のポリシリコン層322はその時トレンチへとエッチバックされ、若しくは少なくとも窒化物層274の表面下にエッチバックされる。ポリシリコン層322は、このステップに於いて、完全にポリシリコンダイオード領域280より取り除かれる。図24F及び24Gに示されたステップの、プロセスのパラメータは表4に示される。
【0099】
【表4】
Figure 0004180800
【0100】
厚い底面酸化物プロセスが採用された、または酸化物ハードマスクがトレンチのエッチングに先立って採用された場合では、酸化物層がポリシリコンのエッチバックの後、窒化物層274の上部に残っていてもよい(図24Gには示されていない)。この酸化物は、好適には後続のイオン注入に先立って、このステップで取り除かれる。酸化物エッチング間、ゲート酸化物をエッチングしないように、若しくはゲート酸化物にダメージを与えないような保護が図られ得る。
【0101】
ボディの形成
図24Hに於いて示されるように、ボディ領域Pは窒化物層274を介して次に導入される。硼素は小さなイオンであるので、それは好適なP型ドーパントである。硼素は、容易に窒化物層274に貫通するので、エピタキシャル層267に深く注入し、長いドライブイン拡散を必要とせずに単独でのイオン注入よりボディドーピングプロファイルを形成することができる。最終的なプロファイルがイオン注入された場合は、末端領域290への注入をブロックするために必要とされるフォトレジスト層324は、通常、MeVレベルの注入をブロックするべく1〜3μmを超えるように、十分に厚くしなければならない。8×1012cm−2から8×1013cm−2の量、800keVから3MeVの幅の硼素注入物が推奨される。ブロックを必要とするのは末端領域のみであることから、フォトレジスト層324のフィーチャーのサイズは問題ではない。その上、ボディ注入はサイクルに於いて後に導入されてもよいが、しかしこのステージに於ける注入は結果的にボディ−ドレイン接合が規則的であるという利点を有しており、あらゆる局所的に制限した接合ブレークダウン問題を避ける。
【0102】
従来の拡散型に於けるボディ注入は、1×1013〜1×1014cm−2の幅の量、60〜100keVのエネルギーであった。この浅い注入はその時6〜15時間に渡る1050℃〜1150℃のドライブイン拡散を伴い、典型的には1.7μmの接合深さとなる。典型的なプロセスのパラメータの更なる情報が表5に与えられる。
【0103】
【表5】
Figure 0004180800
【0104】
或いは、ボディ領域を形成するべく“連鎖注入”技術が用いられてもよい。例えば連続する“連鎖”硼素注入、が7×1012cm−2の量で、各々1MeV、700keV、525keV、375keV、225keV、及び125keV、のエネルギーで実行されてもよい。異なる実施例に於いて異なった量及びエネルギーが用いられてもよく、更に1つより多い量が1つのデバイスに於いて用いられることもできる。このプロセスは、図26Bで示されている通常型のドーパントプロファイルを生成する。(ここで、4つの“連鎖”注入が示されている。)そしてそれが、図26Aに示されている単一の注入ボディの従来のドーパントプロフィールと比較され得る。連鎖注入法は、所与のしきい値電圧値について、より高い総合的ボディ電荷を有し、より均一なボディドーピング濃度及び(ボディ−ドレイン接合に於いて)より急勾配な濃度グラジエントを提供し、それによって、パンチスルーブレークダウン(punchthrough breakdown)に対するデバイスの耐性を向上させる。この技術はまた、ソース−ボディ接合の深さが、従来方式の拡散ボディプロセスで形成されたDMOSデバイス中で行われるように、デバイスのしきい値電圧に影響を与えるということがないという利点を有している。ボディ−ドレイン接合は、従来方式の拡散ボディMOSFETと同様の深さで目標とされてもよい。最大注入エネルギーが、窒化物を貫通させ、また所望の深さで接合を設けるべく選択される。ポリシリコン層322が、上述されたエッチバック過程に於けるメサを超えて取り除かれたので、メサ領域に向かう注入は厚い第一ポリシリコン層322を貫通する必要がない。
【0105】
ゲートバス/ダイオード形成
ゲートバス及びポリシリコンダイオードは、図24Iに於いて示されるように全てのデバイスエリアにかけて被着された第2の被着ポリシリコン層278に於いて形成され、ポリシリコン層322の露出残存部分と接触する。ポリシリコン層278は、例えばダイオード注入もしくはソース注入のような連続する注入物によって容易にカウンタードープされ得るべく、ドープされないかもしくは軽くドープされて被膜される。界面の酸化物は、ポリシリコン層322及び278の間には存在し得ない。ポリシリコン層278は、ポリシリコンダイオード中のPN接合のアノードを形成するべくホウ素でブランケット注入される。
【0106】
図24Jに於いて示されるように、追加的な薄い酸化層328がその時ポリシリコン層278の上面に形成され、窒化物層330が化学蒸着によって被膜され「ポリマスク」でパターン化される(図示せず)。パターン化された窒化物は、ポリシリコンに対するコンタクトを可能にするように、ポリシリコンがトレンチから、表面のどの部分に現れるかを決定するマスクフィーチャーであることから、“ポリマスク”と呼ばれる。ポリシリコン層278が、どこでドレイン及びダイオード領域中のゲートバス及びフィールドプレートを画定するべく、フィールド酸化物上に位置するのかを決定するのもポリマスクである。ポリマスクが透明(正のフォトレジストを仮定)である場合、窒化物層330それゆえにポリシリコン層278がエッチバックにあてられ、それによってポリシリコンが表面より取り除かれても良く、トレンチにエッチバックされても良い(すなわち埋め込まれる)。結果として、窒化物層330は活動化アレイ領域260において取り除かれ、しかしゲートバス領域270及びポリシリコンダイオード領域280を保護したままとなる。末端領域290に於ける2つの領域は、窒化物層330、ソースフィールドプレート291のための1つ、またドレインフィールドプレート299のための他方によって保護されたままとなる。窒化物層330は2つの役割を提供する。第1にポリシリコン層278がエッチバックされない場所を定め、第2としてポリシリコンバス278、ソース及びドレインフィールドプレート291、299、及びポリシリコンダイオード領域280の連続的な酸化を防ぐ。
【0107】
図24Kに於いて示されるように、ポリシリコン層278は窒化物層274の底部を備えたレベルまで、露出領域においてエッチバックされる。窒化物層274は、活動化アレイ領域260に於ける全てのシリコンメサの上方及び末端領域290の中央部で露出する。図24I−24Kに於いて示されるステップのための、典型的なプロセスのパラメータが表6に於いて与えられる。
【0108】
【表6】
Figure 0004180800
【0109】
ソース/メサ形成
図24Lに於いて示されるように、トレンチセグメント262に於けるポリシリコン層278の露出表面は、活動化アレイ中の酸化層268を形成するべく酸化される。ゲートバス領域270及び末端領域290に於けるポリシリコン層278の側面エッジは、すなわち窒化物層330によって外衣されていない露出エリアであるが、また酸化される。活動化アレイ260中のメサは、窒化物層274による酸化より保護され、またゲートバス270に於けるポリシリコン層278、ポリシリコンダイオード領域280、及び末端領域290は窒化物層330による酸化から保護される。
【0110】
次に、図24Mに於いて示されるように、窒化物層274が、プロセスの初期より、シリコンメサの上部で薄い酸化物層275を露出している活動化アレイ領域260より初めて除去される。窒化物層330はまた取り除かれ、ポリシリコンゲートバスの上部表面、ポリシリコンダイオード、及びポリシリコン層278の被膜の後成長した薄いポリシリコン酸化物328によってのみ覆われるフィールドプレート291及び299が残る。ポリシリコン層278はP型の不純物(図示せず)のブランケットアノード注入でドープされ、そしてポリシリコン層は、層278がin-situでドープされたポリシリコン層322と接触している部分を除きP型となり、或る程度の外方拡散が層278に向かって起こり得る。これら領域に於いて、高度にドープされたN+ポリシリコン層322の上方拡散が、ポリシリコン層278の上に重合されたドープされていない部分に対して、アノード注入によるP型ドーパント濃度よりも高い濃度までN型不純物でドープすることとなる。例えば、末端領域290に於いて、トレンチの直接的な上方にあるポリシリコン層278の一部がN+ドーパントの集中を表し、それに対してフィールドプレート291及び299に於けるポリシリコン層278の一部は、N+ソース注入(下にて記述)までP型として残り得る。
【0111】
フォトレジスト層332がその時用いられ、活動化アレイ領域260及びポリシリコンダイオード領域280のダイオードのカソードに於いて、N+ソース領域302を定める。フォトレジスト層332はまた、ゲートバス領域270及び末端領域290に於いて窒化物層274中でギャップを満たす。図24Nに示されるように、ゲートバス、ポリシリコンフィールドプレート291及び299、及びポリダイオードのカソードを含む全体構造はヒ素を注入される。フォトレジスト層332はその時取り除かれる。図24L−24Nに於いて示されるステップのための典型的なプロセスパラメータは表7に示されている。
【0112】
【表7】
Figure 0004180800
【0113】
SSAコンタクトの形成
ポリシリコンバス、ポリシリコンダイオード、及びポリシリコンフィールドプレート291及び299上の酸化物層328が薄いので、パシベーション窒化物層276はその時図24Oに示されるように化学蒸着を用いて被膜される。このことは、窒化物層276を解放し、また電気的に接触されるべき領域でポリシリコン層278(薄い酸化層328によってのみ外衣される)を露出させるコンタクトマスク(図示せず)によって追従される。活動化アレイ領域260に於いて、窒化物層276は完全に取り除かれる。浅いホウ素注入は次にブランケット注入、好適には低エネルギー及び低濃度のBFを用いて導入され、N+領域をカウンタードープしない。窒化物層276はまた、末端領域290に於いてフィールドプレート291及び299の間の領域を保護する。代わりに、ホウ素注入がフォトレジスト層として定められたフォトマスクを介して働いても良く、ボディコンタクトが形成される領域に限定されても良い(以下に述べる)。コンタクトは、ポリシリコンダイオードカソードに対してまたゲートバスに対して作られる。それらが窒化物層276の残存部分によって定められていないので、このステップはそれらの選択的なコンタクトのためにエリアを開放するコンタクトマスクによって達成される。コンタクトマスクが活動化アレイをカバーする場合、酸化物328はコンタクトウィンドウ内に於いてエッチングされ、次に活動化エリア内の窒化物の下部に残存する残留酸化物を取り除くべく、マスクが取り除かれ、ディップ(dip)が続いても良い。フォトマスクがポリシリコンダイオード領域280、エッジ末端領域290、活動化アレイ空間260に於いて開放フィーチャーを有する場合、トレンチ上で酸化物層のオーバーエッチングを引き起こさないように保護がとらえても良く、短絡を引き起こす。
【0114】
活動化コンタクト領域に於いて露出する薄い酸化物層328は、次にトレンチ中に埋設されたポリシリコンゲートの上部で酸化物層268を過度にエッチングすることなくディップオフ(dip off)される。図24P及び図24Qに於いて示されるように、バリア金属303がその時、活動化アレイ領域260に於けるメサのシリコン表面及びポリシリコン層278が露出される領域に於いて用いられる。図24O−24Qに於いて示されるプロセスのための典型的なパラメータが、表8に於いて示されている。
【0115】
【表8】
Figure 0004180800
【0116】
P+ボディコンタクトの形成
これは、P+注入領域が、(図24Oに於いて示されるように)全てのコンタクトに設けられのではなく、マスクによって選択されることを特徴とする追加的なプロセスである(図示せず)。このことは、より高いドーズ量の注入を可能する。マスクは、P+ドーパントが、ボディが接触されるエリア以外のトレンチの側壁に沿ったチャネル領域に入り込むのを阻止するはずである。表9はこのオプショナルなステップのための複数のプロセスの変数を表す。
【0117】
【表9】
Figure 0004180800
【0118】
上部金属の形成
金属層269のデポジション及びパターン化が、製造を完了する。窒化物層276が末端及びポリシリコンゲートバスを被膜保護するので、パシベーションマスクは必要とされない。金属層269のためのプロセス変数が表10に示されている。
【0119】
【表10】
Figure 0004180800
【0120】
図25A−25Cは、トレンチの底面上の厚い酸化層を形成するための1つの方法の過程を表している(図24F参照)。トレンチ262がエッチングされた後、図24Eに示されるように、エッチングプロセスに起因するシリコンに対するダメージを修復するべく、犠牲ゲート酸化物層352が熱プロセスによってトレンチの底面及び側壁上に形成される。酸化物層352はその時取り除かれる。酸化物は、その時、図25Aに示されているようにトレンチ262を満たし窒化物層274を越える部分まで化学蒸着によって垂直方向に被膜される。結果として酸化物層350が出来る。酸化物層350は、次に図25Bに示されるように、それら残存物全てが、トレンチ262の底部に於ける厚い酸化物層261を成すまでエッチングバック(etch back)される。薄い酸化物層266はその時熱プロセスによってトレンチ262の側壁に於いて成長する。表4に示されるように、ゲート酸化物層266は典型的には70から700Åの薄さである。
【0121】
本発明のその他の側面によると、例えば図8B及び図8Cに於いて示されるような金属ステップカバレージ問題を導き出すような狭いメサを備えたコンタクトマスクの組み合わせに関連する諸問題は、複数の追加的技術の1つを用いて克服され得る。これらの技術は、図12Aに於いて示される構造が製造できるようにするが、「大きな」コンタクトの横方向のサイズは、図13に於ける領域II中のデバイスもしくは、本明細書中に記載されたSSA技術に関連して、領域Iに於けるデバイスを提供するように、縮小される。
【0122】
図27Aは、酸化物層400がコンタクトの幅δN+よりも厚い幅を有しているにもかかわらず、メサを備えたコンタクトがミクロン以下の厚さを有することを特徴とするトレンチMOFSETを表している。この構造は、高圧で、通常は数回の大気圧(例えば1.2−4気圧)で、金属層(例えばアルミニウム)の被着を実行することによって製造され得る。高い圧力は、コンタクトウィンドウへと、金属イオン(典型的にはアルミニウムもしくは銅)を押し込むのを助け、それによって図8B及び8Cに於いて示されるようにノッチ及びボイドを生じる確認(confirmal)被着特性を避ける。例えば、アルミニウム−銅−シリコンの被着は、大気圧よりも高い圧力ではあるが、通常の使用と同一な条件で働いても良く、改善されたステップカバレージを生じる。例えば、2気圧で250℃のウエハ温度に於いて、ステップカバレージが大気圧の場合と比較して良好である。
【0123】
図27Bに於いて示されるように、厚い金属層402の高圧デポジションに、バリア層404の形成を組み合わせても良い。Ti及びTiNのサンドイッチのようなバリアが用いられる場合、被着は例えば400℃を超える金属(例えばアルミニウム)の溶解温度に接近した高い温度で行っても良く、それでも、ゲート酸化物層の質を損なうような結晶欠陥や、N+ソース領域(即ちP−ボディ)を、ゲート電極に短絡させ得るような金属“スパイク”を発生する原因となるように、層402に於ける金属が、バリア金属と合金を作り或いは、焼結するようことがない。温度が充分に高い(例えば400から450℃)場合、被着は大気圧で行い得る。被着は、スパッタリング、蒸着、化学蒸着、(CVD)もしくはプラズマエッチング化学蒸着(PECVD)によって働き得る。
【0124】
図27C及び図27Dに於いて示されるように、コンタクトウィンドウはタングスタンもしくは銅のようなその他の物質で満たされても良く、その時、金属層408の上部とバリア金属404とを相互接続させるプラグ406を形成するべく既知の方法を用いて平面化される。図27Cに於いて示されるデバイスは、コンタクトマスクを含むプロセスの流れを用いて形成される。酸化層400は被着され、マスクされ、コンタクト開口を形成するためにエッチングされる。点線は酸化されたゲートポリシリコンより生じる酸化物と被膜された酸化層400との間の境界を表す。
【0125】
図27Dに於いて示されているデバイスは本発明のSSAプロセスを用いて形成される。Borophosphosilicateガラス(BSPS)のようなガラスの層412が、SSA構造上を流され、ガラス層412中のコンタクト開口414を画定するべくコンタクトマスクが、開口には、プラグ406を形成する金属が満たされる。ガラス層412は、ポリシリコンの埋設されたゲートの酸化表面上部に被着される。即ち上部酸化物である。層413は、SSAプロセスに於いて用いられる窒化物層より残る窒化物である。SSAフローに於けるコンタクトマスク及び介在ガラスの使用の理由は、主として、ソース金属及び埋設されたトレンチゲートの上部間の結合キャパシタンスを減少させることである。
【0126】
図28A−28Dは本発明のこの側面によるデバイスの形成のためのプロセスの順序を表している。図28Aに於いて、SSAプロセスが完了した後トレンチMOSFETがガラス層420によってコートされ、それは例えばborophosphosilicateガラス(BPSG)であってもよく、相対的に平坦な上部表面を有する。図28Bに示すように、デバイスはその時コンタクト開口422を形成するべくマスクされエッチングされ、また追加的なバリア金属層424がN+ソース領域の表面上で被着される。図28Cに示すようにタングステンのような金属の層428がコンタクト開口422を満たすのに用いられ、層428がガラス層420の表面上のレベルまで広がる。タングステン層428のためのデザインの規則は、図9B及び9Cに於いて示される、トレンチの充填に用いられるポリシリコンのそれと類似する。次に図28Dに示されるように、タングステンの層428が、化学−機械的研磨法を用いてエッチングバック或いは平坦に研磨され、また金属層430により層428を被覆する。タングステン層428は、金属層430がガラス層420によって形成されたステップ上に広がらなくても良いように、平坦な表面を提供する。
【0127】
以上図示された実施例を説明したが、本発明はこれに限定するものではなく、本発明の原理に従った別の実施例も、本技術分野に於ける当業者であるならば容易に理解できよう。
【図面の簡単な説明】
【0128】
【図1】 従来のバーティカルトレンチDMOSFETの断面図を例示している。
【図2】 従来のバーティカルトレンチDMOSFETの断面図を例示したものであり、デバイスの抵抗要素を示している。
【図3A】 バーティカルトレンチDMOSFETの断面図を例示したものであり、エピタキシャルドレインの徐々に広がる導電部による抵抗(spreading resistance)を改善するの上でのセル密度の寄与を示している。
【図3B】 バーティカルトレンチDMOSFETの断面図を例示したものであり、エピタキシャルドレインの徐々に広がる導電部による抵抗(spreading resistance)を改善するの上でのセル密度の寄与を示している。
【図4A】 様々なトレンチDMOS構造の平面及び断面図を例示している。本図はストライプ構造を示している。
【図4B】 様々なトレンチDMOS構造の平面及び断面図を例示している。本図は正方形セル構造を示している。
【図4C】 様々なトレンチDMOS構造の平面及び断面図を例示している。本図は正方形のコーナーブロックを伴う正方形セル構造を示している。
【図4D】 様々なトレンチDMOS構造の平面及び断面図を例示している。本図は六角形セル構造を示している。
【図5A】 従来のトレンチDMOSFETのメサに対するデザインルールを例示している。本図はトレンチへのコンタクトのデザインルールを示している。
【図5B】 従来のトレンチDMOSFETのメサに対するデザインルールを例示している。本図はソースへのコンタクトのデザインルールを示している。
【図5C】 従来のトレンチDMOSFETのメサに対するデザインルールを例示している。本図はボディへのP+コンタクトを示している。
【図5D】 従来のトレンチDMOSFETのメサに対するデザインルールを例示している。本図はゲート・ソース間短絡の例を示している。
【図5E】 従来のトレンチDMOSFETのメサに対するデザインルールを例示している。本図は接触がなされていない又は接触が不十分なソースの例を示している。
【図5F】 従来のトレンチDMOSFETのメサに対するデザインルールを例示している。本図は接触がなされていないボディの例を示している。
【図6】 コンタクトマスクの特徴を備え且つN+ソースが隣り合うトレンチの間のメサ全体に渡って延在する従来のストライプ型トレンチDMOSFETの断面図を例示している。
【図7A】 コンタクトマスクを備えた“階段状(ladder)”ソーストレンチDMOSの断面図である。
【図7B】 コンタクトマスクを備えた“階段状(ladder)”ソーストレンチDMOSの平面図である。
【図7C】 コンタクトマスクを備えた“階段状(ladder)”ソーストレンチDMOSの斜視図である。
【図8A】 従来のトレンチDMOSFETの断面図であり、同形の(conformal)薄い金属層によるステップカバーレッジ問題(step coverage problem)を示している。
【図8B】 従来のトレンチDMOSFETの断面図であり、厚い金属層によるステップカバーレッジ問題を示している。
【図8C】 厚い金属層における鍵穴問題を図示している。
【図9A】 従来のトレンチDMOSFETにおけるポリシリコンゲートバスを覆う金属層のステップカバーレッジ問題を示す断面図である。
【図9B】 従来のトレンチDMOSFETにおけるゲートトレンチの交差を示す平面図である。
【図9C】 トレンチDMOSFETにおける補充ポリシリコンの最小厚さを示している。
【図10A】 等価なバーティカルMOSFETセル密度をメサの幅の関数として示したグラフである。
【図10B】 等価なバーティカルMOSFETセル密度をセルピッチの関数として示したグラフである。
【図11A】 トレンチ−ゲート型MOSFETにおけるスーパー自己整列(SSA)ソースコンタクトを形成するプロセスシーケンスの過程を示す断面図である。
【図11B】 トレンチ−ゲート型MOSFETにおけるスーパー自己整列(SSA)ソースコンタクトを形成するプロセスシーケンスの過程を示す断面図である。
【図11C】 トレンチ−ゲート型MOSFETにおけるスーパー自己整列(SSA)ソースコンタクトを形成するプロセスシーケンスの過程を示す断面図である。
【図11D】 トレンチ−ゲート型MOSFETにおけるスーパー自己整列(SSA)ソースコンタクトを形成するプロセスシーケンスの過程を示す断面図である。
【図11E】 トレンチ−ゲート型MOSFETにおけるスーパー自己整列(SSA)ソースコンタクトを形成するプロセスシーケンスの過程を示す断面図である。
【図12A】 従来のコンタクトマスクを用いて製造されたMOSFET(図12A)SSAプロセスを用いて製造されたMOSFET(図12B)とを比較して示す断面図である。
【図12B】 従来のコンタクトマスクを用いて製造されたMOSFET(図12A)SSAプロセスを用いて製造されたMOSFET(図12B)とを比較して示す断面図である。
【図12C】 SSAプロセスを用いて製造されたが、トレンチ上にコンタクトマスクを用いて形成された酸化物を備えたMOSFETを示している。
【図13】 バーティカルDMOSセル周縁比A/Wをメサの幅の関数として示したグラフである。
【図14】 バーティカルDMOSセル周縁比A/Wをセル密度の関数として示したグラフである。
【図15A】 SSAトレンチDMOSFETの様々な実施例の断面図である。本図はメサ全体に形成されたN+ソースを示しており、P−ボディは第3次元においてコンタクトされている。
【図15B】 SSAトレンチDMOSFETの様々な実施例の断面図である。本図は、図15Aに示したのと同様の実施例を示しているが、MOSFETが深いクランピングダイオードを含んでいる点が異なる。
【図15C】 SSAトレンチDMOSFETの様々な実施例の断面図である。本図は、図15Bに示したのと同様の実施例を示しているが、MOSFETが比較的浅いクランピングダイオード含んでいる点が異なる。
【図15D】 SSAトレンチDMOSFETの様々な実施例の断面図である。本図はソース金属がP+ボディコンタクトと接触しており、クランピングダイオードが含まれていない実施例を示している。
【図16A】 アバランシェブレークダウンの発生においてトレンチコーナーで起こる衝突イオン化の様子を例示した断面図である。
【図16B】 ブレークダウン電圧BVDSSをゲート酸化層の厚さの関数として示したグラフである。
【図17A】 トレンチゲート型DMOSFETにおけるゲート・ドレイン間の寄生容量(CGD)、ゲート・ボディ間の寄生容量(CGB)及びゲート・ソース間の寄生容量(CGS)を示したグラフである。
【図17B】 ゲート電圧Vをゲート電荷Qの関数として示したグラフである。
【図18】 “階段状”P+ソース−ボディデザインを有し且つ厚い底部酸化層をトレンチ内に有するストライプ構造のSSAトレンチDMOSFETの斜視図である。
【図19A】 様々なソース−ボディデザインの平面図である。図19Aは“波状の(corrugated)”P+ボディコンタクト領域を示しており、ここでは連続したN+ソースが設けられている。
【図19B】 様々なソース−ボディデザインの平面図である。図19Bは周期的にP+ストラップが設けられた波状のP+ボディコンタクト領域を示している。
【図19C】 様々なソース−ボディデザインの平面図である。図19CはN+ソースの“島”を備えた連続したP+ボディコンタクト領域を示している。
【図19D】 様々なソース−ボディデザインの平面図である。図19Dは、“竹状(bamboo)”階段型構造(N+領域とP+領域が交互に現れる)を示している。
【図19E】 様々なソース−ボディデザインの平面図である。図19EはP+ボディコンタクト“窓”が設けられた連続したN+ソース領域を示している。
【図19F】 様々なソース−ボディデザインの平面図である。図19Fは周期的なP+“ストラップ”と交互に現れるP+ボディコンタクト“窓”を示している。
【図20A】 トレンチゲート型MOSFETのゲート・ソース間の電圧クランピングのために設けられるポリシリコンダイオード構造の回路図を例示している。
【図20B】 トレンチゲート型MOSFETのゲート・ソース間の電圧クランピングのために設けられるポリシリコンダイオード構造の回路図を例示している。
【図20C】 ポリシリコンダイオード構造の断面図を示している。
【図20D】 トレンチゲート型MOSFETのゲート・ソース間の電圧クランピングのために設けられるポリシリコンダイオード構造の回路図を例示している。
【図21A】 エピタキシャル層の形成直後に注入により形成された(implanted)高濃度にドープされた埋め込み層の上に重なるトレンチの底に厚い酸化層が設けられたSSAトレンチDMOSFETの断面図である。
【図21B】 図21Aと似た実施例を示しているが、埋め込み層が、トレンチの形成後トレンチをゲート材料で埋める前に注入により形成されている点が異なる。
【図21C】 図21Aと似た実施例を示しているが、埋め込み層が、トレンチの形成後トレンチをゲート材料で埋める前に注入により形成されている点が異なる。
【図22】 SSAトレンチDMOSFETを製造するためのプロセスフローを示す図であり、いくつかの変形例を含んでいる。
【図23】 アクティブセルアレイ、ゲートバス、ポリシリコンESDダイオード及びエッジ終端部を含むSSAトレンチDMOSFETの断面図である。
【図24A】 アクティブセルアレイ、ゲートバス、ポリシリコンESDダイオード及びエッジ終端部を含むSSAトレンチDMOSFETの製造プロセスを逐次的に示した断面図である。
【図24B】 アクティブセルアレイ、ゲートバス、ポリシリコンESDダイオード及びエッジ終端部を含むSSAトレンチDMOSFETの製造プロセスを逐次的に示した断面図である。
【図24C】 アクティブセルアレイ、ゲートバス、ポリシリコンESDダイオード及びエッジ終端部を含むSSAトレンチDMOSFETの製造プロセスを逐次的に示した断面図である。
【図24D】 アクティブセルアレイ、ゲートバス、ポリシリコンESDダイオード及びエッジ終端部を含むSSAトレンチDMOSFETの製造プロセスを逐次的に示した断面図である。
【図24E】 アクティブセルアレイ、ゲートバス、ポリシリコンESDダイオード及びエッジ終端部を含むSSAトレンチDMOSFETの製造プロセスを逐次的に示した断面図である。
【図24F】 アクティブセルアレイ、ゲートバス、ポリシリコンESDダイオード及びエッジ終端部を含むSSAトレンチDMOSFETの製造プロセスを逐次的に示した断面図である。
【図24G】 アクティブセルアレイ、ゲートバス、ポリシリコンESDダイオード及びエッジ終端部を含むSSAトレンチDMOSFETの製造プロセスを逐次的に示した断面図である。
【図24H】 アクティブセルアレイ、ゲートバス、ポリシリコンESDダイオード及びエッジ終端部を含むSSAトレンチDMOSFETの製造プロセスを逐次的に示した断面図である。
【図24I】 アクティブセルアレイ、ゲートバス、ポリシリコンESDダイオード及びエッジ終端部を含むSSAトレンチDMOSFETの製造プロセスを逐次的に示した断面図である。
【図24J】 アクティブセルアレイ、ゲートバス、ポリシリコンESDダイオード及びエッジ終端部を含むSSAトレンチDMOSFETの製造プロセスを逐次的に示した断面図である。
【図24K】 アクティブセルアレイ、ゲートバス、ポリシリコンESDダイオード及びエッジ終端部を含むSSAトレンチDMOSFETの製造プロセスを逐次的に示した断面図である。
【図24L】 アクティブセルアレイ、ゲートバス、ポリシリコンESDダイオード及びエッジ終端部を含むSSAトレンチDMOSFETの製造プロセスを逐次的に示した断面図である。
【図24M】 アクティブセルアレイ、ゲートバス、ポリシリコンESDダイオード及びエッジ終端部を含むSSAトレンチDMOSFETの製造プロセスを逐次的に示した断面図である。
【図24N】 アクティブセルアレイ、ゲートバス、ポリシリコンESDダイオード及びエッジ終端部を含むSSAトレンチDMOSFETの製造プロセスを逐次的に示した断面図である。
【図24O】 アクティブセルアレイ、ゲートバス、ポリシリコンESDダイオード及びエッジ終端部を含むSSAトレンチDMOSFETの製造プロセスを逐次的に示した断面図である。
【図24P】 アクティブセルアレイ、ゲートバス、ポリシリコンESDダイオード及びエッジ終端部を含むSSAトレンチDMOSFETの製造プロセスを逐次的に示した断面図である。
【図24Q】 アクティブセルアレイ、ゲートバス、ポリシリコンESDダイオード及びエッジ終端部を含むSSAトレンチDMOSFETの製造プロセスを逐次的に示した断面図である。
【図25A】 底部に厚い酸化層を有するトレンチを形成するためのプロセスを示す断面図である。
【図25B】 底部に厚い酸化層を有するトレンチを形成するためのプロセスを示す断面図である。
【図25C】 底部に厚い酸化層を有するトレンチを形成するためのプロセスを示す断面図である。
【図26A】 従来のMOSFETにおける不純物プロファイルを示している。
【図26B】 本発明の一側面に基づく連鎖的ボディ注入(chained body implant)を用いて形成されたMOSFETにおける不純物プロファイルを示している。
【図27A】 金属コンタクト層を形成するための高圧プロセスを用いて製造することのできるMOSFET構造を示している。
【図27B】 金属コンタクト層を形成するための高圧プロセスを用いて製造することのできるMOSFET構造を示している。
【図27C】 金属コンタクト層を形成するための高圧プロセスを用いて製造することのできるMOSFET構造を示している。
【図27D】 金属コンタクト層を形成するための高圧プロセスを用いて製造することのできるMOSFET構造を示している。
【図28A】 本発明に基づく別のMOSFETを形成するためのプロセスの過程を図示している。
【図28B】 本発明に基づく別のMOSFETを形成するためのプロセスの過程を図示している。
【図28C】 本発明に基づく別のMOSFETを形成するためのプロセスの過程を図示している。
【図28D】 本発明に基づく別のMOSFETを形成するためのプロセスの過程を図示している。

Claims (9)

  1. トレンチMOSFETを製造するための方法であって、
    アクティブアレイ領域、ゲートバス領域及びダイオード領域を含む、主面を有する半導体を提供する過程と、
    前記アクティブアレイ領域のアクティブトレンチを形成するべき部分に第1の開口を有し、前記ゲートバス領域のゲートバストレンチを形成するべき部分に第2の開口を有する第1のマスク層を前記主面上に形成する過程と、
    前記アクティブアレイ領域にアクティブトレンチを形成し、前記ゲートバス領域にゲートバストレンチを形成するために、前記第1のマスク層の前記第1及び第2の開口から前記半導体をエッチングする過程と、
    前記アクティブトレンチの側壁及び底部、並びに前記ゲートバストレンチの側壁及び底部に第1の酸化層を形成する過程と、
    前記アクティブトレンチ及び前記ゲートバストレンチへ充填され、かつ前記第1のマスク層が覆われるように、前記アクティブアレイ領域、前記ゲートバス領域及び前記ダイオード領域にポリシリコンを被着する過程と、
    前記ゲートバス領域及び前記ダイオード領域の前記ポリシリコン上に第2のマスク層を形成する過程と、
    前記アクティブアレイ領域の前記ポリシリコンの露出面が、前記第1のマスク層の表面よりも下側の面になるまで、前記アクティブアレイ領域の前記ポリシリコンをエッチングする過程であって、前記エッチングをする際、前記第2のマスク層が、前記ゲートバス領域及び前記ダイオード領域の前記ポリシリコンを保護する該過程と、
    前記第1のマスク層が残された状態で、前記アクティブアレイ領域の前記ポリシリコンの露出面を酸化させて、前記アクティブトレンチの上部に、第2の酸化層を、前記アクティブトレンチ内に向けて下向きに延出した態様で形成する過程と、
    前記アクティブアレイ領域の前記第1のマスク層を除去する過程と、
    前記第2のマスク層を除去する過程と、
    少なくとも1つの開口を有する第3のマスク層を前記ダイオード領域の前記ポリシリコン上に形成する過程と、
    前記第3のマスク層の前記少なくとも1つの開口から前記ポリシリコンにドーパントを注入することにより、前記ダイオード領域の前記ポリシリコンに少なくとも1つのPN接合ダイオードを形成する過程と、
    前記ゲートバス領域及び前記ダイオード領域にパシベーション層を被着する過程と、
    前記ゲートバス領域に第1のコンタクト開口を形成し、前記ダイオード領域に第2のコンタクト開口を形成するために、前記パシベーション層をエッチングする過程と、
    前記ゲートバス領域の前記第1のコンタクト開口内、前記ダイオード領域の前記第2のコンタクト開口内、並びに前記アクティブアレイ領域の前記第2の酸化層の表面及び前記半導体の前記主面上に金属層を被着する過程と、
    ゲート及びソース/ボディを形成するために、前記金属層をパターン化する過程とを有し、
    前記ゲートが、前記ゲートバス領域の前記ポリシリコンに電気的に接続し、
    前記ソース/ボディが、前記ダイオード領域の前記ポリシリコン及び前記アクティブアレイ領域の前記半導体の前記主面に電気的に接続することを特徴とする製造方法。
  2. 前記アクティブアレイ領域、前記ゲートバス領域及び前記ダイオード領域にポリシリコンを被着する前記過程が、
    前記アクティブトレンチ及び前記ゲートバストレンチ内に第1のポリシリコン層を導入し、それによって前記第1のマスク層を覆うようにする過程と、
    前記第1のポリシリコン層の露出面が前記第1のマスク層の前記表面よりも下側の面になるまで、前記第1のポリシリコン層をエッチングする過程と、
    前記第1のポリシリコン層上に第2のポリシリコン層を導入し、それによって前記第1のポリシリコン層及び前記第1のマスク層を覆うようにする過程とを有することを特徴とする請求項1に記載の製造方法。
  3. 前記第1のマスク層及び前記第2のマスク層のそれぞれが、シリコン窒化物からなることを特徴とする請求項1に記載の製造方法。
  4. 前記半導体と前記第1のマスク層との間に第3の酸化層を形成する過程を含むことを特徴とする請求項3に記載の製造方法。
  5. 前記半導体と前記第2のマスク層との間に第の酸化層を形成する過程を含むことを特徴とする請求項3に記載の製造方法。
  6. 前記第1の酸化層を形成する前記過程が、
    前記アクティブトレンチ内に第の酸化層を被着し、それによって前記第1のマスク層を覆うようにする過程と、
    前記アクティブトレンチの底部に底部酸化層を形成するために、前記第の酸化層を前記アクティブトレンチへエッチバックする過程と、
    前記アクティブトレンチの側壁に、前記底部酸化層の厚さよりも薄い厚さのゲート酸化層を形成する過程とを含むことを特徴とする請求項1に記載の製造方法。
  7. 前記半導体の前記アクティブアレイ領域に、第1の導電形式のボディ領域を形成する過程を含むことを特徴とする請求項1に記載の製造方法。
  8. 前記半導体の前記アクティブアレイ領域に第2の導電形式のドーパントを注入し、それによってソース領域を形成することを含むドーパントを注入する過程を含むことを特徴とする請求項7に記載の製造方法。
  9. 前記半導体が終端領域を含み、
    前記終端領域を形成するための過程が、
    前記終端領域の前記半導体の前記主面上に、第3及び第4の開口を有する第1のマスク層を形成することを含む、前記半導体の前記主面上に前記第1のマスク層を形成する過程と、
    前記終端領域に、第1及び第2の終端トレンチを、メサによって分離されるように形成するために、前記第1のマスク層の前記第3及び第4の開口から前記半導体をエッチングすることを含む、前記半導体をエッチングする過程と、
    前記第1及び第2の終端トレンチの側壁及び底部に第1の酸化層を形成することを含む、前記第1の酸化層を形成する過程と、
    前記終端領域の前記第1及び第2の終端トレンチ内へ充填され、かつ前記第1のマスク層が覆われるように、前記終端領域にポリシリコンを被着することを含む、前記ポリシリコンを被着する過程と、
    前記第1及び第2の終端トレンチ間の前記メサ上に開口を有する第2のマスク層を前記ポリシリコン層上に形成することを含む、前記第2のマスク層を形成する過程と、
    前記第1及び第2の終端トレンチ間の前記メサ上の前記開口から前記ポリシリコンをエッチングし、それによって前記ポリシリコンを、前記第1の終端トレンチに延在する第1セクションと、前記第2の終端トレンチに延在する第2セクションとに分離することを含む、前記ポリシリコンをエッチングする過程と、
    前記終端領域の前記第2のマスク層を除去することを含む、前記第2のマスク層を除去する過程と、
    前記第1及び第2の終端トレンチ間の前記メサ上の前記開口へ充填されるように、前記終端領域にパシベーション層を被着することを含む、前記パシベーション層を被着する過程と、
    前記ポリシリコンの前記第1セクションを露出させる第3のコンタクト開口と、前記ポリシリコンの前記第2セクションを露出させる第4のコンタクト開口とを形成するために、前記パシベーション層をエッチングすることを含む、前記パシベーション層をエッチングする過程と、
    前記第3及び第4のコンタクト開口へ充填されるように、前記終端領域に前記金属層を被着することを含む、前記金属層を被着する過程と、
    前記金属層のドレインを形成することを含む、前記金属層をパターン化する過程とを含み、
    前記ソース/ボディが、前記終端領域の前記ポリシリコンの前記第1セクションに電気的に接続し、
    前記ドレインが、前記ポリシリコンの前記第2セクション及び前記終端領域の前記半導体の前記主面に電気的に接続することを特徴とする請求項1に記載の製造方法。
JP2000614495A 1999-04-22 2000-04-21 オン抵抗が低減されたスーパー自己整列トレンチdmosfet Expired - Fee Related JP4180800B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/296,959 1999-04-22
US09/296,959 US6413822B2 (en) 1999-04-22 1999-04-22 Super-self-aligned fabrication process of trench-gate DMOS with overlying device layer
PCT/US2000/010770 WO2000065646A1 (en) 1999-04-22 2000-04-21 A super-self-aligned trench-gate dmos with reduced on-resistance

Publications (3)

Publication Number Publication Date
JP2002543587A JP2002543587A (ja) 2002-12-17
JP2002543587A5 JP2002543587A5 (ja) 2005-12-22
JP4180800B2 true JP4180800B2 (ja) 2008-11-12

Family

ID=23144273

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000614495A Expired - Fee Related JP4180800B2 (ja) 1999-04-22 2000-04-21 オン抵抗が低減されたスーパー自己整列トレンチdmosfet

Country Status (8)

Country Link
US (5) US6413822B2 (ja)
EP (1) EP1186023A4 (ja)
JP (1) JP4180800B2 (ja)
KR (1) KR100679538B1 (ja)
CN (3) CN100367478C (ja)
AU (1) AU4800100A (ja)
TW (1) TW494529B (ja)
WO (1) WO2000065646A1 (ja)

Families Citing this family (274)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6291298B1 (en) * 1999-05-25 2001-09-18 Advanced Analogic Technologies, Inc. Process of manufacturing Trench gate semiconductor device having gate oxide layer with multiple thicknesses
US7084456B2 (en) * 1999-05-25 2006-08-01 Advanced Analogic Technologies, Inc. Trench MOSFET with recessed clamping diode using graded doping
US6555895B1 (en) * 2000-07-17 2003-04-29 General Semiconductor, Inc. Devices and methods for addressing optical edge effects in connection with etched trenches
US6921939B2 (en) * 2000-07-20 2005-07-26 Fairchild Semiconductor Corporation Power MOSFET and method for forming same using a self-aligned body implant
US7745289B2 (en) * 2000-08-16 2010-06-29 Fairchild Semiconductor Corporation Method of forming a FET having ultra-low on-resistance and low gate charge
US6657256B2 (en) * 2001-05-22 2003-12-02 General Semiconductor, Inc. Trench DMOS transistor having a zener diode for protection from electro-static discharge
US7033876B2 (en) * 2001-07-03 2006-04-25 Siliconix Incorporated Trench MIS device having implanted drain-drift region and thick bottom oxide and process for manufacturing the same
US20060038223A1 (en) * 2001-07-03 2006-02-23 Siliconix Incorporated Trench MOSFET having drain-drift region comprising stack of implanted regions
US7291884B2 (en) * 2001-07-03 2007-11-06 Siliconix Incorporated Trench MIS device having implanted drain-drift region and thick bottom oxide
US7009247B2 (en) * 2001-07-03 2006-03-07 Siliconix Incorporated Trench MIS device with thick oxide layer in bottom of gate contact trench
GB0117949D0 (en) * 2001-07-24 2001-09-19 Koninkl Philips Electronics Nv Trench-gate semiconductor devices and their manufacture
US6566710B1 (en) * 2001-08-29 2003-05-20 National Semiconductor Corporation Power MOSFET cell with a crossed bar shaped body contact area
US7045859B2 (en) * 2001-09-05 2006-05-16 International Rectifier Corporation Trench fet with self aligned source and contact
GB0122122D0 (en) 2001-09-13 2001-10-31 Koninkl Philips Electronics Nv Trench-gate semiconductor devices and their manufacture
GB0125710D0 (en) * 2001-10-26 2001-12-19 Koninkl Philips Electronics Nv Transistor device
JP3701227B2 (ja) * 2001-10-30 2005-09-28 三菱電機株式会社 半導体装置及びその製造方法
US6838722B2 (en) * 2002-03-22 2005-01-04 Siliconix Incorporated Structures of and methods of fabricating trench-gated MIS devices
GB0208833D0 (en) * 2002-04-18 2002-05-29 Koninkl Philips Electronics Nv Trench-gate semiconductor devices
US7701001B2 (en) 2002-05-03 2010-04-20 International Rectifier Corporation Short channel trench power MOSFET with low threshold voltage
JP2004022700A (ja) * 2002-06-14 2004-01-22 Sanyo Electric Co Ltd 半導体装置
KR100473476B1 (ko) * 2002-07-04 2005-03-10 삼성전자주식회사 반도체 장치 및 그 제조방법
US8629019B2 (en) * 2002-09-24 2014-01-14 Vishay-Siliconix Method of forming self aligned contacts for a power MOSFET
US8080459B2 (en) * 2002-09-24 2011-12-20 Vishay-Siliconix Self aligned contact in a semiconductor device and method of fabricating the same
US6855985B2 (en) * 2002-09-29 2005-02-15 Advanced Analogic Technologies, Inc. Modular bipolar-CMOS-DMOS analog integrated circuit & power transistor technology
US7557395B2 (en) * 2002-09-30 2009-07-07 International Rectifier Corporation Trench MOSFET technology for DC-DC converter applications
US7504690B2 (en) * 2002-10-04 2009-03-17 Nxp B.V. Power semiconductor devices
US7141455B2 (en) * 2002-11-25 2006-11-28 Texas Instruments Incorporated Method to manufacture LDMOS transistors with improved threshold voltage control
FR2847593A1 (fr) * 2002-11-26 2004-05-28 St Microelectronics Sa Procede et dispositif de realisation d'une couche de pentoxyde de tantale sur un materiau porteur, en particulier du niture de titane, et circuit integre incorporant une couche de pentoxyde de tantale
US6861701B2 (en) * 2003-03-05 2005-03-01 Advanced Analogic Technologies, Inc. Trench power MOSFET with planarized gate bus
DE10316530A1 (de) * 2003-04-10 2004-11-18 Infineon Technologies Ag Halbleiterbauelement und Verfahren
TWI223448B (en) * 2003-04-29 2004-11-01 Mosel Vitelic Inc DMOS device having a trenched bus structure
GB0312512D0 (en) * 2003-05-31 2003-07-09 Koninkl Philips Electronics Nv Termination structures for semiconductor devices and the manufacture thereof
JP3906184B2 (ja) * 2003-06-11 2007-04-18 株式会社東芝 半導体装置およびその製造方法
DE10350684B4 (de) * 2003-10-30 2008-08-28 Infineon Technologies Ag Verfahren zur Herstellung einer Leistungstransistoranordnung und mit diesem Verfahren hergestellte Leistungstransistoranordnung
US7368353B2 (en) * 2003-11-04 2008-05-06 International Rectifier Corporation Trench power MOSFET with reduced gate resistance
DE10353387B4 (de) * 2003-11-14 2008-07-24 Infineon Technologies Ag Verfahren zur Herstellung einer Leistungstransistoranordnung und Leistungstransistoranordnung
US7279743B2 (en) 2003-12-02 2007-10-09 Vishay-Siliconix Closed cell trench metal-oxide-semiconductor field effect transistor
US7259411B1 (en) * 2003-12-04 2007-08-21 National Semiconductor Corporation Vertical MOS transistor
JP4059846B2 (ja) 2003-12-26 2008-03-12 Necエレクトロニクス株式会社 半導体装置及びその製造方法
JP4699692B2 (ja) * 2003-12-26 2011-06-15 ローム株式会社 半導体装置の製造方法および半導体装置
KR100529655B1 (ko) * 2003-12-31 2005-11-17 동부아남반도체 주식회사 반도체 장치의 게이트 산화막 형성 방법
US7405452B2 (en) * 2004-02-02 2008-07-29 Hamza Yilmaz Semiconductor device containing dielectrically isolated PN junction for enhanced breakdown characteristics
KR100574340B1 (ko) * 2004-02-02 2006-04-26 삼성전자주식회사 반도체 장치 및 이의 형성 방법
DE102004005774B4 (de) * 2004-02-05 2006-09-28 Infineon Technologies Ag Verfahren zur Herstellung von Gateelektroden in einem Feldplattentrench-Transistor sowie Feldplattentrench-Transistor
US7217976B2 (en) * 2004-02-09 2007-05-15 International Rectifier Corporation Low temperature process and structures for polycide power MOSFET with ultra-shallow source
JP4801323B2 (ja) * 2004-02-13 2011-10-26 富士通セミコンダクター株式会社 半導体装置の製造方法
GB0405325D0 (en) * 2004-03-10 2004-04-21 Koninkl Philips Electronics Nv Trench-gate transistors and their manufacture
US6927451B1 (en) * 2004-03-26 2005-08-09 Siliconix Incorporated Termination for trench MIS device having implanted drain-drift region
US7045857B2 (en) * 2004-03-26 2006-05-16 Siliconix Incorporated Termination for trench MIS device having implanted drain-drift region
US8183629B2 (en) * 2004-05-13 2012-05-22 Vishay-Siliconix Stacked trench metal-oxide-semiconductor field effect transistor device
US7268395B2 (en) 2004-06-04 2007-09-11 International Rectifier Corporation Deep trench super switch device
US7402863B2 (en) * 2004-06-21 2008-07-22 International Rectifier Corporation Trench FET with reduced mesa width and source contact inside active trench
JP2008505480A (ja) * 2004-06-30 2008-02-21 アドバンスト・アナロジック・テクノロジーズ・インコーポレイテッド 深い位置にクランプダイオードを備えたトレンチ型mosfet
US7102201B2 (en) * 2004-07-15 2006-09-05 International Business Machines Corporation Strained semiconductor device structures
US7352036B2 (en) 2004-08-03 2008-04-01 Fairchild Semiconductor Corporation Semiconductor power device having a top-side drain using a sinker trench
JP4913336B2 (ja) * 2004-09-28 2012-04-11 ルネサスエレクトロニクス株式会社 半導体装置
JP5135663B2 (ja) * 2004-10-21 2013-02-06 富士電機株式会社 半導体装置およびその製造方法
DE102004052643B4 (de) 2004-10-29 2016-06-16 Infineon Technologies Ag Verfahren zur Herstellung eines lateralen Trenchtransistors
US7371641B2 (en) * 2004-10-29 2008-05-13 International Rectifier Corporation Method of making a trench MOSFET with deposited oxide
US7501651B2 (en) * 2004-11-30 2009-03-10 Samsung Electronics Co., Ltd. Test structure of semiconductor device
FR2879024A1 (fr) * 2004-12-08 2006-06-09 St Microelectronics Sa Peripherie de composant unipolaire vertical
US9685524B2 (en) 2005-03-11 2017-06-20 Vishay-Siliconix Narrow semiconductor trench structure
JP4944383B2 (ja) * 2005-03-25 2012-05-30 ルネサスエレクトロニクス株式会社 半導体装置
US8022468B1 (en) * 2005-03-29 2011-09-20 Spansion Llc Ultraviolet radiation blocking interlayer dielectric
DE102005014743B4 (de) * 2005-03-31 2013-12-05 Infineon Technologies Austria Ag MOS-Feldplattentrench-Transistoreinrichtung
AT504998A2 (de) 2005-04-06 2008-09-15 Fairchild Semiconductor Trenched-gate-feldeffekttransistoren und verfahren zum bilden derselben
JP4955222B2 (ja) * 2005-05-20 2012-06-20 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7179717B2 (en) * 2005-05-25 2007-02-20 Micron Technology, Inc. Methods of forming integrated circuit devices
US20060273380A1 (en) * 2005-06-06 2006-12-07 M-Mos Sdn.Bhd. Source contact and metal scheme for high density trench MOSFET
US20060273384A1 (en) * 2005-06-06 2006-12-07 M-Mos Sdn. Bhd. Structure for avalanche improvement of ultra high density trench MOSFET
US20060273390A1 (en) * 2005-06-06 2006-12-07 M-Mos Sdn. Bhd. Gate contact and runners for high density trench MOSFET
US7786592B2 (en) 2005-06-14 2010-08-31 John Trezza Chip capacitive coupling
US7969015B2 (en) 2005-06-14 2011-06-28 Cufer Asset Ltd. L.L.C. Inverse chip connector
US20060278996A1 (en) * 2005-06-14 2006-12-14 John Trezza Active packaging
US20060281303A1 (en) * 2005-06-14 2006-12-14 John Trezza Tack & fuse chip bonding
US7215032B2 (en) * 2005-06-14 2007-05-08 Cubic Wafer, Inc. Triaxial through-chip connection
US8456015B2 (en) * 2005-06-14 2013-06-04 Cufer Asset Ltd. L.L.C. Triaxial through-chip connection
US7687400B2 (en) * 2005-06-14 2010-03-30 John Trezza Side stacking apparatus and method
US7838997B2 (en) * 2005-06-14 2010-11-23 John Trezza Remote chip attachment
US7851348B2 (en) * 2005-06-14 2010-12-14 Abhay Misra Routingless chip architecture
JP2007005657A (ja) * 2005-06-24 2007-01-11 Nec Electronics Corp 半導体装置及び半導体装置の製造方法
JP2007043123A (ja) * 2005-07-01 2007-02-15 Toshiba Corp 半導体装置
US8264717B2 (en) * 2005-07-11 2012-09-11 Ricoh Company, Ltd. Image forming apparatus, information processing apparatus, information processing method, information processing program and storage medium
JP4939012B2 (ja) * 2005-08-26 2012-05-23 ルネサスエレクトロニクス株式会社 半導体装置
US20070075360A1 (en) * 2005-09-30 2007-04-05 Alpha &Omega Semiconductor, Ltd. Cobalt silicon contact barrier metal process for high density semiconductor power devices
JP2007142087A (ja) * 2005-11-17 2007-06-07 Nec Electronics Corp 半導体装置
TWI489557B (zh) 2005-12-22 2015-06-21 Vishay Siliconix 高移動率p-通道溝槽及平面型空乏模式的功率型金屬氧化物半導體場效電晶體
US7544545B2 (en) 2005-12-28 2009-06-09 Vishay-Siliconix Trench polysilicon diode
EP1977450B1 (en) * 2006-01-18 2015-06-10 Vishay-Siliconix Floating gate structure with high electrostatic discharge performance
US7667265B2 (en) * 2006-01-30 2010-02-23 Fairchild Semiconductor Corporation Varying mesa dimensions in high cell density trench MOSFET
US8409954B2 (en) * 2006-03-21 2013-04-02 Vishay-Silconix Ultra-low drain-source resistance power MOSFET
US20070228463A1 (en) * 2006-04-03 2007-10-04 Jun Cai Self-aligned complementary ldmos
US8471390B2 (en) * 2006-05-12 2013-06-25 Vishay-Siliconix Power MOSFET contact metallization
US20070281460A1 (en) * 2006-06-06 2007-12-06 Cubic Wafer, Inc. Front-end processed wafer having through-chip connections
US20080042222A1 (en) * 2006-08-16 2008-02-21 Force Mos Technology Co., Ltd. Trench mosfet with copper metal connections
US7629646B2 (en) * 2006-08-16 2009-12-08 Force Mos Technology Co., Ltd. Trench MOSFET with terraced gate and manufacturing method thereof
US20080042208A1 (en) * 2006-08-16 2008-02-21 Force Mos Technology Co., Ltd. Trench mosfet with esd trench capacitor
US8476709B2 (en) 2006-08-24 2013-07-02 Infineon Technologies Ag ESD protection device and method
JP5511124B2 (ja) * 2006-09-28 2014-06-04 セミコンダクター・コンポーネンツ・インダストリーズ・リミテッド・ライアビリティ・カンパニー 絶縁ゲート型半導体装置
JP2008085188A (ja) * 2006-09-28 2008-04-10 Sanyo Electric Co Ltd 絶縁ゲート型半導体装置
TWI496272B (zh) * 2006-09-29 2015-08-11 Fairchild Semiconductor 用於功率金氧半導體場效電晶體之雙電壓多晶矽二極體靜電放電電路
US7374980B2 (en) * 2006-10-13 2008-05-20 International Business Machines Corporation Field effect transistor with thin gate electrode and method of fabricating same
US9748346B2 (en) * 2014-11-25 2017-08-29 Alpha And Omega Semiconductor Incorporated Circuit configuration and manufacturing processes for vertical transient voltage suppressor (TVS) and EMI filter
JP2008130983A (ja) * 2006-11-24 2008-06-05 Nec Electronics Corp 半導体装置およびその製造方法
US9437729B2 (en) 2007-01-08 2016-09-06 Vishay-Siliconix High-density power MOSFET with planarized metalization
US7670874B2 (en) * 2007-02-16 2010-03-02 John Trezza Plated pillar package formation
US9947770B2 (en) 2007-04-03 2018-04-17 Vishay-Siliconix Self-aligned trench MOSFET and method of manufacture
US8368126B2 (en) * 2007-04-19 2013-02-05 Vishay-Siliconix Trench metal oxide semiconductor with recessed trench material and remote contacts
US7511357B2 (en) * 2007-04-20 2009-03-31 Force-Mos Technology Corporation Trenched MOSFETs with improved gate-drain (GD) clamp diodes
JP5138274B2 (ja) * 2007-05-25 2013-02-06 三菱電機株式会社 半導体装置
JP4427561B2 (ja) * 2007-05-29 2010-03-10 株式会社東芝 半導体装置
US7732848B2 (en) * 2007-05-31 2010-06-08 Infineon Technologies Ag Power semiconductor device with improved heat dissipation
US7646058B2 (en) * 2007-06-05 2010-01-12 Force-Mos Technology Corporation Device configuration and method to manufacture trench MOSFET with solderable front metal
US8217419B2 (en) 2007-06-15 2012-07-10 Rohm Co., Ltd. Semiconductor device
TWI340435B (en) * 2007-07-11 2011-04-11 Nanya Technology Corp Dynamic random access memory with electrostatic discharge structure and method for manufacturing the same
US20090026533A1 (en) * 2007-07-24 2009-01-29 Force-Mos Technology Corporation Trench MOSFET with multiple P-bodies for ruggedness and on-resistance improvements
KR100848781B1 (ko) * 2007-08-14 2008-07-28 주식회사 동부하이텍 밀집한 패턴의 노광방법
JP2009076540A (ja) * 2007-09-19 2009-04-09 Nec Electronics Corp 半導体装置
JP2009088198A (ja) * 2007-09-28 2009-04-23 Rohm Co Ltd 半導体装置
JP5298488B2 (ja) * 2007-09-28 2013-09-25 富士電機株式会社 半導体装置
US7799642B2 (en) 2007-10-02 2010-09-21 Inpower Semiconductor Co., Ltd. Trench MOSFET and method of manufacture utilizing two masks
US7687352B2 (en) * 2007-10-02 2010-03-30 Inpower Semiconductor Co., Ltd. Trench MOSFET and method of manufacture utilizing four masks
US9484451B2 (en) 2007-10-05 2016-11-01 Vishay-Siliconix MOSFET active area and edge termination area charge balance
US7772668B2 (en) * 2007-12-26 2010-08-10 Fairchild Semiconductor Corporation Shielded gate trench FET with multiple channels
US10600902B2 (en) 2008-02-13 2020-03-24 Vishay SIliconix, LLC Self-repairing field effect transisitor
US8674434B2 (en) * 2008-03-24 2014-03-18 Micron Technology, Inc. Impact ionization devices
US20090242973A1 (en) * 2008-03-31 2009-10-01 Alpha & Omega Semiconductor, Ltd. Source and body contact structure for trench-dmos devices using polysilicon
US7683369B2 (en) * 2008-04-10 2010-03-23 Alpha & Omega Semiconductor, Inc. Structure for measuring body pinch resistance of high density trench MOSFET array
JP5337470B2 (ja) * 2008-04-21 2013-11-06 セミコンダクター・コンポーネンツ・インダストリーズ・リミテッド・ライアビリティ・カンパニー 絶縁ゲート型半導体装置
US7910439B2 (en) * 2008-06-11 2011-03-22 Maxpower Semiconductor Inc. Super self-aligned trench MOSFET devices, methods, and systems
US7936009B2 (en) * 2008-07-09 2011-05-03 Fairchild Semiconductor Corporation Shielded gate trench FET with an inter-electrode dielectric having a low-k dielectric therein
US8193579B2 (en) * 2008-07-29 2012-06-05 Rohm Co., Ltd. Trench type semiconductor device and fabrication method for the same
US7867852B2 (en) * 2008-08-08 2011-01-11 Alpha And Omega Semiconductor Incorporated Super-self-aligned trench-dmos structure and method
US7897462B2 (en) * 2008-11-14 2011-03-01 Semiconductor Components Industries, L.L.C. Method of manufacturing semiconductor component with gate and shield electrodes in trenches
JP5331497B2 (ja) * 2008-11-27 2013-10-30 株式会社東芝 半導体装置およびその製造方法
CN101764061B (zh) * 2008-12-26 2012-05-30 马克斯半导体股份有限公司 功率金属氧化物半导体场效晶体管结构及其制程方法
US7911260B2 (en) * 2009-02-02 2011-03-22 Infineon Technologies Ag Current control circuits
US8164162B2 (en) * 2009-06-11 2012-04-24 Force Mos Technology Co., Ltd. Power semiconductor devices integrated with clamp diodes sharing same gate metal pad
US9425306B2 (en) 2009-08-27 2016-08-23 Vishay-Siliconix Super junction trench power MOSFET devices
US9443974B2 (en) * 2009-08-27 2016-09-13 Vishay-Siliconix Super junction trench power MOSFET device fabrication
US9230810B2 (en) 2009-09-03 2016-01-05 Vishay-Siliconix System and method for substrate wafer back side and edge cross section seals
JP2011071161A (ja) * 2009-09-24 2011-04-07 Toshiba Corp 半導体素子及びその製造方法
CN102034708B (zh) * 2009-09-27 2012-07-04 无锡华润上华半导体有限公司 沟槽型dmos晶体管的制作方法
US9431530B2 (en) 2009-10-20 2016-08-30 Vishay-Siliconix Super-high density trench MOSFET
US9425305B2 (en) 2009-10-20 2016-08-23 Vishay-Siliconix Structures of and methods of fabricating split gate MIS devices
US9419129B2 (en) 2009-10-21 2016-08-16 Vishay-Siliconix Split gate semiconductor device with curved gate oxide profile
US9306056B2 (en) * 2009-10-30 2016-04-05 Vishay-Siliconix Semiconductor device with trench-like feed-throughs
US8604525B2 (en) 2009-11-02 2013-12-10 Vishay-Siliconix Transistor structure with feed-through source-to-substrate contact
US8575702B2 (en) * 2009-11-27 2013-11-05 Magnachip Semiconductor, Ltd. Semiconductor device and method for fabricating semiconductor device
JP5452195B2 (ja) * 2009-12-03 2014-03-26 株式会社 日立パワーデバイス 半導体装置及びそれを用いた電力変換装置
US8217469B2 (en) * 2009-12-11 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Contact implement structure for high density design
US8698232B2 (en) * 2010-01-04 2014-04-15 International Rectifier Corporation Semiconductor device including a voltage controlled termination structure and method for fabricating same
CN102130121B (zh) * 2010-01-20 2012-10-03 上海华虹Nec电子有限公司 多晶硅二极管的串联结构
CN102859699B (zh) 2010-03-02 2016-01-06 维西埃-硅化物公司 制造双栅极装置的结构和方法
US8431457B2 (en) * 2010-03-11 2013-04-30 Alpha And Omega Semiconductor Incorporated Method for fabricating a shielded gate trench MOS with improved source pickup layout
US8143126B2 (en) 2010-05-10 2012-03-27 Freescale Semiconductor, Inc. Method for forming a vertical MOS transistor
US8373239B2 (en) 2010-06-08 2013-02-12 International Business Machines Corporation Structure and method for replacement gate MOSFET with self-aligned contact using sacrificial mandrel dielectric
JP5691259B2 (ja) * 2010-06-22 2015-04-01 株式会社デンソー 半導体装置
US9224496B2 (en) 2010-08-11 2015-12-29 Shine C. Chung Circuit and system of aggregated area anti-fuse in CMOS processes
US10249379B2 (en) 2010-08-20 2019-04-02 Attopsemi Technology Co., Ltd One-time programmable devices having program selector for electrical fuses with extended area
US9711237B2 (en) 2010-08-20 2017-07-18 Attopsemi Technology Co., Ltd. Method and structure for reliable electrical fuse programming
US8482972B2 (en) 2010-08-20 2013-07-09 Shine C. Chung Memory devices using a plurality of diodes as program selectors with at least one being a polysilicon diode
US9070437B2 (en) 2010-08-20 2015-06-30 Shine C. Chung Circuit and system of using junction diode as program selector for one-time programmable devices with heat sink
US9460807B2 (en) 2010-08-20 2016-10-04 Shine C. Chung One-time programmable memory devices using FinFET technology
US9818478B2 (en) 2012-12-07 2017-11-14 Attopsemi Technology Co., Ltd Programmable resistive device and memory using diode as selector
US9824768B2 (en) 2015-03-22 2017-11-21 Attopsemi Technology Co., Ltd Integrated OTP memory for providing MTP memory
US9251893B2 (en) 2010-08-20 2016-02-02 Shine C. Chung Multiple-bit programmable resistive memory using diode as program selector
US9019742B2 (en) 2010-08-20 2015-04-28 Shine C. Chung Multiple-state one-time programmable (OTP) memory to function as multi-time programmable (MTP) memory
US9496033B2 (en) 2010-08-20 2016-11-15 Attopsemi Technology Co., Ltd Method and system of programmable resistive devices with read capability using a low supply voltage
US10923204B2 (en) 2010-08-20 2021-02-16 Attopsemi Technology Co., Ltd Fully testible OTP memory
US10229746B2 (en) 2010-08-20 2019-03-12 Attopsemi Technology Co., Ltd OTP memory with high data security
US9431127B2 (en) 2010-08-20 2016-08-30 Shine C. Chung Circuit and system of using junction diode as program selector for metal fuses for one-time programmable devices
US9042153B2 (en) 2010-08-20 2015-05-26 Shine C. Chung Programmable resistive memory unit with multiple cells to improve yield and reliability
US9025357B2 (en) 2010-08-20 2015-05-05 Shine C. Chung Programmable resistive memory unit with data and reference cells
US9236141B2 (en) 2010-08-20 2016-01-12 Shine C. Chung Circuit and system of using junction diode of MOS as program selector for programmable resistive devices
US10916317B2 (en) 2010-08-20 2021-02-09 Attopsemi Technology Co., Ltd Programmable resistance memory on thin film transistor technology
JP5616720B2 (ja) * 2010-08-30 2014-10-29 セイコーインスツル株式会社 半導体装置およびその製造方法
US8896064B2 (en) * 2010-10-18 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Electrostatic discharge protection circuit
US8988965B2 (en) 2010-11-03 2015-03-24 Shine C. Chung Low-pin-count non-volatile memory interface
US9076513B2 (en) 2010-11-03 2015-07-07 Shine C. Chung Low-pin-count non-volatile memory interface with soft programming capability
US9019791B2 (en) 2010-11-03 2015-04-28 Shine C. Chung Low-pin-count non-volatile memory interface for 3D IC
US9496265B2 (en) 2010-12-08 2016-11-15 Attopsemi Technology Co., Ltd Circuit and system of a high density anti-fuse
US9685523B2 (en) * 2014-12-17 2017-06-20 Alpha And Omega Semiconductor Incorporated Diode structures with controlled injection efficiency for fast switching
US8848423B2 (en) 2011-02-14 2014-09-30 Shine C. Chung Circuit and system of using FinFET for building programmable resistive devices
US10586832B2 (en) 2011-02-14 2020-03-10 Attopsemi Technology Co., Ltd One-time programmable devices using gate-all-around structures
US10192615B2 (en) 2011-02-14 2019-01-29 Attopsemi Technology Co., Ltd One-time programmable devices having a semiconductor fin structure with a divided active region
JP5738653B2 (ja) * 2011-03-31 2015-06-24 セミコンダクター・コンポーネンツ・インダストリーズ・リミテッド・ライアビリティ・カンパニー 絶縁ゲート型半導体装置
KR101619580B1 (ko) 2011-05-18 2016-05-10 비쉐이-실리코닉스 반도체 장치
US8829603B2 (en) 2011-08-18 2014-09-09 Alpha And Omega Semiconductor Incorporated Shielded gate trench MOSFET package
US9324849B2 (en) 2011-11-15 2016-04-26 Shine C. Chung Structures and techniques for using semiconductor body to construct SCR, DIAC, or TRIAC
US9136261B2 (en) * 2011-11-15 2015-09-15 Shine C. Chung Structures and techniques for using mesh-structure diodes for electro-static discharge (ESD) protection
US9412883B2 (en) 2011-11-22 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for MOS capacitors in replacement gate process
JP5798024B2 (ja) * 2011-12-13 2015-10-21 ルネサスエレクトロニクス株式会社 半導体装置
US9007804B2 (en) 2012-02-06 2015-04-14 Shine C. Chung Circuit and system of protective mechanisms for programmable resistive memories
JP2013197122A (ja) * 2012-03-15 2013-09-30 Toshiba Corp 半導体装置
TWM435722U (en) * 2012-03-22 2012-08-11 Excelliance Mos Corp Power MOSFET
DE102012102533B3 (de) * 2012-03-23 2013-08-22 Infineon Technologies Austria Ag Integrierte Leistungstransistorschaltung mit Strommesszelle und Verfahren zu deren Herstellung sowie eine Anordnung diese enthaltend
CN103426738B (zh) 2012-05-17 2018-05-18 恩智浦美国有限公司 具有边缘端部结构的沟槽半导体器件及其制造方法
CN102789988A (zh) * 2012-05-23 2012-11-21 上海宏力半导体制造有限公司 沟槽型功率器件的形成方法
US20150123165A1 (en) * 2012-05-30 2015-05-07 Kyushu Institute Of Technology High-voltage insulated gate type power semiconductor device and method of manufacturing the same
US9842911B2 (en) 2012-05-30 2017-12-12 Vishay-Siliconix Adaptive charge balanced edge termination
US10411111B2 (en) 2012-05-30 2019-09-10 Kyushu Institute Of Technology Method for fabricating high-voltage insulated gate type bipolar semiconductor device
US9076526B2 (en) 2012-09-10 2015-07-07 Shine C. Chung OTP memories functioning as an MTP memory
US9722041B2 (en) * 2012-09-19 2017-08-01 Vishay-Siliconix Breakdown voltage blocking device
US9183897B2 (en) 2012-09-30 2015-11-10 Shine C. Chung Circuits and methods of a self-timed high speed SRAM
US9324447B2 (en) 2012-11-20 2016-04-26 Shine C. Chung Circuit and system for concurrently programming multiple bits of OTP memory devices
JP5838176B2 (ja) 2013-02-12 2016-01-06 サンケン電気株式会社 半導体装置
US20140232451A1 (en) * 2013-02-19 2014-08-21 Qualcomm Incorporated Three terminal semiconductor device with variable capacitance
US10068834B2 (en) * 2013-03-04 2018-09-04 Cree, Inc. Floating bond pad for power semiconductor devices
US9929698B2 (en) * 2013-03-15 2018-03-27 Qualcomm Incorporated Radio frequency integrated circuit (RFIC) charged-device model (CDM) protection
TWI511293B (zh) * 2013-06-24 2015-12-01 Chip Integration Tech Co Ltd 雙溝渠式mos電晶體結構及其製造方法
US9006063B2 (en) * 2013-06-28 2015-04-14 Stmicroelectronics S.R.L. Trench MOSFET
TWI566328B (zh) * 2013-07-29 2017-01-11 高效電源轉換公司 具有用於產生附加構件之多晶矽層的氮化鎵電晶體
DE102013108518B4 (de) * 2013-08-07 2016-11-24 Infineon Technologies Ag Halbleitervorrichtung und verfahren zum herstellen derselben
CN104347422B (zh) * 2013-08-09 2017-10-24 上海华虹宏力半导体制造有限公司 带静电释放保护电路的沟槽式mos晶体管的制造方法
US9941271B2 (en) * 2013-10-04 2018-04-10 Avago Technologies General Ip (Singapore) Pte. Ltd. Fin-shaped field effect transistor and capacitor structures
CN104600126B (zh) * 2013-10-31 2017-10-24 无锡华润华晶微电子有限公司 一种超势垒自偏置整流二极管
KR102089514B1 (ko) * 2013-12-23 2020-03-16 삼성전자 주식회사 반도체 메모리 장치 및 그 제조 방법
US9324830B2 (en) 2014-03-27 2016-04-26 International Business Machines Corporation Self-aligned contact process enabled by low temperature
US9496149B2 (en) * 2014-04-14 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods for manufacturing the same
US9412473B2 (en) 2014-06-16 2016-08-09 Shine C. Chung System and method of a novel redundancy scheme for OTP
US9293533B2 (en) * 2014-06-20 2016-03-22 Infineon Technologies Austria Ag Semiconductor switching devices with different local transconductance
US9231049B1 (en) 2014-06-20 2016-01-05 Infineon Technologies Austria Ag Semiconductor switching device with different local cell geometry
US9349795B2 (en) * 2014-06-20 2016-05-24 Infineon Technologies Austria Ag Semiconductor switching device with different local threshold voltage
US9887259B2 (en) 2014-06-23 2018-02-06 Vishay-Siliconix Modulated super junction power MOSFET devices
CN106575666B (zh) 2014-08-19 2021-08-06 维西埃-硅化物公司 超结金属氧化物半导体场效应晶体管
CN115483211A (zh) 2014-08-19 2022-12-16 维西埃-硅化物公司 电子电路
US9425304B2 (en) 2014-08-21 2016-08-23 Vishay-Siliconix Transistor structure with improved unclamped inductive switching immunity
US9397213B2 (en) 2014-08-29 2016-07-19 Freescale Semiconductor, Inc. Trench gate FET with self-aligned source contact
US9553184B2 (en) * 2014-08-29 2017-01-24 Nxp Usa, Inc. Edge termination for trench gate FET
DE102014115464B4 (de) 2014-10-23 2019-10-24 Infineon Technologies Austria Ag Leistungs-halbleitervorrichtung mit temperaturschutz
JP6261494B2 (ja) * 2014-12-03 2018-01-17 三菱電機株式会社 電力用半導体装置
US9680003B2 (en) 2015-03-27 2017-06-13 Nxp Usa, Inc. Trench MOSFET shield poly contact
JP6563689B2 (ja) * 2015-05-27 2019-08-21 トヨタ自動車株式会社 絶縁ゲート型スイッチング素子の製造方法
CN106298920A (zh) * 2015-05-29 2017-01-04 北大方正集团有限公司 无接触孔的场效应管的制造方法和无接触孔的场效应管
JP6560059B2 (ja) * 2015-08-20 2019-08-14 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP6561723B2 (ja) * 2015-09-24 2019-08-21 豊田合成株式会社 半導体装置および電力変換装置
US9941266B2 (en) * 2015-12-16 2018-04-10 Rohm Co., Ltd. Semiconductor device
DE102016103384B4 (de) 2016-02-25 2024-02-08 Infineon Technologies Austria Ag Halbleitervorrichtung mit nadelförmigen Feldplattenstrukturen in einem Transistorzellengebiet und in einem inneren Abschlussgebiet
KR102541563B1 (ko) * 2016-04-27 2023-06-08 삼성전자주식회사 반도체 장치, 반도체 칩 및 반도체 장치의 제조 방법
US10522674B2 (en) * 2016-05-18 2019-12-31 Rohm Co., Ltd. Semiconductor with unified transistor structure and voltage regulator diode
CN106206741B (zh) * 2016-08-30 2023-07-04 西安芯派电子科技有限公司 一种降低低压超结器件的栅极电阻的元胞结构及其制备方法
US10726914B2 (en) 2017-04-14 2020-07-28 Attopsemi Technology Co. Ltd Programmable resistive memories with low power read operation and novel sensing scheme
US11062786B2 (en) 2017-04-14 2021-07-13 Attopsemi Technology Co., Ltd One-time programmable memories with low power read operation and novel sensing scheme
US11615859B2 (en) 2017-04-14 2023-03-28 Attopsemi Technology Co., Ltd One-time programmable memories with ultra-low power read operation and novel sensing scheme
US10535413B2 (en) 2017-04-14 2020-01-14 Attopsemi Technology Co., Ltd Low power read operation for programmable resistive memories
US10861950B2 (en) 2017-11-16 2020-12-08 Samsung Electronics Co., Ltd. Integrated circuit including field effect transistors having a contact on active gate compatible with a small cell area having a small contacted poly pitch
US10910313B2 (en) 2017-11-16 2021-02-02 Samsung Electronics Co., Ltd. Integrated circuit including field effect transistors having a contact on active gate compatible with a small cell area having a small contacted poly pitch
US10770160B2 (en) 2017-11-30 2020-09-08 Attopsemi Technology Co., Ltd Programmable resistive memory formed by bit slices from a standard cell library
DE102018102685A1 (de) * 2017-11-30 2019-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Kontaktbildungsverfahren und zugehörige Struktur
CN108039372B (zh) * 2017-12-21 2021-12-07 上海领矽半导体有限公司 沟槽型垂直双扩散金属氧化物晶体管及其制作方法
JP2019114643A (ja) * 2017-12-22 2019-07-11 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP6998788B2 (ja) * 2018-02-09 2022-01-18 エイブリック株式会社 半導体装置
GB2572442A (en) * 2018-03-29 2019-10-02 Cambridge Entpr Ltd Power semiconductor device with a double gate structure
TWI729538B (zh) 2018-11-21 2021-06-01 大陸商上海瀚薪科技有限公司 一種整合箝制電壓箝位電路的碳化矽半導體元件
CN111370463A (zh) * 2018-12-26 2020-07-03 深圳尚阳通科技有限公司 沟槽栅功率器件及其制造方法
DE102019008556A1 (de) 2019-03-14 2020-09-17 Semiconductor Components Industries, Llc Feldeffekttransistorstruktur mit isoliertem Gate mit abgeschirmter Quelle und Verfahren
US10784373B1 (en) 2019-03-14 2020-09-22 Semiconductor Components Industries, Llc Insulated gated field effect transistor structure having shielded source and method
US11217541B2 (en) 2019-05-08 2022-01-04 Vishay-Siliconix, LLC Transistors with electrically active chip seal ring and methods of manufacture
IT201900006709A1 (it) 2019-05-10 2020-11-10 St Microelectronics Srl Dispositivo mosfet di potenza a super giunzione con affidabilita' migliorata, e metodo di fabbricazione
US10930510B2 (en) 2019-05-21 2021-02-23 International Business Machines Corporation Semiconductor device with improved contact resistance and via connectivity
US10892188B2 (en) * 2019-06-13 2021-01-12 Semiconductor Components Industries, Llc Self-aligned trench MOSFET contacts having widths less than minimum lithography limits
JP7379882B2 (ja) 2019-06-26 2023-11-15 富士電機株式会社 窒化物半導体装置
US11218144B2 (en) 2019-09-12 2022-01-04 Vishay-Siliconix, LLC Semiconductor device with multiple independent gates
CN110739303B (zh) * 2019-10-30 2020-11-06 珠海迈巨微电子有限责任公司 集成ESD防护的Trench VDMOS器件及制造方法
CN110797263A (zh) * 2019-11-14 2020-02-14 龙腾半导体有限公司 功率mosfet器件及其制造方法
US11552190B2 (en) 2019-12-12 2023-01-10 Analog Devices International Unlimited Company High voltage double-diffused metal oxide semiconductor transistor with isolated parasitic bipolar junction transistor region
US10910478B1 (en) 2020-03-04 2021-02-02 Shuming Xu Metal-oxide-semiconductor field-effect transistor having enhanced high-frequency performance
TWI804736B (zh) * 2020-03-25 2023-06-11 立錡科技股份有限公司 具有橫向絕緣閘極雙極性電晶體之功率元件及其製造方法
CN112382613B (zh) * 2020-11-12 2023-10-03 重庆万国半导体科技有限公司 一种沟槽功率器件与源极电容集成及其制造方法
CN112701163A (zh) * 2021-02-05 2021-04-23 上海华虹宏力半导体制造有限公司 沟槽栅半导体器件及其制造方法
US11302776B1 (en) 2021-05-31 2022-04-12 Genesic Semiconductor Inc. Method and manufacture of robust, high-performance devices
EP4163981A1 (en) * 2021-10-11 2023-04-12 Nexperia B.V. Semiconductor device with a clamping diode
CN114725206B (zh) * 2022-03-08 2023-07-25 西南交通大学 一种基于低介电常数介质的SiCVDMOSFET器件

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4238278A (en) * 1979-06-14 1980-12-09 International Business Machines Corporation Polycrystalline silicon oxidation method for making shallow and deep isolation trenches
JPS5961045A (ja) * 1982-09-29 1984-04-07 Fujitsu Ltd 半導体装置の製造方法
US4767722A (en) * 1986-03-24 1988-08-30 Siliconix Incorporated Method for making planar vertical channel DMOS structures
US4967245A (en) * 1988-03-14 1990-10-30 Siliconix Incorporated Trench power MOSFET device
US5283201A (en) * 1988-05-17 1994-02-01 Advanced Power Technology, Inc. High density power device fabrication process
US4876214A (en) * 1988-06-02 1989-10-24 Tektronix, Inc. Method for fabricating an isolation region in a semiconductor substrate
US4992390A (en) 1989-07-06 1991-02-12 General Electric Company Trench gate structure with thick bottom oxide
US5168331A (en) * 1991-01-31 1992-12-01 Siliconix Incorporated Power metal-oxide-semiconductor field effect transistor
US5527561A (en) * 1991-05-28 1996-06-18 Electrotech Limited Method for filing substrate recesses using elevated temperature and pressure
JP2837014B2 (ja) 1992-02-17 1998-12-14 三菱電機株式会社 半導体装置及びその製造方法
US5554862A (en) * 1992-03-31 1996-09-10 Kabushiki Kaisha Toshiba Power semiconductor device
JPH05335582A (ja) * 1992-05-27 1993-12-17 Omron Corp 縦型mosfet装置およびその製造方法
US5539238A (en) 1992-09-02 1996-07-23 Texas Instruments Incorporated Area efficient high voltage Mosfets with vertical resurf drift regions
US5430315A (en) 1993-07-22 1995-07-04 Rumennik; Vladimir Bi-directional power trench MOS field effect transistor having low on-state resistance and low leakage current
US5514604A (en) * 1993-12-08 1996-05-07 General Electric Company Vertical channel silicon carbide metal-oxide-semiconductor field effect transistor with self-aligned gate for microwave and power applications, and method of making
JP3338178B2 (ja) * 1994-05-30 2002-10-28 株式会社東芝 半導体装置およびその製造方法
US5455190A (en) * 1994-12-07 1995-10-03 United Microelectronics Corporation Method of making a vertical channel device using buried source techniques
US5672889A (en) * 1995-03-15 1997-09-30 General Electric Company Vertical channel silicon carbide metal-oxide-semiconductor field effect transistor with self-aligned gate for microwave and power applications, and method of making
US5567634A (en) * 1995-05-01 1996-10-22 National Semiconductor Corporation Method of fabricating self-aligned contact trench DMOS transistors
US6049108A (en) * 1995-06-02 2000-04-11 Siliconix Incorporated Trench-gated MOSFET with bidirectional voltage clamping
US5648670A (en) 1995-06-07 1997-07-15 Sgs-Thomson Microelectronics, Inc. Trench MOS-gated device with a minimum number of masks
JP3272242B2 (ja) * 1995-06-09 2002-04-08 三洋電機株式会社 半導体装置
JP3384198B2 (ja) * 1995-07-21 2003-03-10 三菱電機株式会社 絶縁ゲート型半導体装置およびその製造方法
JPH09162399A (ja) * 1995-12-12 1997-06-20 Toshiba Corp 半導体装置
US6090700A (en) * 1996-03-15 2000-07-18 Vanguard International Semiconductor Corporation Metallization method for forming interconnects in an integrated circuit
US5770878A (en) * 1996-04-10 1998-06-23 Harris Corporation Trench MOS gate device
JP3528420B2 (ja) * 1996-04-26 2004-05-17 株式会社デンソー 半導体装置およびその製造方法
JP4077529B2 (ja) * 1996-05-22 2008-04-16 フェアチャイルドコリア半導体株式会社 トレンチ拡散mosトランジスタの製造方法
US6429481B1 (en) * 1997-11-14 2002-08-06 Fairchild Semiconductor Corporation Field effect transistor and method of its manufacture
US6054365A (en) * 1998-07-13 2000-04-25 International Rectifier Corp. Process for filling deep trenches with polysilicon and oxide
US6080669A (en) * 1999-01-05 2000-06-27 Advanced Micro Devices, Inc. Semiconductor interconnect interface processing by high pressure deposition
US6316806B1 (en) * 1999-03-31 2001-11-13 Fairfield Semiconductor Corporation Trench transistor with a self-aligned source
US6188105B1 (en) * 1999-04-01 2001-02-13 Intersil Corporation High density MOS-gated power device and process for forming same
US6461918B1 (en) * 1999-12-20 2002-10-08 Fairchild Semiconductor Corporation Power MOS device with improved gate charge performance

Also Published As

Publication number Publication date
CN1192425C (zh) 2005-03-09
EP1186023A4 (en) 2007-02-21
JP2002543587A (ja) 2002-12-17
US7052963B2 (en) 2006-05-30
US20040185622A1 (en) 2004-09-23
WO2000065646A1 (en) 2000-11-02
EP1186023A1 (en) 2002-03-13
KR20010112439A (ko) 2001-12-20
US6413822B2 (en) 2002-07-02
CN100568470C (zh) 2009-12-09
US20040191994A1 (en) 2004-09-30
TW494529B (en) 2002-07-11
US20020019099A1 (en) 2002-02-14
KR100679538B1 (ko) 2007-02-07
US6924198B2 (en) 2005-08-02
US20020168821A1 (en) 2002-11-14
CN1655331A (zh) 2005-08-17
CN100367478C (zh) 2008-02-06
US6756274B2 (en) 2004-06-29
AU4800100A (en) 2000-11-10
US20020195657A1 (en) 2002-12-26
CN101179030A (zh) 2008-05-14
US6750507B2 (en) 2004-06-15
CN1353863A (zh) 2002-06-12

Similar Documents

Publication Publication Date Title
JP4180800B2 (ja) オン抵抗が低減されたスーパー自己整列トレンチdmosfet
CN108400161B (zh) 自对准工艺制备的半导体功率器件以及更加可靠的电接触
EP1168455B1 (en) Power semiconductor switching element
US7087958B2 (en) Termination structure of DMOS device
US20160260814A1 (en) Semiconductor power devices manufactured with self-aligned processes and more reliable electrical contacts
US20200212218A1 (en) Vertical trench gate mosfet with deep well region for junction termination
TWI804649B (zh) 絕緣閘極半導體器件及用於製造絕緣閘極半導體器件的區域的方法
EP1988579A2 (en) Power MOSFET having a trench gate electrode
US20110006362A1 (en) Trench MOSFET with on-resistance reduction
JP2000506677A (ja) エピタキシャル層の変動の影響を受けにくい縦形mosfet
US20090072304A1 (en) Trench misfet
US6777745B2 (en) Symmetric trench MOSFET device and method of making same
US11996476B2 (en) Methods and structures for contacting shield conductor in a semiconductor device
JP4796220B2 (ja) 保護用ダイオードを備えるトレンチゲート形パワーmosfet
US7192814B2 (en) Method of forming a low capacitance semiconductor device and structure therefor
US7224008B2 (en) Self-aligned production method for an insulated gate semiconductor device cell and insulated gate semiconductor device cell
US12002892B2 (en) Semiconductor device with embedded Schottky diode and manufacturing method thereof
JPH05283701A (ja) 半導体装置の製造方法
CN117673142A (zh) 沟槽栅超结器件及其制造方法
CN111092113A (zh) 金氧半场效应晶体管的终端区结构及其制造方法

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040527

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040528

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080129

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080428

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080508

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080528

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080701

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080804

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080826

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080828

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4180800

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110905

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110905

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120905

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120905

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120905

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130905

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

S633 Written request for registration of reclamation of name

Free format text: JAPANESE INTERMEDIATE CODE: R313633

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees