JP4114016B2 - ウェハ支持システム - Google Patents
ウェハ支持システム Download PDFInfo
- Publication number
- JP4114016B2 JP4114016B2 JP53217298A JP53217298A JP4114016B2 JP 4114016 B2 JP4114016 B2 JP 4114016B2 JP 53217298 A JP53217298 A JP 53217298A JP 53217298 A JP53217298 A JP 53217298A JP 4114016 B2 JP4114016 B2 JP 4114016B2
- Authority
- JP
- Japan
- Prior art keywords
- susceptor
- wafer
- ring
- substrate
- gas
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
- 125000006850 spacer group Chemical group 0.000 claims description 93
- 239000000758 substrate Substances 0.000 claims description 55
- 238000000034 method Methods 0.000 claims description 37
- 238000012545 processing Methods 0.000 claims description 29
- 238000010438 heat treatment Methods 0.000 claims description 22
- 230000008859 change Effects 0.000 claims description 18
- 239000004065 semiconductor Substances 0.000 claims description 12
- 230000002093 peripheral effect Effects 0.000 claims 1
- 235000012431 wafers Nutrition 0.000 description 278
- 239000007789 gas Substances 0.000 description 171
- 238000006243 chemical reaction Methods 0.000 description 57
- 238000000151 deposition Methods 0.000 description 31
- 230000008021 deposition Effects 0.000 description 28
- 230000008569 process Effects 0.000 description 23
- 238000009826 distribution Methods 0.000 description 21
- 238000010926 purge Methods 0.000 description 19
- 241000239290 Araneae Species 0.000 description 16
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 16
- 239000010453 quartz Substances 0.000 description 14
- 238000005229 chemical vapour deposition Methods 0.000 description 13
- 239000000463 material Substances 0.000 description 9
- 238000001816 cooling Methods 0.000 description 8
- 239000012159 carrier gas Substances 0.000 description 7
- 230000000694 effects Effects 0.000 description 7
- 239000012495 reaction gas Substances 0.000 description 7
- 239000010410 layer Substances 0.000 description 6
- 229910052710 silicon Inorganic materials 0.000 description 6
- 238000012546 transfer Methods 0.000 description 6
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 5
- 239000001257 hydrogen Substances 0.000 description 5
- 229910052739 hydrogen Inorganic materials 0.000 description 5
- 238000011144 upstream manufacturing Methods 0.000 description 5
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 4
- 239000013078 crystal Substances 0.000 description 4
- 230000007423 decrease Effects 0.000 description 4
- 238000005530 etching Methods 0.000 description 4
- 230000006870 function Effects 0.000 description 4
- 239000010703 silicon Substances 0.000 description 4
- 239000010409 thin film Substances 0.000 description 4
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 3
- 239000011248 coating agent Substances 0.000 description 3
- 238000000576 coating method Methods 0.000 description 3
- 238000011109 contamination Methods 0.000 description 3
- 238000013461 design Methods 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 229910002804 graphite Inorganic materials 0.000 description 3
- 239000010439 graphite Substances 0.000 description 3
- 229910010271 silicon carbide Inorganic materials 0.000 description 3
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 3
- 230000007704 transition Effects 0.000 description 3
- 230000008901 benefit Effects 0.000 description 2
- 230000007717 exclusion Effects 0.000 description 2
- 230000013011 mating Effects 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 229910052580 B4C Inorganic materials 0.000 description 1
- 229910052582 BN Inorganic materials 0.000 description 1
- PZNSFCLAULLKQX-UHFFFAOYSA-N Boron nitride Chemical compound N#B PZNSFCLAULLKQX-UHFFFAOYSA-N 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 229910026551 ZrC Inorganic materials 0.000 description 1
- OTCHGXYCWNXDOA-UHFFFAOYSA-N [C].[Zr] Chemical compound [C].[Zr] OTCHGXYCWNXDOA-UHFFFAOYSA-N 0.000 description 1
- 239000011358 absorbing material Substances 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- INAHAJYZKVIDIZ-UHFFFAOYSA-N boron carbide Chemical compound B12B3B4C32B41 INAHAJYZKVIDIZ-UHFFFAOYSA-N 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 230000005465 channeling Effects 0.000 description 1
- 229910052681 coesite Inorganic materials 0.000 description 1
- 230000001143 conditioned effect Effects 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 229910052906 cristobalite Inorganic materials 0.000 description 1
- 230000006837 decompression Effects 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 230000007613 environmental effect Effects 0.000 description 1
- 239000010419 fine particle Substances 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- 238000009499 grossing Methods 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 238000006748 scratching Methods 0.000 description 1
- 230000002393 scratching effect Effects 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 239000012686 silicon precursor Substances 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 229910052682 stishovite Inorganic materials 0.000 description 1
- 239000013589 supplement Substances 0.000 description 1
- 230000002459 sustained effect Effects 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
- 238000005382 thermal cycling Methods 0.000 description 1
- 230000001052 transient effect Effects 0.000 description 1
- 238000002834 transmittance Methods 0.000 description 1
- 229910052905 tridymite Inorganic materials 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
- 238000003466 welding Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/68—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/458—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
- C23C16/4582—Rigid and flat substrates, e.g. plates or discs
- C23C16/4583—Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
- C23C16/4586—Elements in the interior of the support, e.g. electrodes, heating or cooling devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68735—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/6875—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68792—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Chemical Vapour Deposition (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
Description
本発明は、半導体反応室におけるウェハ用支持体に関し、さらに詳しくは、化学気相成長室内でサセプタ上にウェハを支持するためのシステムに関する。
発明の背景
電子産業用の集積回路を形成する元になる半導体ウェハを処理するために、高温反応炉またはリアクタが使用される。一般的にシリコンで作られる円形ウェハまたは基板は、サセプタと呼ばれるウェハ支持体に載置される。ウェハおよびサセプタは両方とも石英反応管に閉囲され、石英反応管の周囲に配置された複数の放射ランプによって頻繁に、例えば600℃(1112°F)或いはそれ以上の高温に加熱される。加熱されたウェハ上に反応ガスが通され、ウェハ上に反応物質の薄層の化学気相成長(CVD)を引き起こす。他の装置におけるその後の工程を通して、数十から数千個の集積回路から製造される単一の層を伴い、ウェハの大きさおよび回路の複雑さに依存して、これらの層が集積回路に形成される。
堆積される層が下にあるシリコンウェハと同一の結晶学的構造を持つ場合、それはエピタキシャル層と呼ばれる。これは1つの結晶構造しか持たないので、しばしば単結晶層とも称される。
結果的に得られる半導体の高品質を確実にするためには、様々なCVDプロセスパラメータを注意深く制御しなければならない。1つのそうした重要なパラメータが、処理中のウェハの温度である。堆積ガスは特定の温度で反応し、ウェハ上に堆積する。温度がウェハの表面全体で大きく異なると、反応ガスの不均等な堆積が発生する。
特定のバッチ処理装置(即ち、一度に1つ以上のウェハを処理するCVDリアクタ)では、ウェハは、ウェハの温度が均一に維持されるのを助けるために、グラファイトまたは他の熱吸収物質で作られた比較的大質量のサセプタに載置される。本文中の「大質量」サセプタとは、ウェハに対して大きい熱質量を持つサセプタである。質量は、密度に容積を乗じたものに等しい。熱質量は、質量に比熱容量を乗じたものである。
大容量サセプタの一例が、McNeillyに発行された米国特許第4,496,609号に示されている。これは、ウェハを比較的大容量のスラブ状サセプタ上に直接載置し、且つ密接な接触状態に維持してそれらの間の熱の伝達を可能にするCVDプロセスを開示している。グラファイトのサセプタはおそらく、熱をウェハに伝達してその温度を均一かつ比較的一定に維持する熱的「はずみ車」として作動する。目的は、サセプタの「はずみ車」効果が無ければ発生する、ウェハの周囲の過渡的温度変化を減少することである。
近年、同時にウェハをバッチ処理するのとは対照的なその高い精度をはじめとする様々な理由から、大径ウェハの枚葉式処理が発達してきた。枚葉式処理はそれだけでバッチ処理より有利であるが、プロセスパラメータおよびスループットの制御が重要であることは変わらない。ウェハが大質量スラブ状サセプタと密接に接触した状態に支持されるシステムでは、加熱および冷却サイクル中に均等なサセプタ温度を維持する必要性があるために、温度を変化することができる速度が制限された。例えば、サセプタ全体の温度の均等性を維持するためには、サセプタの周縁部へのパワー入力は、縁効果のために、中心部へのパワー入力より著しく大きくしなければならなかった。
高品質CVD薄膜を得ようと試みる際に直面する別の重要な問題は、微粒子汚染である。金属およびその他の導体のCVDにおける微粒子の1つの厄介な発生源は、特定の条件下でウェハの裏面に形成される薄膜である。例えば、堆積中にウェハの裏面が保護されていなければ、または適切に保護されていなければ、そこにCVD材料の部分的被膜が形成される。この部分的被膜は材料の種類によってははがれやすく簡単に剥離し、堆積中およびその後の処理段階中に成長室内に微粒子を導入する。処理中にウェハの裏面を保護する一例が、van de Venらの米国特許第5,238,499号に挙げられている。この特許では、不活性ガスが支持プラテンの周辺部の円形溝を通して導入される。Fosterらの米国特許第5,356,476号には、反応ガスが下降してウェハの外周とウェハ支持リップとの間の間隙内へ流動するのを防止するために、ウェハの外周にヘリウムまたは水素を導入するための複数の導管を含む半導体ウェハ処理装置が示されている。しかし、上述の装置は、上述の有害な高熱容量を特徴とする比較的大きいウェハ支持プラテンという特色が共通している。
現在、ウェハ表面全体の温度の均等性を確保する一方で、改善されたウェハ支持システムが必要である。
発明の概要
本発明は、ウェハをサセプタから間隔をあけて支持するとともに前記2つの要素間の伝導熱伝達を効果的に分断するサセプタを実現する。ウェハはサセプタの好ましくは上面における凹所内の1つ以上のスペーサ上に支持され、ウェハの上面はサセプタの外棚とはぼ同じ高さであることが好ましい。1つの構成ではスペーサピンを利用し、別の構成では単一スペーサリングを使用する。サセプタは、複数の小さい掃引ガス穴位置で凹所内に開口している複数の内部通路を含むことが好ましい。掃引ガスはサセプタ内を通って穴の外へ流れ、ウェハの裏面を堆積ガスおよび微粒子汚染から保護する。掃引ガスは、サセプタ内を流れるときに、ウェハの局所的冷却やスリップ発生可能領域を生じないように加熱される。
一実施形態で、サセプタは上下嵌め合いセクションによって形成され、内部通路は2つのセクションの並置される表面の一方における溝によって形成される。マルチアーム部材でサセプタを支持しかつ回転することが望ましく、前記部材は放射エネルギを実質的に透過することが好ましい。支持部材のアームは中空であり、内部通路に連絡しているサセプタの下面の孔に掃引ガスを送り込むことが好ましい。掃引ガスの一部は、サセプタのスペーサピン近接位置から流出して、その周囲を常時掃引ガスで保護するように送り込むことができる。
本発明の別の態様では、上述のスペーサリングがウェハの周辺部の下に配置され、ウェハの下からの掃引ガス出口の大きさを縮小して堆積ガスがウェハの裏面に流動するのを妨害するように機能する。リングは、1つの形態ではウェハを支持するように構成される。リングおよびサセプタは、掃引ガスの出口通路を形成するように構成することが好ましい。別の実施形態では、リングがウェハからわずかに間隔をあけて配置され、掃引ガスのための細い環状出口を提供し、ウェハはピンによって支持される。
1つの態様で、本発明は、処理するウェハを支持するために高温反応室内に配置されるサセプタを提供する。サセプタは、薄く実質的に円板状の下部セクションと、前記下部セクションの上面と係合する下面を有する薄く実質的に円板状の上部セクションとを含む。前記セクションの一方は外径が他方のセクションのそれより大きく、大きい方のセクションは凹所を有し、その中に他方のセクションが配置される。両セクションの表面を係合することによって、1つ以上のガスチャネルが画定される。サセプタは下部セクション内に、その下面および前記チャネルに通じる1つ以上のガス入口を含む。上部セクション内の1つ以上のガス出口は、上部セクションの上面において処理対象ウェハが配置される領域の下部に通じる。上部セクションの下面に、係合凹所を形成することが好ましい。1つの形態として、チャネルは下部セクションの上面の溝によって形成され、前記溝は上部セクションの下面によって閉じられる。前記入口のうち各々前記チャネルに通じるものが3つあることが好ましく、チャネルは相互接続されて全体を通してガスが流れることができる。
別の態様では、本発明は、処理ガスを成長室内に注入するための処理ガス入口を有する蒸着室を含む、半導体ウェハの化学気相蒸着用装置を提供する。成長室に単一サセプタを設ける。サセプタの支持体は、サセプタの軸の下に配置された中心主軸と、主軸から半径方向および上向きに伸長する複数の支持アームとを含み、アームは下面を係合してサセプタを支持するように適応された上端を有する。アームの1つ以上は管状であり、かつサセプタ内の入口と位置合せされているので、ガスが管状アームを通して入口にまで伝導することができる。
本発明はまた、反応室内で半導体ウェハを支持し、かつウェハの下にガス流を伝導する方法をも提供する。この方法は、サセプタの上面から上向きに突出する複数のスペーサ上にウェハを配置して、ウェハを支持すると共にウェハとサセプタの上面との間に間隙を形成する段階を含む。サセプタは、サセプタの下面に係合する上端を有する複数のアーム上に支持される。ガスは1つ以上のアーム内を通って、前記間隙に開口したサセプタの通路内に流れる。ガスは、ウェハの周辺部を超えて外側に向かって流動することができる。スペーサはサセプタの孔内に配置することが望ましく、ガスの一部はアームからサセプタ通路を通って、スペーサの周囲の孔を介して間隙内に流れる。
本発明の別の態様では、半導体処理環境でウェハを支持するための装置は、下部セクションと、各々が前記下部セクションと同心上に位置合せするように適応された複数の円板状上部セクションとを含む。上部セクションは各々、他の上部セクションとほ異なる大きさの浅いウェハ凹所を持ち、処理するウェハの大きさによって上部セクションを選択することができる。装置は、100mmを超える直径を持つウェハを処理するための上部セクションを少なくとも2つ含むことが好ましい。
本発明の好適な形態では、回転可能なサセプタが反応室内に概して水平に配置され、単一ウェハをサセプタから間隔をあけて支持するために、1つ以上のスペーサがサセプタ上に伸長する。温度補償リングはサセプタを包囲するが、サセプタからわずかに間隔をあけて配置され、概して矩形の外形を有する。反応室は、堆積ガスおよびキャリヤガスをウェハの上面全体にわたって流すために、少なくとも1つの処理ガス入口および少なくとも1つのガス出口を備え、かつ反応室は、ウェハおよび矩形リングを横切って流れるガス流に対し概して垂直方向に概して矩形の断面を有する。反応室の入口部分は垂直方向に短く、サセプタおよびリングは、リングおよびサセプタの上面を入口部分の下方壁とほぼ同じ高さにして、入口部分に隣接して配置される。リングおよびサセプタは、スペーサ上に搭載されたウェハと一緒に、上部および下部熱源によって非常に均等に加熱される。この配置により、被加熱リングおよびウェハの両方に蒸着が行われるので、ガスは反応室の幅全体にわたり概して均等な流量を持つ。その結果、キャリヤガスの流量が、通常はウェハの中心部では処理ガス流量を上げ、かつウェハの縁部では処理ガス流量を下げる必要のある円形サセプタおよび円形温度補償リングで必要とされる流量より低下し、有利である。キャリアガスの流量の低下は、サセプタから間隔をあけて配置された熱的に敏感なウェハに対する冷却効果を低下するので、特に望ましい。また、上部および下部熱源が矩形のリングの外面の形状と一致する概して矩形の熱パターンを持つので、熱が主としてリングの外面によって画定される領域に向けられることも望ましい。
本発明の別の態様では、システムは、ウェハの処理中に、急速な均等加熱を促進するように、上部および下部熱源の凹所によって提供される加熱率を変化させる能力を装備する。
ウェハはもはやサセプタと接触しないので、サセプタが加熱および冷却中に温度の不均等性を経験する場合でも、ウェハの温度は均等に維持することができる。この方法により、加熱および冷却時間はおそらく削減することができる。それにより処理スループットが、希望通りに増加する。本発明の別の態様は、ヘイズの発生やウェハの下面に対する他の望ましくない効果をもたらすことなく、ウェハを処理することが可能である。ウェハがサセプタと接触することを止め、その下面をガス、例えば水素で包むことによって達成されるこの改善は、両面研磨ウェハを処理する場合に特に重要である。
【図面の簡単な説明】
図1は、本発明に係る改善されたウェハ支持システムを組み込んだ反応室の2つの横軸のうち長い方に沿った断面図である。
図2は、本発明に係るウェハ支持システムの一実施形態の断面図である。
図2aは、ピンの形状のウェハスペーサの一実施形態の詳細図である。
図2bは、球体の形状の代替ウェハスペーサの詳細図である。
図2cは、代替ウェハスペーサ構成の図である。
図3は、図2に示したウェハ支持システムの分解図である。
図4は、図2の線4−4におけるウェハ支持システムの区分化サセプタの上部セクションの平面図である。
図5は、図3の線5−5における区分化サセプタの下部セクションの平面図である。
図6は、本発明に係るウェハ支持システムで使用されるサセプタ支持体の図3の線6−6における平面図である。
図7は、本発明に係る別のウェハ支持システムの断面図である。
図8は、図7のウェハ支持システムで使用される区分化サセプタの線8−8における平面図である。
図9は、同心円の周囲に分布されたガス出口を有する区分化サセプタの代替上部セクションの平面図である。
図10は、同心円に配列された複数のガス供給溝を有する区分化サセプタの代替下部セクションの平面図である。
図11は、本発明に係る好適なウェハ支持システムの平面図である。
図12は、図11のウェハ支持システムで使用される区分化サセプタの上部セクションの第1実施形態の平面図である。
図13は、図11のウェハ支持システムの区分化サセプタの下部セクションの平面図である。
図14は、図11の線14−14における区分化サセプタ内の捕獲されたウェハスペーサおよびパージチャネルの断面図である
図15は、図11のウェハ支持システムで使用される区分化サセプタの上部セクション第2実施形態の平面図である。
図16は、図11のウェハ支持システムで使用される区分化サセプタの上部セクションの第3実施形態の平面図である。
図17は、図11のウェハ支持システムで使用される区分化サセプタの上部セクションの第4実施形態の平面図である。
図18は、本発明に係るウェハ支持システムを組み込んだ反応室の別の実施形態の断面図である。
図19は、図18の反応室の平面図である。
図20は、堆積サイクル中のランプの電力比の変化を示すグラフである。
図21は、区分化サセプタの別の実施形態の上部セクションの平面図である。
図21Bは、図21Aに示した上部セクションと嵌合するサセプタの下部セクションの平面図であり、上部セクションの一部分が示されている。
図21Cは、組み立てられてウェハを支持している図21Aおよび図21Bのセグメントの断面図である。
図21Dは、周縁部に切欠きを有するウェハに対する支持ピンの位置をより明確に図示する、図21Cのアセンブリの一縁部の拡大断面図である。
図21Eは、図21Dに類似した図であるが、エッジアラインメントフラットを有するウェハを示している。
図22Aは、両者の関係を図解するために上部セクションの一部が上に重ね合わされた、別の区分化サセプタ設計の下部セクションの平面図を示す。
図22Bは、組み立てられてウェハを支持している図22Aの上部および下部セクションの一部分の拡大断面図である。
図23は、ウェハ支持リングが上部セクションに取り付けられた別のサセプタの上部セクションの平面図であり、下部セクションの一部分が示されている。
図23Bは、図23Aのウェハ支持リングとウェハとの関係を示す拡大断面図である。
図23Cは、図23Aおよび図23Bの支持リングの掃引ガス通路の断面を示す拡大部分図である。
図24は、スペーサまたはブロッカリングの別の実施形態の平面図である。
図25は、図24の線25−25における図である。
図25Aは、図25の線25A−25Aにおける部分図であり、サセプタおよびウェハを破線で示す。
図25Bは、図25の線25B−25Bにおける図である。
図25Cは、図25の線25C−25Cにおける図である。
図26は、ブロッカリングの別の実施形態の平面図である。
図27は、図26の線27−27における図である。
図27Aは、図27の線27A−27Aにおける部分図であり、サセプタおよびウェハを破線で示す。
図27Bは、図27の線27B−27Bにおける図である。
図27Cは、図27Bのリングの変化例の断面図である。
図27Dは、図27Bに示す円27Dで識別した領域の拡大図である。
図28は、代替ブロッカリング構成の断面図である。
好適な実施形態の詳細な説明
図1は、本発明に係るウェハ支持システム22が組み込まれた、半導体ウェハを処理するための反応室20を示す。ウェハ支持システム22の詳細を説明する前に、反応室20の要素について説明する。この支持システムは多くの種類のウェハ処理システムに適しており、別のものを図18および図19に示すが、ここでの説明は1つの特定の反応室に限定されない。
反応室20は、上壁24、下壁26、上流フランジ28、および下流フランジ30によって画定される石英管で構成される。図には示さないが、壁は、凹状の内壁と、横方向の断面から見たときに凸レンズの形状を持つ凸状の外面を持ち、反応室20の横方向端部は比較的厚いサイドレールを含み、それらの間に反応室支持板32が取り付けられる。図1は、反応室20の中心垂直面に沿った長手方向断面図であり、凸レンズ形状の垂直方向の寸法を示し、従ってサイドレールは示されていない。反応室20は石英で製造することが好ましい。反応室支持板32は真空処理中の反応室20を強化するものであり、サイドレール(図示せず)の間に、好ましくは反応室20の中心線に沿って伸長する。支持板32は、サイドレールの間の反応室20の横方向の寸法全体にわたって伸長する空隙または開口35を画定するアパーチャ33を含む。アパーチャ33は支持板32を、上流フランジ28からアパーチャの上流端部まで伸長する上流セクションと、アパーチャの下流から下流フランジ30まで伸長する下流セクションとに分割する。支持板32の上流セクションは長手方向の長さが、下流セクションより短いことが好ましい。
細長い管34が、下壁26の中心に位置する領域から垂下する。駆動軸36が、管34内を通って反応室20の下部領域38内へ伸長する。下部領域38は、中央反応室支持板32と下壁26との間に画定される。駆動軸36の上端はテーパを付けられ、区分化サセプタ42を回転するために、マルチアーム支持体またはスパイダアセンブリ40の凹所内に嵌め込まれる。サセプタ42は、擬似的に図示するウェハ44を支持する。電動機(図示せず)は軸36を駆動して、次いでウェハ支持システム22およびその上のウェハをアパーチャ33内で回転する。ガスインジェクタ46は、矢印48で示すように、ガスを反応室20の上部領域50内へ導入する。上部領域50は、上壁24と反応室支持板32との間に画定される。処理ガスは、ウェハ44の上面の上を通過し、その上に化学物質を蒸着させる。システムは一般的に、ウェハ44を加熱してその上の化学蒸着を触発するために、反応室20の外側の周囲に配列された複数の放射加熱ランプを含む。上段1列の細長い加熱ランプが上壁24の外側に図示されており、上段に交差するように配置された下段1列のランプも一般的に利用される。さらに、サセプタ42の下側から上に向けられた集中配列のランプもしばしば使用される。
掃引ガス源37は、質量流量制御装置39を介して駆動軸36に接続されることが略図で示されている。ガスは中空の軸36内の空間に流入し、最終的に、以下でさらに詳しく説明するように、サセプタまで上向きに送られる。ガスを中空の内部に流入させ、かつ軸36を回転させる流体継手は図示しないが、多数の手段によって達成することができ、その1つが、1989年4月18日に発行され、参照によって明示的にここに組み込まれる米国特許第号4,821,674号に示され、説明されている。
ウェハは、ウェハ入口47を通して反応室20内に導入される。ウェハは一般的に、入口47を通して入ると共にウェハ支持システム22上に伸長してその上にウェハを載置するロボットピックアップアーム(図示せず)によって移送される。次にCVDシステムは反応室20を密閉し、1層のシリコンまたはその他の物質をウェハ上に堆積するために、水素などのキャリアガスと一緒に堆積ガスを導入する。処理後、ゲートバルブが開き、ロボットピックアップアームが入口47を通して入り、ウェハをサセプタ42から取り出す。定期的に、反応室20はその後の処理のためにコンディショニングを行わなければならない。一般的なシーケンスは、ゲートバルブを閉じて反応室内にエッチガスを導入し、内壁から特定の堆積物を除去する。エッチング後、シリコン前駆物質がときどき反応室内に導入され、サセプタ42上にシリコンの薄膜が形成される。こうした被覆段階はときどきキャッピングと呼ばれる。エッチングおよびキャッピング段階の後、反応室は水素をパージされ、次のウェハを導入するために加熱される。
管34は駆動軸36よりわずかに大きく、それらの間にパージガス52が流れる空間が得られる。パージガスは反応室20の下部領域38に入り、反応ガスが下部領域に堆積するのを防止するのに役立つ。これに関し、パージガス52はウェハ支持システム22の下に正圧を形成し、これは反応ガスが区分化サセプタ42の側部を回って下部領域38に移動するのを防止する。パージガスは次に、矢印55で示すように、サセプタ42とアパーチャ33の間から上部領域50に抜け、次いで下流フランジ30の細長いスロット60を通して排出される。これにより、反応ガスが下部領域38内に移動しないことが確実になる。パージガスは排気システム58へ続く。反応ガスは同様に、下流フランジ30の細長いスロット60を通って排気システム58から排出される。
温度補償リング62は、ウェハ支持システム22を包囲することが好ましい。リング62は、支持板32のアパーチャ33によって形成される開口35内に嵌め込まれ、ウェハ支持システム22およびリングは開口を実質的に埋め、下部および上部反応室領域38、50の間の構造を提供する。サセプタ42はリング62内で回転するものであり、そこから0.5〜1.5mmの小さい環状間隙をおいて離して配置することが好ましい。リング62を包囲する支持板32のアパーチャ33の形状は、開口35の縁がリングと非常に近接するように円形にすることができる。しかし、概して矩形のアパーチャ33の方が好ましいことが明らかになっている。これに関して、リング62は概して矩形の外周を持つことができ、あるいは円形リングとアパーチャ33の間の間隙を埋める第2構造を利用することができる。以下でさらに詳しく説明するように、サセプタ42は一定外径を持ち、リング62内にぴったり嵌まると共にアパーチャ33を取り囲むように製造することが好ましい。サセプタ42は一定外径を持つが、多数の異なるサイズのウェハを処理するために、様々な構成が設けられることを明らかにしよう。
特定の有利な実施形態では、温度補償リング62は、熱電対64を受容するための空洞を有する2部構造の円形リングで構成される。図示する実施形態では、熱電対64は下流フランジ30に形成されたアパーチャから反応室20に入り、支持板32の下を通って温度補償リング62内に伸長する。一般的に追加密閉は使用しないが、石英フランジ30のアパーチャは、熱電対64の周囲のガス漏れを実質的に防止する。こうした熱電対を3個設け、1個はリング62の先端66で終端し、1個は後端68で終端し、1個はリングの横方向の側面のどちらか一方で終端することが好ましい。区分化サセプタ42の周囲のリング62内の熱電対は、放射加熱ランプの正確な制御のための良好な温度情報フィードバックを提供する。支持板32に取り付けられた複数の折曲りフィンガ70は、リング62をサセプタ42の周囲に支持する。リング62およびその中の熱電対に加えて、中心熱電対72が、中空である駆動軸36内を上向きに伸長し、スパイダアセンブリ40を通ってサセプタ42の中心部の下で終端する。したがって、中心熱電対72はウェハ44の中心部付近の温度の正確なゲージを提供する。本システムでウェハの温度は素早く変化するので、応答時間を高速化するために、熱電対の質量を最小にすることが望ましい。
図2を参照すると、ウェハ支持システム22の第1実施形態が示されている。再び、システム22は一般に、スパイダアセンブリ40のアーム74によって支持される区分化サセプタ42で構成される。アーム74はハブ76から半径方向に外向きに伸長し、所定の半径方向の距離位置で垂直方向に上向きに折れ曲がり、サセプタ42の下面と接触する。区分化サセプタ42は、上部セクション78および下部セクション80で構成され、両セクションは概して平面の円板形の要素である。サセプタ42の両セクション78、80は、グラファイトから機械加工することが好ましく、追加固定手段を使用せずに緊密にはめ合わせて、それらの間のガス漏れを最小限にすることを確実にする。この目的のために受け入れられる上部および下部セクション78、80の隣接する円形表面間の間隙は、0.001インチ未満である。一方または両方のセクション78、80にシリコンカーバイドの薄い被膜を形成することができる。サセプタ42の厚さは約0.30インチが好ましい。
図3の拡大図に関連して、上部セクション78は一般的に、より薄い円形中央部を包囲する外側リング82を含む。外側リング82は上部リムまたは棚84および下部リムまたはスカート86を含み、これらはそれぞれ上部および下部ショルダまたは段88、90で終端する。上段88は、棚84と円形ウェハ受容凹所92との間の移行部を形成する。下段90は、スカート86と上部セクション78の下面の環状凹所94との間の移行部を形成する。上部セクション78はさらに、上部セクションの中心軸に対し対照的にかつ凹所92内に配置された円形パターンの掃引ガス出口96を含む。
サセプタ42の軸を中心として同心円の周囲に分布する間隔をおいた位置に、複数の端ぐり穴98が上段88に近接して形成される。端ぐり穴98は、円形凹所42に開口した小さい貫通穴と、前記小さい貫通穴と同心でありかつ下向きに環状凹所94に開口した大きい端ぐりとを含む。各端ぐり穴98は、円形凹所92内に突起するウェハ支持体またはスペーサ100を受容するような大きさにする。ウェハ44は、凹所92の床面上でスペーサ100上に置かれる。これに関し、凹所92は、その内部にウェハを受容してウェハの縁が段88に非常に接近するような大きさにする。上部セクション78はさらに、下向きに垂下し、環状凹所94の半径方向に内側の境界を画定する中心支軸102を含む。中心熱電対空洞104は、前述の中心熱電対72の感知端部を受容するために、支軸102内に画定される。
図3および図5に関連して、環状下部セクション80は、上部セクション78の下向きに垂下する支軸102の周囲に嵌まる大きさにした中心貫通穴106を含む。下部セクション80の上面は、複数のガス通路溝を含む。さらに詳しくは、複数のガス流路110と中央円形送出溝112との間に、あるパターンの曲線分配溝108が伸長する。溝108および112は各々半球形の断面を持ち、下部セクション80の厚さの半分にほぼ等しい深さを有する。各々のガス流路110は下向きに、浅いスパイダアーム空洞114内に開口している。
図3および図6に関連して、スパイダアセンブリ40をさらに詳しく説明する。中心ハブ76は、下面116から上面118まで伸長する垂直貫通穴を有する概して中空円筒形の部材を含む。貫通穴は、下部主軸受容テーパ部120と、中央ガスプレナム122と、上部熱電対チャネル124で構成される。下部テーパ部120は中空駆動軸36のテーパ付き上端部を受容し、2つの要素はぴったりと嵌め合うように同一テーパ角を有する。熱電対チャネル124は、区分化サセプタ42の上部セクション78の熱電対空洞104に上向きに伸長する中心熱電対72を受容する。ガスプレナム122は、支持アーム74の各々と位置合わせした複数のアパーチャ126を有する。これに関して、支持アームは中空であり、内部に掃引ガス通路128を画定する。上方向に向けられたアーム74の終端部は、環状リップ130によって強化される。リップ130は、下部セクション80の下面の浅いアーム受容空洞114内にぴったりと嵌まる大きさにする。軸36はスパイダアセンブリ40を回転可能に駆動し、これは次に、リップ130と下部セクション80の下面の浅い空洞114との間の位置合わせによって、サセプタ42を駆動する。
代替実施形態では、スパイダアセンブリ40の湾曲アームを1対の直交方向に配置した管に置換することができる。つまり、3つのアームの各々の代わりに、第1管は中心ハブ76から半径方向に外向きに伸長し、それに直交し上向きに伸長してアーム受容空洞114内にぴったりとはまるより大きい第2管と結合する。この配列は、コーンパイプに似たようなもので視覚化することができる。各アームの第1管は、ハブ76から水平方向に放射するか、またはわずかに上向きに傾斜することができる。湾曲した石英管ではなく、まっすぐな円筒形断面を利用すると、製造コストが安価になる。
図2に戻ると、スペーサ100は幾つかの形状を取ることができる。図2aに詳細に示す1つの好適な実施形態では、スペーサ100は、丸みを帯びた小さいヘッドを有する細長い上部132を含むピンの形状である。細長い部分132より大きくした基部134は、端ぐり穴98内に嵌まる。基部134は下部セクション80の上面に置かれる。複数のスペーサ100の細長い部分132のヘッドは同じ高さに終端し、ウェハ44のための平坦の支持面を提供する。端ぐり穴98の上部は直径が約0.062インチであり、スペーサ100はその中に嵌め込まれる。スペーサ100は、ウェハを凹所の上に好ましくは約0.010〜約0.200インチの範囲、さらに好ましくは約0.060〜約0.090インチの範囲の間隔をあけて配置し、最も好ましくはスペーサ100は、ウェハ44を凹所の床面から約0.75インチの高さに支持する。これは、一般的なウェハの厚さの約3倍である。この間隔は、0.005〜0.010インチの程度であるサセプタやウェハの表面の平坦度からの偏差よりかなり大きい。またこの間隔は、ウェハのピックアップも促進しながらサセプタとウェハ間の熱的接触を最適化するように設計された、先行技術のサセプタの上面のグリッドの深さよりずっと大きい。好適な実施形態では、凹所92の深さおよびスペーサ100の高さは、ウェハ44の上面が外棚84の平面にきて、凸凹や移変りが最小になると共にその上のガス流が円滑になるようにする。代替的に、棚84は、所望のウェハ44の上面の上または下に形成することができる。
図2bに示す代替実施形態では、スペーサ100は球136の形状を取り、これは上部セクション78の上面に形成された受台138内に嵌め込まれる。スペーサ100は、上部セクション78内に一体的に形成することもできる。スペーサ100の上部ウェハ接触部は、ウェハとの接触面積を最小にするために丸くするか、または一点で終端させることが望ましい。
しかし、図2cは、ウェハがピン上に載置されるときに短い距離落下するシステムで有用な代替ピンヘッド構成を示す。つまり、あるウェハ移送システムではウェハはいわゆるベルヌーイ棒の使用によって保持され、そこでは、ウェハは、ウェハの上面を棒に触れることなく、半径方向に外向きのガス流によって上から保持される。ウェハがサセプタの少し上の所定の位置に移動した後、ガス流が遮断され、ウェハはスペーサ上に落下する。落下距離は非常に小さいが、点接触するスペーサピンはスペーサと接触するウェハの表面にチッピングや損傷を生じる多少の可能性がある。その可能性を最小にするために、図2cのピンヘッドは、平坦な上部表面139と丸みを帯びたショルダ139aを持つ。好ましくは、平坦な領域の直径は約0.025″〜0.045″の範囲内とするか、または約0.055″の上部表面全体を平坦にすることができる。また、平坦な表面139は、ウェハを損傷する可能性のある表面の凸凹を除去するために、研磨することも望ましい。
固定されたスペーサ100は、ウェハを区分化サセプタ42の上に間隔をあけて配置するように、ウェハ44用の平面支持台またはスタンドを画定し、これに関して、少なくとも3個のスペーサが必要であるが、それ以上設けてることもできる。好ましくは、スペーサ100はセラミックあるいは天然または合成サファイヤで製造し、サファイヤは酸化アルミニウムから誘導される単結晶である。代替構成では、スペーサ100は無定形石英で作ることができるが、この物質は最終的に反応室20内での反復熱サイクルにより不透明にすることができる。スペーサに使用できる別の材料として、モノクリスタルすなわち単結晶石英、シリコンカーバイド、窒化シリコン、炭化ホウ素、窒化ホウ素、窒化アルミニウム、および炭化ジルコニウム、またはウェハ反応室内の極温および化学環境に耐えることができるその他の高温耐性材料が含まれる。これらの材料はいずれも、処理ガスへの暴露によるスペーサの劣化を防止するために、Si、Si3N4、SiO2、またはSiCで被覆することができる。
ウェハとサセプタ42との間に入り込む反応ガスによるウェハ44の裏面の汚染を防止するために、新規の掃引ガスシステムを提供する。このシステムはまた、ウェハと接触するガスであって、加熱しなければ局所的冷却およびウェハ上のスリップの可能領域を生じるおそれのあるガスを余熱する。さらに詳しく、図2に関連して説明すると、掃引ガスは、矢印140で示すように、中空駆動軸36を通してウェハ支持システムに入り、プレナム122内に入る。ガスは次にアパーチャ126を通して、アーム74内の掃引ガス通路128内に分配される。ガスは、下部セクション80のガス流路110内への入口流142に続く。分配溝108は上部セクションの下面と共に、上部および下部セクション78、80間のガスチャネルを画定する。図5を参照すると、ガスは様々な分配溝108に従ってチャネルに沿って流れ、最終的に円形送出溝112に達し、その後、矢印144で示すように掃引ガス出口96を通して排出される。分配溝内のガス流は矢印146で示す。送出溝112内へのガス流は矢印148で示す。分配溝108の特定の構成は、図5に示すそれとは異なることができる。図示した構成は、掃引ガスを下部セクションにおける遠回りの対称的な経路にチャネル化することによって、下部セクション80および区分化サセプタ42の全体としての温度の不均等性を低下するのに役立つ。溝108は、ガス流路110から中央円形送出溝112および掃引ガス出口96まで、非線形経路を取ることが望ましい。
円形送出溝112は、円形パターンの掃引ガス出口96の真下に形成される。図4に示すように、溝112内のガスの均等な分配により、出口96から出ていく掃引ガス流148が、半径方向に外向きの方向に、サセプタ42の中心に対して軸対称になることが確保される。この方法により、ウェハとサセプタの間に入り込む反応ガスがあっても、ウェハの下から半径方向に外向きの方向に掃引される。中空軸36および区分化サセプタ内の掃引ガスは5標準リットル/分未満の流量を利用することが望ましく、3標準リットル/分未満の流量が好ましい。
他のガスを置換することもできるが、多くのCVD法と相容性があることから、水素が好ましい。パージガスの使用によるウェハの裏面における優れた制御の結果、ウェハがサセプタと接触するシステムとは異なり、両面研磨のウェハを正常に処理することができる。
本発明は、様々な処理圧に対して中空軸36および区分化サセプタ内の掃引ガスの流量を調節するために、質量流量調整器39を含む。つまり、ある処理は大気圧で行われ、ある処理は減圧で行われる。流量調整に一定の制限がある場合、他の全ての変数を同位置に維持しても、減圧処理では掃引ガス出口96のガス流量が、大気圧処理に比べて増加する傾向がある。したがって、質量流量調整器39は処理圧力とは独立して作動し、5標準リットル/分の一定流量を確保する。
図7および図8は、図2に示したウェハ支持システム22と同じ要素を一部利用する別のウェハ支持システム22′を示す。さらに詳しくは、スパイダアセンブリ40および区分化サセプタ42′の下部セクション80は、第1実施形態に関連して図示しかつ説明したものと同じである。しかし、区分化サセプタ42′は変形上部セクション78′を含み、外側リング82′は上部棚84′および下部スカート86′で構成される。上部棚84′は、第1実施形態に関連して説明した棚84と同様の大きさであり、円形凹所92′に通じる円形段88′で終端する。円形凹所92′は半径方向に外向きに下部セクション80を通過して伸長する。それに関連して、下部スカート86′は、第1実施形態で説明したスカート86に比較して半径方向の寸法がかなり大きいが、段90′は第1実施形態の段90と同じ大きさである。これにより、上部セクション78は、第1実施形態の場合と全く同様に、その中に環状下部セクション80を受容することができる。
図7に示すように第1実施形態とは異なる点として、サセプタ42′は、サセプタ42′の中心軸の周囲に円周上に分布される複数のサポートピン150の形の複数のスペーサを、上部段88′と下部段90′との間の領域に含む。さらに詳しくは、ピン150は、凹所92′から延長スカート86′まで上部セクション78′内を伸長し、段付き空洞152内を伸長する。示したピン150は、図2aおよび図2bに関連して説明した最初の2つの実施形態とは幾分異なり、ウェハ44′と接触する丸いヘッドを有する単純な円筒形要素を含む。
サセプタ内のガス通路溝の代替実施形態を図9および図10に示す。前と同様に、スパイダアセンブリ40が、上部セクション162および下部セクション164を有する変形サセプタを支持する。下部セクション164は、下向きに開口してスパイダアセンブリアーム74の上端を受容する3つのガス流路166を含む。この点に関し、掃引ガス入力の位置は、最初の2つのサセプタ実施形態42および42′の場合と同じ位置にある。しかし、そこから、下部セクション164の上面の分配溝168は半径方向に外向きに外側円形溝170へ伸長する。二次溝172は掃引ガスを半径方向に内向きに送り、一連の同心円形送出溝174a、174b、および174cを横切る。各二次溝172は、各対の分配溝168の間に画定される夾角を二等分する線に沿って位置することが好ましい。
図9および図10を見ると、上部セクション162は、円形送出溝174a、174b、および174cに対応する一連の同心円に配置された複数のガス出口を含む。さらに詳しくは、第1群の出口176aは、最も小さい送出溝174aと同一半径位置の内側の円178aに添って位置する。同様に、あと2つの出口群176bおよび176cは、外側送出溝174bおよび174cに対応する外側の同心円178bおよび178cの周囲にそれぞれ配置される。
各々の円178a、b、cの周囲に均等に配分された4つの出口176が図示されているが、それより多数または少数の出口を設けることもできる。さらに、出口176の円周方向の配向は、図示するように円178の間で互い違いにすることができる。1つの円178につき4つの出口176がある場合、出口の各パターンを他のパターンの1つに対して30°回転させる。代替的に、例えば1つの円につき互い違いに均等に配分された円178あたりの8つの出口176は、各パターンの出口を他のパターンの1つに対して15°回転することを意味する。パターン間の互い違い配置によって、出口176を整列させた場合より、矢印180によって示すように、ウェハの下により効果的なガス掃引が発生する。
別の変化例では、出口176aの内側円178aが円形送出溝112と整列する限り、上部セクション162を、図3および図5に関連して上述した下部セクション80と一緒に使用することができる。この場合、出口176b、cの外側円178b、cは使用されない。さらに、内側送出溝174aが円形パターンの出口96、96’と整列する限り、下部セクション164を上述の上部セクション78、78′のいずれかと使用することができる。この場合、外側送出溝174b,cは使用されない。いうまでもなく、他の変化例も考えられる。
ウェハ44と区分化サセプタ42の間の分離は、3つのスペーサ100によって提供される最小直接支持体と共に、ウェハおよびサセプタを両者間の熱伝導を効果的に緩和する。したがって、ウェハ44の温度は主として、反応室の周囲のランプによって与えられる放射熱流束から影響を受ける。
スパイダアセンブリ40は、下部加熱ランプから放出される放射熱の妨害を最小にするために、サセプタ42の下面に透明な支持体を設けるように石英で構成することが好ましい。石英が好適であるが、比較的高い放射熱透過率を持つ他の物質を利用することもできる。スパイダアセンブリ40を作成するには、最初にハブ76を適切な形状に機械加工する。管状アーム74をまっすぐな部分から折り曲げ、例えば溶接によってハブ76に取り付ける。熱処理および火造りにより、石英の内部応力が減少する。
図11は、再び同心円の凹所204を上面に有する区分化サセプタ202および凹所内に配置された複数のウェハ支持スペーサ206で構成される、本発明の別のウェハ支持システム200の平面図を示す。
区分化サセプタ202の上部セクション208を示す図12に関連して、浅い凹所204は、サセプタの最も高い表面を形成する棚212に通じる円形段210によってその外周部を画定される。構成は多くの点で、上述のサセプタと同様である。
前述のサセプタと異なる点は、区分化サセプタ208が、2つの同心円の掃引ガス出口を含むことである。12個の掃引ガス出口214の外側の円が、12個の掃引ガス出口216の内側の円を取り囲む。図12から、外側の掃引ガス出口が、区分化サセプタ208の中心の周りに30°の間隔、すなわち1:00、2:00等の位置に分配されていることを容易に理解できる。一方、内側の円形の掃引ガス出口216は、外側の円に対して15°位置がずれ、したがって、外側の円の出口の中間の12:30、1:30等の回転位置を占める。掃引ガス出口の数のこの増加および同心円の互い違いの関係により、図9に関連して述べたように、ウェハの下の掃引ガスの均等性が高まり、その性能が向上する。
図11は、区分化サセプタ202の上部セクション208と下部セクション218の間の境界219を破線で示す。下部セクションの平面図を図13に示す。下部セクション218の外周は、その周囲に120°の間隔で配置された3つの平坦部220を除いて、実質的に円形である。下部セクション218の外周は、図12に破線で示し、図14、の断面図に示されるように、上部セクション208の同様の形状の下部段222内に嵌め込まれる。下部セクション218の平坦部220は、下部段222に形成された内向きの平坦部224と協働して、上部セクション208を下部セクション218を一緒に配向する。下部セクション218はさらに、小さい中心貫通穴226を含み、その中に下向きに垂下する上部セクションのハブまたは支軸228が嵌め込まれる。
下部セクション218の下面は、上述したものと同様の3つの浅いスパイダアーム空洞230を含む。空洞230は、サセプタの下部セクション218の上面に形成された複数のガス分配溝234に通じる垂直ガス流路232と連絡する。図13に示すように、各ガス流路232は、最初に半径方向に外向きに、次にサセプタの下部セクションの外周に隣接して円周方向に、そして最後に概して半径方向に内向きに下部セクション218の中心に向かって伸長する遠回りの経路を通る末広溝234と連絡する。この方法により、掃引ガスは実質的にサセプタ全体を概して軸対称パターンで流れ、高温サセプタから掃引ガスへ、およびその逆の均等な熱伝達を達成する。
両方のガス分配溝234は、下部セクション218に同心円に形成された連続外側円形送出溝236と交差する。外側の溝236から、複数の傾斜スポーク238は、再び下部セクション218に同心円に形成された内側送出溝240に通じる。ガス分配溝234は各々のスポーク238に直接つながるように示されているが、他の構成も可能である。さらに、スポーク238は、概して接線角度で内側円形送出溝240と交差するように示されているが、他のより直接的な半径方向の角度で接続することもできる。ガス流路232は掃引ガス出口216から半径方向に外向きに配置され、ガス分配溝234はそれらの間に、望ましくは通路232のいずれかと出口216との間の直接線より長く、最も好ましくは図に示すような遠回りのパターンで、非線形経路を取ることが望ましい。
内側円形送出溝240は、上部セクション208が下部セクション218上に結合されたときに、掃引ガス出口216の内側円の真下に位置する。同様に、外側円形送出溝236は、掃引ガス出口214の外側円の真下に位置する。この構成により、区分化サセプタ208の上面における出口214、216への掃引ガスの均等な圧力および供給が可能になる。上部および下部セクション208、218の間に形成される圧力は、掃引ガス出口214、216の数の増加および入口ガス流路232の大きさの低下によって、前述の実施形態より多少低下する。さらに詳しくは、入口ガス流路232は約0.060〜0.070インチの直径を持つ。図11は、流路232から分配溝234を通るガス流を矢印242で示す。
上述の実施形態と異なる点は、図12に示すように、スペーサ206の各々にガス分配溝234の1つからパージチャネル244を介してパージガスが供給される。これらのパージチャネルは図14に断面が示されており、それぞれのガス分配溝234から直接スペーサ206へ伸長する。この方法により、246で示すパージガス流の連続供給は、各スペーサ206の周囲の領域に供給される。各々のスペーサ206は、凹所204の上面に形成されたアパーチャ250内に嵌め込まれる。パージガスがスペーサの周辺に上向きに流れてスペーサを堆積ガスから保護することができるように、スペーサ206とそのアパーチャ250との間に隙間が設けられる。さらに詳しくは、ウェハ248が存在しないときに、出口214、216からの掃引ガスは、各々のスペーサの周囲に外向きにではなく、一般的に上向きに反応室内に流れる。これにより、スペーサ206はエッチガスまたはキャッピングガスから保護されない状態に放置される。スペーサは、下方の円筒形基部252および丸い上面を持つ上方の細長い円筒形ピン254によって画定される。ピン部254はアパーチャ250に対して小さく、パージガス流246がそこから入ることができる。あるの実施形態で、ピン254は0.050から0.055インチの間の直径を持つ一方、アパーチャ250は0.062から0.067インチの間の直径を持つ。
本発明は、処理されるウェハの大きさによって、様々な上部セクションの選択を可能にするサセプタの組合せを提供する。こうした組合せは、支持板32を有する反応室20で特に有用である。上述の通り、サセプタは、リング62内に納めるために一定の外径および支持板32のアパーチャ33を有することが好ましい。上部セクションはサセプタの外周を画定するので、ウェハの凹所の大きさは、様々なウェハの大きさを収容するために変化するが、一定の外径を持つことは必要である。各々の上部セクションの底面形状は、単一下部セクションと嵌めあうように設計され、これによりコストは多少低下する。図11〜図17は、4つの異なるウェハの大きさのために4つの異なるサセプタの組合せ200、258、278、および300を示す。他の大きさのウェハも、そのような組合せによって収容することができ、最大サイズは、サセプタの外径によってのみ制限される。
図15は、ウェハ支持システム200の上部セクション260の第2バージョンを示す。下部セクションは、図11〜図14に関して説明したものと同じである。実際、上部セクション260と下部セクション218との間の境界262は上述の場合と同じであり、下部セクションのガス分配溝234は同じ位置にある。上部セクション250は、縮小した直径の凹所264が上述のバージョンと異なる。凹所264は円形段266によって画定され、これが次に棚268のより大きい半径方向の寸法を形成する。上部セクション260は、凹所264内により小さいサイズのウェハを支持するように適応される。これに関して、複数のスペーサ270が、サセプタの中心の周りに120°の間隔で、約150mmのウェハの適切な支持体を提供する半径方向の距離位置に配置される。パージガス溝234をスペーサ270に接続するために、短縮されたパージチャネル272が設けられる。
図16は、ウェハ支持システム200の上部セクション280の第3バージョンを示す。再び、下部セクションは前と同一であり、上部および下部セクションの間の境界282も同一である。上部セクション280は、円形段286で終端する拡大棚284を含む。こうして形成される凹所288は、直径が約125mmのウェハを受容する大きさである。パージチャネル288は、縮小サイズのウェハを支持するのに充分な半径方向の寸法位置の捕捉されたスペーサ290の周囲のアパーチャに通じる。ガス分配溝234は凹所266から半径方向に外向きに伸長し、次に内向きに円形送出溝まで続くことに注意されたい。
図17に示す上部セクション302の第4の例では、段304はさらに内側に移動し、凹所306は100mmのウェハを支持するのに充分な大きさに縮小する。サセプタ300の下部セクションは前述と同一なので、再びインタフェース308は同一位置に維持される。外棚310はこの実施形態で大きく拡大される。サセプタの中心の周りに120°の間隔で3つのスペサー312が設けられ、3つの関連パージチャネル314によりガス分配溝234がそこに接続される。スペーサ312の半径方向の位置は、サセプタの下面の3つのガス入口アパーチャによって形成される円内にあることに注意されたい。実際に、ガス分配溝234は凹所306から半径方向に外向きに伸長し、次に内向きに円形送出溝に続く。さらに、支持アーム受容空洞の位置は凹所306のすぐ外側であり、したがってウェハがサセプタ300上に配置されたときにその外側になる。凹所306を包囲する棚310は、ウェハから少なくともウェハ直径の半分の距離だけ半径方向に外側に伸長する。
ここで図21A〜図21Eを参照すると、区分化サセプタの別の変化例が示されている。図21Aは、サセプタの最も高い表面を形成する棚412に通じる円形段410によってその外周を画定される浅い凹所404を有する上部セクション408を示す。間隔をあけて配置される円周上の掃引ガス出口416は、円形段410にかなり近接して配置される。図示される配列では、24の出口が設けられている。円周方向に間隔をおいて配置された1周の支持ピンまたはスペーサ穴450は、段にさらに近接して配置される。この配列により、ウェハ支持ピンまたはスペーサは、ウェハの下面をその外周に隣接して係合する。ウェハは一般的にその外周に位置合わせ平坦部または切欠き部を有するので、上述の配列のように3つではなく、6つの支持ピンが設けられている。したがって、ウェハ位置合わせ平坦部または切欠き部は支持ピンと整列して、特定のピンによってほとんどまたは全く支持が得られない場合でも、ウェハはまだ他の5つのピンによって適切に支持される。
図21Bに示すように、サセプタの下部セクション418は、上述のものと同様の浅いスパイダアーム空洞430を含む。空洞は、サセプタの下部セクション418の上面に形成された複数のガス分配溝434に通じる垂直ガス流路432と連絡する。図21Bに示すように、各ガス流路432は、外周上の間隔をおいた位置で外側環状溝435に通じる回り道を通る溝セクションと連絡する。各経路の1つのセグメントは最初に半径方向に外向きに伸長し、次に内向きに反転して、馬蹄形のような形を形成し、次に円周方向および半径方向に外向きに伸長して第2馬蹄形部分を形成した後、外側溝435で終わる。経路のその他のセクションは、最初に半径方向に内向きに伸長し、次に半径方向に外向きに湾曲し、次に円周方向に湾曲した後、外側溝435で終わる。
図21Bの上部セグメント408の断片部分から分かるように、また図21C、図21D、および図21Eにさらに示すように、外側溝435は、1周の掃引ガス出口416円の下に位置する。掃引穴を外周に非常に近接して配置することによって、裏面堆積の危険性が大幅に低下する。さらに、ガス流路434は、ガス出口216の数の増加とあいまって、掃引ガス流量を増加する。また、ウェハの外周と周囲の凹所の壁との間の間隔を約0.10インチに減少することにより、堆積ガスがウェハの下に入り込む可能性はさらに最小になる。
支持ピン空洞430をサセプタの凹所の外周に隣接して配置することによって、ウェハ支持ピン446の上面は、排他ゾーン449と呼ばれる外側領域でウェハ448の外周の下面を係合する。このゾーンは通常、半導体回路チップの部分にはならない。したがって、支持ピンによって生じるかもしれないウェハの下面のわずかな傷は問題にならない。
図21Dは、ウェハに位置合せ切欠き451が形成されている状況を示す。こうした配列の場合でも、ウェハが中央に置かれ、かつウェハの縁と周囲の凹所との間の間隙が小さい限り、切欠きが偶然ウェハと整合すれば、ピンはウェハと係合する。実際には、間隙が充分に小さければ、たとえウェハがサセプタの中心に置かれていなくても、ピンはウェハと係合する。
図21Eは、ウェハの平坦部453が支持ピン446の位置にくる状況を示す。図から分かるように、スペーサピンは事実上ウェハと係合しないが、ウェハは5つの他のスペーサによって支持されるので、これは重要ではない。
図22Aおよび図22Bは、別の区分化サセプタアセンブリを示す。ここでは、3つのスパイダアーム流路532と交差する円形溝537を持つサセプタの下部セクション518が示される。浅い環状凹所539が、溝537から下部セクションの外周518Aに近接する円形縁部541まで伸長する。さらに詳しくは、縁部541は、図22Aに一部断片が示されているサセプタの上部セクション512の外周に隣接して円周方向に間隔をおいて配置された掃引ガス出口516の円陣の半径方向にすぐ外側に位置する。6つの支持ピン546も都合よく図22Aに示されている。図22Aおよび図22Bの配列に示す出口516の円陣は、3倍の数の出口が示されている以外は、基本的に図21Aに示したものと同一である。したがって、この配列では、200mmのウェハ548を受容するように適応されたサセプタのために、72個の出口が利用される。出口の厳密な数は、言うまでもなく変化させることができるが、図22Aに矢印で示すように、多くの掃引ガス出口を持ち、これらの出口に掃引ガスを供給するために、浅いが大面積の環状凹所539を設けると好都合である。ガス流量の増加は、堆積ガスがウェハの裏面に達する危険性を大幅に減少する。
図23A、図23B、および図23Cは、複数のピンではなくリングの形態のスペーサを採用する以外は、上述の配列のどれにでも類似させることができる配列を示す。さらに詳しくは、区分化サセプタ602の上部セクション608の浅い凹所604内に配置された、薄く概して平坦なスペーサリング615が示されている。リング615の外周は、サセプタの上面を形成する棚612に通じる円形段610によって画定される凹所の縁部のすぐ内側に位置する。リング615は内向きに、図21および図22の配列で支持ピンによって占有される位置付近まで伸長する。図23Bから分かるように、リング615の上面615aは、完全な水平ではない。それどころか、半径方向に内側に向かって下向きに勾配または傾斜している。したがって、半径方向に外側の部分が縦方向に最も厚い。ウェハによって係合される領域のリングの縦方向の厚さは、上述の配列のサセプタの上部セクションの凹所から上に突起する支持ピン部分の高さに等しい。ウェハはサセプタから熱的に効率よく分断され、サセプタの外棚の上面に対して適切に配置される。ウェハ648の下面の外周だけがリング615と係合するので、リングはウェハの裏面に傷が付くのを防止または最小にする。さらに、取るに足りない影響があってもウェハの排他ゾーン内であり、ウェハの縁部プロファイルに限定される。
複数の半径方向に伸長する通路または溝617bが、スペーサリング615の上面に形成される。200mmのウェハを受容するためのサセプタに、32の通路が図示されている。図23Aから分かるように、これらの通路は円周方向に間隔をおいて配置され、図23Bに矢印で示すように、掃引ガスのための出口を提供する。これらの通路の間および周囲のリング本体は、堆積ガスがウェハの裏面に流入するのを阻止する。
図23Cは半球形の断面の通路615bを示すが、言うまでもなく、他の構成を採用することができる。通路の断面積および数は、図23Bに示すサセプタの下部セクション618の通路632を介して提供されるガスと矛盾せず、所望の流量が得られるように選択する。通路632が便宜的に図23Aに示されているが、サセプタの下部セクションのその他の詳細は示されていない。上述した通り、上述のどの掃引ガス配列でも、図23A〜図23Cのリング概念と共に使用することができる。実際、支持リングは、ピン穴がリングの使用と抵触せず、かつ掃引ガス系統に有意の影響を及ぼさない限り、支持ピンを受容するように設計されたサセプタの上部セクションと共に使用することができる。したがって、ユーザはどちらの方法でも使用することができる。
リングは支持ピンまたはサセプタと同一物質で作ることができ、便利である。
上述のウェハ支持システムの試験で、反応システムの特定の側面が、満足できる結果を得る上で特に重要であることが分かった。図18は、入口部の平坦な上壁324および平坦な下壁325、ならびに平坦な垂直壁327によって壁325から一段下がった平坦な下壁326を持つ矩形反応室を示す。水平壁324、325、および326は、平坦な垂直側壁328および330と接合して、浅い矩形の入口部およびそれに隣接した深い矩形部を有する反応室を形成し、前記深い矩形部にはサセプタ382および温度補償リング362が配置される。
サセプタを包囲するリング362は、図19に示すように、概して矩形の外形を持つことが好ましい。さらに、投影された放射熱パターンまたはカラムが同様にリングと概して整列するように、図18の石英反応室の上壁および下壁324および326の上および下の放射加熱ランプ列351および352が、概して矩形であってリングの外形と一致する外形を画定することも望ましい。つまり、熱は、リングに隣接する石英の壁に向けられるのではなく、主としてリングおよびサセプタに向けられる。この加熱構成は非常に効率的であり、リングおよびサセプタ全体の均等な温度および堆積を促進する。ちなみに、サセプタの中心部の下のスポットランプ353は、下部ランプ列352の一部とみなされる。
リングは、反応室の底部に載置した適切な石英台356上に支持される。隣接する石英構造物から伸長する棚またはフィンガを利用するなど、代替支持配列を使用することもできる。リングおよび放射ランプのこの構成は、平坦な上壁および下壁328および326ならびに垂直側壁324および330によって形成される概して矩形の断面を有する反応室で特によく機能することが明らかになっている。
矩形の反応室と矩形のリングの組合せは、ウェハ全体の処理ガスの流動を簡素化する。矩形のリングにより、図1の46のようなインジェクタを介して導入される処理ガスは、反応室の幅全体にわたって一般的に均等に消費されるので、処理ガスの速度分布を、図19に矢印331で概略的に示すように、反応室全体で概して均等にすることができる。したがって、断面が矩形のリングおよび矩形の反応室の場合、中心部の流量を高める必要がないので、必要なキャリヤガスが最小限ですむ。キャリヤガスの流量の低下は、ウェハの冷却効果が低いことを意味する。間隔をおいて配置されるウェハは、サセプタに直接支持されるウェハの場合より、冷却ガス流に対して大きく反応するので、サセプタから間隔をおいて配置されるウェハにとって、これは重要である。水素ガスの量は、原型システムでは約75%減少した。言い換えると、キャリアガス対堆積ガスの比率が、少なくとも約15:1から少なくとも約5:1に低下したことになる。
ウェハがサセプタから熱的に分断された場合、ランプ列の熱出力の不均等制に極めて敏感すなわちよく応答することが分かった。例えばランプの間隔や、ウェハおよびサセプタ382からのランプ列の距離は、ウェハ上で得られる熱パターンの均等性に影響する。したがって、ウェハをサセプタ382から間隔をおいて配置した場合、ウェハと上部ランプ列351の間の距離は、ウェハをサセプタ上に直接配置した場合に使用した距離より大きくすることが望ましいことが明らかになった。同様に、サセプタから下部ランプ列352までの距離を増加することが望ましいことが明らかになった。しかし、ウェハと上部ランプ列351との間の空間は、下部ランプ列352とサセプタとの間の空間以上に大きくすることが望ましいことが明らかになった。
開示した様々な配列の全てに共通して、ウェハはサセプタから熱的に大幅に分断された反応室内に支持される。つまり、ウェハは、ウェハをサセプタの上にかなりの距離をおいて配置するスペーサまたはピン上に支持される。ピンはウェハとの接触が最小限である。掃引ガスは、ウェハの温度に対する影響が取るに足りず、しかしそれでも処理ガスがウェハの裏面に堆積するのを効果的に防止するように、新規のサセプタ設計によって予熱される。ウェハは基本的にサセプタから分断されているので、ウェハがサセプタと接触しているシステムに比べて、より迅速にウェハを加熱することができる。
ランプ列351および352は、図18に390で概略的に示した適切な電子制御装置によって制御される。制御装置は、サセプタを包囲するリング内の温度センサおよびサセプタの下面の中心部に配置されたセンサからの信号を受信する送信器構成部品を含む。これらの温度信号は、加熱器制御回路機構に送信される。さらに、特定の堆積サイクルに対して所望する様々な温度設定値などの温度制御情報が、加熱器制御回路機構に入力される。この情報は次に制御回路機構によって処理され、制御回路機構は加熱アセンブリの電力を制御する制御信号を生成する。こうしたシステムのこれ以上の詳細は米国特許第4,836,138号に開示されており、これを参照によってここに組み込む。
そうした先行システムでは、上部および下部ランプ列の一部のランプが1つのユニットとして調節されるゾーンとして、ひとまとめに制御される。つまり、電力比が固定されたので、上部列のランプの電力を増加すると、下部列のその特定ゾーンのランプにも、対応する電力の増加が生じた。制御信号をランプ列に与えられる前に変化させる予備設定比率電位差計を介して、所定のランプ列の温度制御信号を与えることによって、電力比は有利に固定される。他のランプ列は、同様の比率制御回路機構を使用してその制御信号を有利に変更させ、それによってゾーン内のランプ列間に予め設定された電力比を提供する。この方法により、様々なゾーンを独立して調整することができる。ウェーハをスペーサ上に載置する設計の結果として、特許第4,838,138号に記載されたシステムに1つの変更が行われた。サセプタからウェハの熱的分断の結果、特定の加熱ゾーンの上部ランプと下部ランプの間のランプ列電力比を処理中の様々な時点で調整できるようにするために、回路機構にアナログ比率制御機能が追加された。これは、ゾーン内の上部ランプ列におけるランプのための予め設定された比率の電位差計と直列に動的に制御可能な電位差計を追加することによって、現行システム内で有利に達成される。したがって、ゾーン内の上部ランプ列の制御信号は、動的に制御可能な電位差計を使用して変化させることができる。そのゾーンのランプに加えられる総電力はほぼ同一に維持されるので、ゾーン内の上部ランプ列のランプの電力が変化すると、下部ランプ列の対応するランプ352の電力は逆方向に変化する。したがって、2つの間の電力比は変化する。これにより、サセプタおよびウェハが物理的に離れていても、これらの温度を一緒に近接状態に維持することができる。
米国特許第4,838,138号に開示された加熱システムをさらに詳しく参照すると、図6のランプ48Bおよび48Cは上部ランプ列の中心加熱部を形成し、ランプ78Bおよび78Cは下部列の中心部を形成する。上部列と下部列の間の電力比は、上部列のランプ48Bおよび48Cに加える電力を変化することによって、アナログ比率制御を利用して変更されるが、ランプ78B、78C、48Bおよび48Cに与えられる総電力はほぼ同一に維持される。この結果、下部ランプ列の電力が反対方向に変化する。
図20のグラフに、アナログ比率制御を利用する一例を示す。実線は、半導体ウェハの処理のための時間温度レシピを示す。実線は、900℃の開始温度が得られるようにランプを設定した状態で、ウェハが反応室に装填される場合を示す。温度を約30秒間そのレベルに維持する。次に追加加熱を行って、約70秒で約1150℃まで温度を上昇させる。次にそのレベルでウェハを約1分間ベーキングまたはエッチング段階にさらす。次に温度を、冷却器で約30秒間に約1050℃の堆積温度まで低下させる。温度を1050℃で約70秒間予備堆積段階に維持し、その後ウェハに堆積を行いながら約70秒間維持する。次に同様の時間だけウェハを約900℃に冷却させる。次にサイクルを終了し、ウェハを900℃レベルで取り出す。
上述の通り、処理されるウェハがサセプタ上に直接支持される場合、上部ランプ列と下部ランプ列の間の熱の比率は予め定められた比率に維持される。この方法は、サセプタとウェハ間の温度がサイクル全体を通してほぼ同一である限り、サセプタ上に配置したウェハでは満足できる方法である。しかし、ウェハがサセプタの上に間隔をおいて配置される場合、サイクル中にウェハの中心部の上部と下部の加熱列間の比率を変えることが望ましい。図20の破線はアナログ比率制御の一例を提供する。比率変化百分率を図20のグラフの右側の尺度に示す。サイクルの開始時に、比率は変動率ゼロであることが示されており、ランプが定常状態または固定比率位置と呼ばれる状態であることを意味する。これは、上部および下部のランプ列間の電力が必ずしも同一であることを意味しない。稼働システムの一例として、上部ランプは電力の約48%を受け取り、下部ランプは約52%を受け取った。ウェハがサセプタと直接接触した状態で支持される場合、電力比は単にゼロすなわち定常状態ラインに維持されるだけであろう。しかし、ウェハがサセプタから離して配置される場合、それは充分ではない。
ウェハとサセプタの間の温度は、加熱サイクル中、ほぼ同一に維持することが望ましい。ウェハはサセプタの上に間隔をあけて配置され、かつサセプタより質量が小さいので、ウェハはサセプタより迅速に加熱される。したがって、温度が900℃から1150℃まで上昇するサイクル段階中に、ウェハに必要な熱の百分率は低下する。したがって、グラフの破線は、上部ランプに加えられる電力の百分率が、定常状態またはゼロ変化状態より約20%低い比率に低下する。上述の通り、ランプに加えられる総電力は、比率が変化しない場合と同様にほぼ同一であり、したがってこの結果、下部ランプに加えられる電力の百分率が増加する。この比率の変化により、ウェハおよびサセプタの温度は、温度が1150℃レベルにまで上昇するときに、ほぼ同一に維持される。ベーキングまたはエッチング段階で温度がそのレベルに維持される間、可変比率制御は、グラフに示すようにゼロまたは定常状態比率に戻る。
次にウェハを1150℃から1050℃に冷却することが望まれる場合、電力は低下されるが、冷却を制御するために多少の電力が持続される。サセプタから離して配置されたウェハはサセプタより迅速に冷却するので、ウェハをサセプタの温度に維持するために、上部ランプおよび下部ランプの間の比率は、上部ランプの電力を下部ランプの電力より低い百分率に低下することによって変化する。破線で示すように、上部ランプの電力の百分率は、上部ランプの比率が約20%増加するように増加する。ウェハが1050℃レベルに維持される間、電力比は定常状態に戻るので、予備堆積段階が終了し、堆積段階が開始される時点で、電力比はいわゆる定常状態である。堆積後、ウェハを800℃レベルに冷却させることが望ましい。したがって再び、上部ランプの電力の百分率を約20%増加することによって、比率を変化させる。800℃のレベルに達すると、上部ランプに対する電力百分率が低下し、比率はその定常状態に戻る。加えられる総電力はほぼ同一であり、変化するのは上部列と下部列の間の電力比だけであることを念頭に置く必要がある。実際の変化百分率は、言うまでもなく、処理される特定のウェハならびに関係する特定の温度および処理に対して決定する必要がある。アナログ比率制御機能は、所望の結果を得るために乗算回路を使用して、適切な分だけ上部ランプの電力信号を変化させる。
図24および図25は、図23A、図23B、および図23Cと同様の配列を示すが、これはスペーサリング615とは異なる構成を持つスペーサリング715を含む。上面の円周方向に間隔をおいて配置された複数の溝615bを持つ平坦なリングの代わりに、リング715は、図25Bに最もよく示すように、概して平坦な矩形の断面を持つ中央本体部715bを含む。複数のランド、リップ、または突起715aが本体部715bから上向きに伸長して、基板のためのスペーサを形成する。図24に示す配列では、円周上に約60°の均等な角度αの間隔で配置された6個のそうしたランドが設けられる。図25Aから分かるように、ランドはリングの半径方向の完全な厚さだけ伸長するが、ランド25bの上面は、リングの半径方向に外側の端部から半径方向に内側の低くなった端部までわずかに傾斜する。この構成は、基板648との間の接触を、6つのランド位置の非常にわずかな線接触にまで最小化する。さらに、図25から分かるように、ランドの円周方向の幅は非常にちいさく、好ましくはわずか約0.030インチである。ランドの上面の傾斜は、水平方向からわずか約2°である。ランドの代わりに、他の形状を持つ突起または突出物を使用することができる。
リング715はさらに、本体部715bから円周方向に間隔をおいて垂下する複数の脚715cを備えている。さらに詳しくは、図24から分かるように、1対のそうした脚がランド715aにまたがり、ランドから円周方向に10°の角度βの間隔で配置される。これにより、全部で12の脚が各ランド715aの両側に2つづつ隣接して形成される。図25A、図25B、および図25Cから分かるように、脚715cの外側下隅部が面取りされている以外、脚はリング本体715aの幅全体に伸長する。
サセプタの上部にウェハを支持するスペーサは、ウェハとサセプタの間のガスより伝熱抵抗が小さい。したがって、ウェハ内の接触領域付近に、望ましくない熱勾配が発生する可能性がある。これは、システムの急速な熱上昇中に発生する大きい熱勾配のときに、最も顕著である。脚715cから円周方向に間隔をおいて配置されたランド715aを持つ利点は、サセプタとウェハ間の熱的経路が、2つの構成部品の間にスペーサが直接伸長する場合よりずっと長くなることである。つまり、言い換えると、1つの脚の底部から隣接するランドの頂部までの熱的経路は、脚とランドを含むリングの高さよりずっと大きい。これが今度はシステムの急速な加熱を可能にし、これは言うまでもなく生産性を向上する。
図24および図25に示す配列では、サセプタのその他の部分は、スペーサピンの有無に関わらず、図2〜図17の任意のサセプタ構成を利用して形成することができる。つまり、スペーサリングがスペーサピンの高さと等しい高さを持つ場合、スペーサピンを使用する必要はない。代替的に、基板がスペーサピンによって支持されるように、ブロッカまたはスペーサリングの全高をスペーサピンのそれよりわずかに低くすることができる。
様々な寸法のスペーサリング715を使用することができる。例えば、8インチのウェハ用の1つの原型版のランド715aの高さAは約0.022インチであり、中心本体部分は約0.035インチ、脚は約0.020であり、合計すると約0.077インチである。中心本体部715bの厚さBを増加して、ランド間及び脚間の通路の領域を減少することができる。別の構成では、本体部715bは約0.045インチ、突起715aは約、0017インチ、脚は約0.015インチである。基板の直径はリングの外径よりわずかに小さいので、基板の外周部が接触する領域のランド715aの高さは脚の高さとほぼ同じであることに、注意する必要がある。別の構成では、中心本体部715bは約0.055インチ、上部および下部は各々約0.010インチである。さらに4番目の構成では、中心本体部は約0.065インチ、上部および下部突起はわずか約0.005インチであった。したがって、リングの寸法を変えることによって、リングと基板の間、およびリングとサセプタの間の通路の断面積がそれに対応して変化することが分かる。
図26および図27は、スペーサまたはブロッカリング815の別の構成を示す。図26、図27、図27A、および図27Bから分かるように、リングは概して矩形の断面を有する本体部815bを含み、かつリングの内径と外径のほぼ中間に位置し上向きに伸長する連続環状リブ815aを含む。リングはさらに、円周方向に間隔をおいて配置され本体部815bから垂下する複数の脚815cを備える。これらの脚は、図25に示す脚715cとほぼ同じである。つまり、図示する配列では、1対の脚815cが相互に約20°の近似角度θで相互に間隔をおいて配置される。さらに、円周方向に約60°の間隔をおいて配置された6対のそうした脚があり、それによって合計で12個の脚が形成される。
リング815はリングの全高が上述の支持ピンまたはスペーサのそれより小さいブロッカリングとして使用し、基板がブロッカリングよりスペーサピンに支持されるようにすることが好ましい。この意味で、リング815は、堆積ガスの内向きの流れを遮断すると共に、リブ815aの上端部との間にわずか約0.010インチの細い環状通路またはスリットを設けることによって、掃引ガスまたはパージガスの作用をさらに高めるために機能するだけである。さらに、円周方向に間隔をおいて配置された、垂直方向に短い通路が脚815cの間に設けられる。好適な配列では、リブ815aの高さA1は約0.025インチ、本体の高さB1は約0.030インチ、脚部の高さC1は約0.010インチで、合計約0.065インチである。0.075インチの間隙を形成するスペーサピンを使用する場合、これによりリブと基板の間の通路は0.010インチになった。
環状リブ815aの半径方向の寸法または幅は約0.025インチが好ましく、図27Bに示すように、それは概して平坦な中心部と丸みを帯びたショルダを有する。
基板とサセプタの間の間隙をさらに遮断するために、ブロッカリング脚815cを除去し、図27Cに示す断面を形成することができる。ここで、本体部は約0.040インチである。
図28は、リングの内径に隣接して環境リブ915aが配置され、したがってリングの断面が幾分L字形になり、リングの半径方向の寸法がL字形の長い脚を表し、上向きに伸長するリブが短い脚を表すことを除いて、リング815と同様の断面を有するブロッカリング915を示す。
図26、図27、および図28に示す配列の利点は、リブ815aおよび915aが基板から間隔をおいて配置され、リングがサセプタから熱的に事実上分断されるので、前記リング上のサセプタの領域にスリップを発生させるおそれのある著しい温度の不連続性が存在しないことである。同時に、間隙の実質的な部分はリングによって遮断されるので、堆積ガスは基板の下の領域に入ることが妨げられる。これに関連して、掃引ガスの速度はリングのそばを通過するときに増加するという事実があり、これによりさらに堆積ガスの基板の下への流入が阻止される。通路から支持スパイダを介して間隙へ流入する入力ガス流量は、所望の流量を形成するように制御することができ、かつサセプタと基板との間の間隙に基板上の圧力より大きい圧力が維持される。この圧力差は言うまでもなく、パージガスまたは掃引ガスの流動を維持し、かつ基板の裏面上の堆積ガスの流動を防止する。図23〜図28に示すようなブロッカリングを使用することにより、リングが無い場合より低いガス流量により、ウェハの裏面が適正に保護される。様々な低流量のガス流動が適正な結果をもたらす。
スペーサリングの幾つかについて、本体から下向きに突起する脚またはレッグを持つものとして説明したが、サセプタはこれらの領域にリップまたはバンプを設け、平坦または脚を持つリングと共に通路を形成することができる。同様に、スペースリングまたはスペーサリングをサセプタとは別個に形成することが最も実用的であるが、同様の構造はサセプタと一体的に形成することもできる。
また、上述した完全にリング形のブロッカは現在好適な形状であるが、閉じた360°の形まで完全に伸長しないブロッカも利用されるであろう。同様に、リングは、実質的にリングを形成できる2つ以上の別個の部品として形成できるようにすると便利であろう。さらに、完全な円形でないブロッカも使用できる。他のそうした変形も、添付する請求の範囲内に含まれる。
本発明を特定の好適な実施形態に関して説明したが、他の実施形態も本発明の範囲内である。例えば、説明した実施形態の一部は特定の大きさのウェハについて説明したが、同じ機能をより大きいウェハを収容するためにも使用できる。実際、従来の200mmおよびそれ以下の大きさのウェハを補足するために、300mm以上のウェハが現在企図されている。より大きいウェハの場合、リング内で追加のスペーサを図18に示した3つのスペーサ100から半径方向に内側に間隔をおいて配置し、円周方向に位置をずらして図18のスペーサの間に配置して使用することが望ましい。
Claims (22)
- 概して平板状の基板を受容するための領域を持つサセプタ上で前記基板を処理するための装置であって、
前記サセプタの下から前記間隙内に掃引ガスを導入して基板の下から半径方向に外向きに流すための前記サセプタ内の1つ以上のガス通路と、
前記基板の外側環状部を支持するために前記領域の外周部でサセプタ上に支持されるブロッカリングであって、前記外向きの半径方向の掃引ガスの流れを制限しかつサセプタ上のガスが前記領域内に流入するのを阻止するように構成された前記リングとを含み、
前記リングは、円周方向に間隔をおいて配置された複数のランド又は脚部を有し、該ランド又は脚部は、前記リングと前記基板の1つとの間、又は、前記リングと前記サセプタとの間に、円周方向に間隔をおいて配置された複数の通路を形成し、
前記ランド又は脚部は、前記リングの本体部から上方又は下方のいずれかに延び、円周方向に間隔をおいて配置された前記通路は、該通路を通して掃引ガスが流動可能なように構成されていることを特徴とする装置。 - 円周方向に間隔をおいて配置された前記通路は、前記リングと前記基板との間にある請求項1記載の装置。
- 前記リングが、前記リングの本体部から上向きに伸長する環状リブを有する請求項1記載の装置。
- 前記リブがリングの内径と外径の間にほぼ中心に配置されるか、またはリングの内径に隣接して配置される請求項3記載の装置。
- 円周方向に間隔をおいて配置された前記通路は、前記リングと前記サセプタとの間にある請求項1記載の装置。
- 前記リングが複数のランド及び脚部を備え、前記ランドは、前記脚部から円周方向に間隔をおいており、制限された掃引ガスが前記リングの上下で流動可能である請求項1から5のいずれか記載の装置。
- 円周方向に間隔をおいて配置された複数の前記ランド又は脚部は、複数のランド及び脚部の両方を含み、前記ランドは、前記リングの本体部から上方に延び、前記脚部は、前記リングの本体部から下方に垂下し、円周方向に間隔をおいて配置された複数の前記通路は、前記リングと前記サセプタとの間の通路、及び、前記リングと前記基板との間の通路を含み、前記脚部の底面と隣接する前記ランドの頂面との間の熱的経路が前記ランド及び前記脚部を含む前記リングの高さより大きくなるように前記ランドが前記脚部から円周方向に間隔をおいて配置される請求項1記載の装置。
- 前記サセプタが実質的に円板形の下部セクションと、前記下部セクションの上面と係合する下面を有する実質的に円板形の上部セクションとを含み、前記セクションの表面を係合することによって前記1つ以上のガス通路が画定され、前記下部セクションの1つ以上のガス入口がその下面および前記通路に通じ、前記上部セクションの1つ以上のガス出口が前記間隙に通じる請求項1から7のいずれか記載の装置。
- 前記1つ以上のガス通路が、前記ガス入口と出口の間に伸長する浅い環状凹所を含む請求項8記載の装置。
- 中心軸および前記軸から半径方向および上方向に伸長する複数の支持アームを有する前記サセプタのための支持体を含み、前記アームが前記サセプタを支持するために前記サセプタの下面と係合するように適応された上端を有し、1つ以上の前記アームが管状であるので前記掃引ガスを前記管状アームを通して前記ガス入口へ伝達することができる請求項8記載の装置。
- 前記サセプタが前記基板受容領域を形成する浅い凹所を有し、前記リングが、前記凹所の内径よりわずかに小さく、前記凹所内に嵌め込まれ、前記基板を支持する請求項1から10のいずれか記載の装置。
- サセプタ上で平板状基板を支持すると共に前記基板と前記サセプタとの間に間隙を形成するように構成された1つ以上の上に伸長するスペーサを有する前記サセプタと、
前記サセプタ上および前記平板状基板の外周部の下に配置されるブロッカリングと、を含む半導体基板を処理するための装置であって、
前記基板が1つ以上の前記スペーサに支持され、前記リングに接触しないように、前記ブロッカリングの全高は、1つ以上の前記スペーサの全高より小さい装置。 - 前記1つ以上のスペーサは、ピンを含む請求項12記載の装置。
- 基板の高温処理中に基板を支持する方法において、
基板が実質的にサセプタから熱的に分断されるように、基板をサセプタの上に間隔をおいて配置し、かつ
前記基板の外周部の下の前記サセプタ上にブロッカリングを配置することを含み、前記ブロッカリングは、前記基板を支持し、前記基板と前記サセプタとの間で外向きの半径方向のガスの流れを制限するように構成されおり、
前記リングは、円周方向に間隔をおいて配置された複数のランド又は脚部を有し、該ランド又は脚部は、前記リングと前記基板の1つとの間、又は、前記リングと前記サセプタとの間に、円周方向に間隔をおいて配置された複数の通路を形成し、
前記ランド又は脚部は、前記リングの本体部から上方又は下方のいずれかに延び、前記円周方向に間隔をおいて配置された通路は、該通路を通して掃引ガスが流動可能なように構成されている方法。 - 掃引ガスを基板とサセプタの間の間隙に導入し、かつ前記ブロッカリングを通る1つ以上の抑制された通路を通して基板の下から半径方向に外向きに流すために、前記サセプタに通路を設ける、
ことを含む請求項14記載の方法。 - ウェハの高温処理中に半導体ウェハの均等な温度を維持する方法において、
ウェハが実質的にサセプタから熱的に分断されるように、サセプタから上向きに伸長する1つ以上のスペーサ上にウェハを配置し、
ウェハの上に間隔をおいて配置された上部熱源およびサセプタの下に間隔をおいて配置された下部熱源によって、ウェハおよびサセプタを加熱し、
ウェハおよびサセプタが両方とも所望の温度であるときには、上部および下部熱源によって提供される熱の比率を一定に維持し、かつ
ウェハおよびサセプタの温度を急速に変化させるときには、ウェハおよびサセプタの温度が変化するときに、これらを同一温度に維持するために、前記比率を変化させ、
前記比率の変化が、ウェハおよびサセプタの温度を急速に増加するときには、上部熱源から提供される熱の百分率を減少し、
前記比率の変化が、温度均等性を維持するためにウェハおよびサセプタに多少の熱を与えながらウェハおよびサセプタの温度を低下させるときには、上部熱源から提供される熱の百分率を増加することを含む方法。 - サセプタ上で平板状基板を支持すると共に前記基板と前記サセプタとの間に間隙を形成するように構成された1つ以上の上に伸長するスペーサを有する前記サセプタと、
サセプタの上に間隔をおいて配置された上部熱源と、
サセプタの下に間隔をおいて配置された下部熱源と、
前記熱源の間で選択された比率で前記熱源に電力を提供する制御装置であって、基板の高温処理サイクル中に前記比率を変化させ、それによってサイクル中に熱源によって提供される熱の比率を変化させる制御装置と、を含む基板を処理するための装置であって、
前記比率の変化が、基板およびサセプタの温度を急速に増加するときには、上部熱源から提供される熱の百分率を減少し、
前記比率の変化が、温度均等性を維持するために基板およびサセプタに多少の熱を与えながら基板およびサセプタの温度を低下させるときには、上部熱源から提供される熱の百分率を増加することを含む装置。 - 前記熱源が放射加熱ランプであり、1つ以上の上部ランプおよび1つ以上の下部ランプが前記制御装置によってユニットとして制御可能である請求項17記載の装置。
- 制御装置が前記熱源間の比率を変化させながら電力出力を実質的に一定に維持するように構成された請求項18記載の装置。
- 前記複数のランド又は脚部は、複数のランド及び脚部の両方を含み、前記ランドは、前記脚部から円周方向に間隔をおいている請求項14に記載の方法。
- 基板の高温処理中に該基板を支持する方法であって、
基板が実質的にサセプタから熱的に分断されるように、基板をサセプタの上に間隔をおいて配置し、
前記基板の外周部の下の前記サセプタ上にブロッカリングを配置し、前記ブロッカリングは、前記基板と前記サセプタとの間で外向きの半径方向のガスの流れを制限するように構成されており、
前記リングから分離して形成されかつ前記サセプタ上に延びる1つ以上のスペーサ上に前記基板を支持し、前記ブロッカリングの全高さは、前記基板が前記ブロッカリングに接触しないように、1つ以上のスペーサの全高さより小さい方法。 - 前記リングは、本体部から上方に延びる環状リブ、および/又は、円周方向に間隔をおいて配置された複数の脚部を含む請求項12記載の装置。
Applications Claiming Priority (7)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US78881797A | 1997-01-23 | 1997-01-23 | |
US08/788,817 | 1997-01-23 | ||
US3985097P | 1997-03-05 | 1997-03-05 | |
US60/039,850 | 1997-03-05 | ||
US08/923,241 US6113702A (en) | 1995-09-01 | 1997-09-04 | Wafer support system |
US08/923,241 | 1997-09-04 | ||
PCT/US1998/001385 WO1998032893A2 (en) | 1997-01-23 | 1998-01-23 | Wafer support system |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2001508599A JP2001508599A (ja) | 2001-06-26 |
JP4114016B2 true JP4114016B2 (ja) | 2008-07-09 |
Family
ID=27365616
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP53217298A Expired - Lifetime JP4114016B2 (ja) | 1997-01-23 | 1998-01-23 | ウェハ支持システム |
Country Status (7)
Country | Link |
---|---|
US (7) | US6113702A (ja) |
EP (2) | EP1209251B1 (ja) |
JP (1) | JP4114016B2 (ja) |
KR (2) | KR100539343B1 (ja) |
AU (1) | AU6040498A (ja) |
DE (2) | DE69835105T2 (ja) |
WO (1) | WO1998032893A2 (ja) |
Families Citing this family (512)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6093252A (en) * | 1995-08-03 | 2000-07-25 | Asm America, Inc. | Process chamber with inner support |
US6113702A (en) | 1995-09-01 | 2000-09-05 | Asm America, Inc. | Wafer support system |
US6046439A (en) * | 1996-06-17 | 2000-04-04 | Mattson Technology, Inc. | System and method for thermal processing of a semiconductor substrate |
US6294025B1 (en) * | 1996-11-01 | 2001-09-25 | THEVA DüNNSCHICHTTECHNIK GMBH | Device for producing oxidic thin films |
US6200911B1 (en) * | 1998-04-21 | 2001-03-13 | Applied Materials, Inc. | Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power |
US6449428B2 (en) * | 1998-12-11 | 2002-09-10 | Mattson Technology Corp. | Gas driven rotating susceptor for rapid thermal processing (RTP) system |
DE19901291C2 (de) * | 1999-01-15 | 2002-04-18 | Sez Semiconduct Equip Zubehoer | Vorrichtung zur Ätzbehandlung eines scheibenförmigen Gegenstandes |
US6524389B1 (en) * | 1999-05-24 | 2003-02-25 | Tokyo Electron Limited | Substrate processing apparatus |
US6494955B1 (en) * | 2000-02-15 | 2002-12-17 | Applied Materials, Inc. | Ceramic substrate support |
US20080156657A1 (en) * | 2000-02-17 | 2008-07-03 | Butterfield Paul D | Conductive polishing article for electrochemical mechanical polishing |
US7374644B2 (en) * | 2000-02-17 | 2008-05-20 | Applied Materials, Inc. | Conductive polishing article for electrochemical mechanical polishing |
US20040020789A1 (en) * | 2000-02-17 | 2004-02-05 | Applied Materials, Inc. | Conductive polishing article for electrochemical mechanical polishing |
EP1174910A3 (en) * | 2000-07-20 | 2010-01-06 | Applied Materials, Inc. | Method and apparatus for dechucking a substrate |
JP4526683B2 (ja) * | 2000-10-31 | 2010-08-18 | 株式会社山形信越石英 | 石英ガラス製ウェーハ支持治具及びその製造方法 |
JP2002158178A (ja) * | 2000-11-21 | 2002-05-31 | Hitachi Kokusai Electric Inc | 基板処理装置および半導体装置の製造方法 |
SE0004296D0 (sv) * | 2000-11-23 | 2000-11-23 | Gyros Ab | Device and method for the controlled heating in micro channel systems |
US6634882B2 (en) * | 2000-12-22 | 2003-10-21 | Asm America, Inc. | Susceptor pocket profile to improve process performance |
US6506252B2 (en) * | 2001-02-07 | 2003-01-14 | Emcore Corporation | Susceptorless reactor for growing epitaxial layers on wafers by chemical vapor deposition |
US6600138B2 (en) * | 2001-04-17 | 2003-07-29 | Mattson Technology, Inc. | Rapid thermal processing system for integrated circuits |
US6528767B2 (en) * | 2001-05-22 | 2003-03-04 | Applied Materials, Inc. | Pre-heating and load lock pedestal material for high temperature CVD liquid crystal and flat panel display applications |
KR20040008193A (ko) | 2001-05-30 | 2004-01-28 | 에이에스엠 아메리카, 인코포레이티드 | 저온 로딩 및 소성 |
US6919058B2 (en) * | 2001-08-28 | 2005-07-19 | Gyros Ab | Retaining microfluidic microcavity and other microfluidic structures |
US20050000449A1 (en) * | 2001-12-21 | 2005-01-06 | Masayuki Ishibashi | Susceptor for epitaxial growth and epitaxial growth method |
US7033445B2 (en) * | 2001-12-27 | 2006-04-25 | Asm America, Inc. | Gridded susceptor |
US6730175B2 (en) * | 2002-01-22 | 2004-05-04 | Applied Materials, Inc. | Ceramic substrate support |
US6677167B2 (en) * | 2002-03-04 | 2004-01-13 | Hitachi High-Technologies Corporation | Wafer processing apparatus and a wafer stage and a wafer processing method |
US20030168174A1 (en) | 2002-03-08 | 2003-09-11 | Foree Michael Todd | Gas cushion susceptor system |
DE10211312A1 (de) * | 2002-03-14 | 2003-10-02 | Wacker Siltronic Halbleitermat | Verfahren und Vorrichtung zur epitaktischen Beschichtung einer Halbleiterscheibe sowie epitaktisch beschichtete Halbleiterscheibe |
US6861321B2 (en) * | 2002-04-05 | 2005-03-01 | Asm America, Inc. | Method of loading a wafer onto a wafer holder to reduce thermal shock |
US7070660B2 (en) * | 2002-05-03 | 2006-07-04 | Asm America, Inc. | Wafer holder with stiffening rib |
US6887317B2 (en) * | 2002-09-10 | 2005-05-03 | Applied Materials, Inc. | Reduced friction lift pin |
DE10243022A1 (de) * | 2002-09-17 | 2004-03-25 | Degussa Ag | Abscheidung eines Feststoffs durch thermische Zersetzung einer gasförmigen Substanz in einem Becherreaktor |
US20040096636A1 (en) * | 2002-11-18 | 2004-05-20 | Applied Materials, Inc. | Lifting glass substrate without center lift pins |
US20050170314A1 (en) * | 2002-11-27 | 2005-08-04 | Richard Golden | Dental pliers design with offsetting jaw and pad elements for assisting in removing upper and lower teeth and method for removing teeth utilizing the dental plier design |
US6709267B1 (en) | 2002-12-27 | 2004-03-23 | Asm America, Inc. | Substrate holder with deep annular groove to prevent edge heat loss |
US6917755B2 (en) * | 2003-02-27 | 2005-07-12 | Applied Materials, Inc. | Substrate support |
US20040187787A1 (en) * | 2003-03-31 | 2004-09-30 | Dawson Keith E. | Substrate support having temperature controlled substrate support surface |
US20040226513A1 (en) * | 2003-05-12 | 2004-11-18 | Applied Materials, Inc. | Chamber for uniform heating of large area substrates |
DE10323085A1 (de) * | 2003-05-22 | 2004-12-09 | Aixtron Ag | CVD-Beschichtungsvorrichtung |
JP4033809B2 (ja) * | 2003-06-16 | 2008-01-16 | 東京エレクトロン株式会社 | 熱処理装置及び熱処理方法 |
ATE514801T1 (de) * | 2003-08-01 | 2011-07-15 | Sgl Carbon Se | Halter zum tragen von wafern während der halbleiterherstellung |
WO2005034219A1 (ja) * | 2003-10-01 | 2005-04-14 | Shin-Etsu Handotai Co., Ltd. | シリコンエピタキシャルウェーハの製造方法、及びシリコンエピタキシャルウェーハ |
JP4644676B2 (ja) * | 2003-10-06 | 2011-03-02 | アプライド マテリアルズ インコーポレイテッド | フェイスアップウェット処理用のウェーハ温度均一性を改善する装置 |
US7827930B2 (en) | 2004-01-26 | 2010-11-09 | Applied Materials, Inc. | Apparatus for electroless deposition of metals onto semiconductor substrates |
US7654221B2 (en) * | 2003-10-06 | 2010-02-02 | Applied Materials, Inc. | Apparatus for electroless deposition of metals onto semiconductor substrates |
US7223308B2 (en) * | 2003-10-06 | 2007-05-29 | Applied Materials, Inc. | Apparatus to improve wafer temperature uniformity for face-up wet processing |
US7323058B2 (en) | 2004-01-26 | 2008-01-29 | Applied Materials, Inc. | Apparatus for electroless deposition of metals onto semiconductor substrates |
US7311779B2 (en) | 2003-10-06 | 2007-12-25 | Applied Materials, Inc. | Heating apparatus to heat wafers using water and plate with turbolators |
US20050092439A1 (en) * | 2003-10-29 | 2005-05-05 | Keeton Tony J. | Low/high temperature substrate holder to reduce edge rolloff and backside damage |
US7169234B2 (en) * | 2004-01-30 | 2007-01-30 | Asm America, Inc. | Apparatus and methods for preventing rotational slippage between a vertical shaft and a support structure for a semiconductor wafer holder |
US20050176252A1 (en) * | 2004-02-10 | 2005-08-11 | Goodman Matthew G. | Two-stage load for processing both sides of a wafer |
US8033245B2 (en) * | 2004-02-12 | 2011-10-11 | Applied Materials, Inc. | Substrate support bushing |
US7648579B2 (en) * | 2004-02-13 | 2010-01-19 | Asm America, Inc. | Substrate support system for reduced autodoping and backside deposition |
US20070000527A1 (en) * | 2005-06-30 | 2007-01-04 | Aegerter Brian K | Workpiece support for use in a process vessel and system for treating microelectronic workpieces |
US20070182942A1 (en) * | 2004-03-30 | 2007-08-09 | Osamu Kasono | Exposure device |
US20060005770A1 (en) * | 2004-07-09 | 2006-01-12 | Robin Tiner | Independently moving substrate supports |
US20060054090A1 (en) * | 2004-09-15 | 2006-03-16 | Applied Materials, Inc. | PECVD susceptor support construction |
US7396412B2 (en) * | 2004-12-22 | 2008-07-08 | Sokudo Co., Ltd. | Coat/develop module with shared dispense |
US7126092B2 (en) * | 2005-01-13 | 2006-10-24 | Watlow Electric Manufacturing Company | Heater for wafer processing and methods of operating and manufacturing the same |
JP2008533697A (ja) * | 2005-01-18 | 2008-08-21 | エーエスエム アメリカ インコーポレイテッド | ウェハ支持ピン部材 |
JP4934595B2 (ja) | 2005-01-18 | 2012-05-16 | エーエスエム アメリカ インコーポレイテッド | 薄膜成長用反応装置 |
US7787411B2 (en) * | 2005-05-10 | 2010-08-31 | Microsoft Corporation | Gaming console wireless protocol for peripheral devices |
US7262390B2 (en) * | 2005-05-23 | 2007-08-28 | Chung Shan Institute Of Science And Technology, Armaments Bureau, M.N.D. | Apparatus and adjusting technology for uniform thermal processing |
US20070032081A1 (en) | 2005-08-08 | 2007-02-08 | Jeremy Chang | Edge ring assembly with dielectric spacer ring |
US7418921B2 (en) * | 2005-08-12 | 2008-09-02 | Asm Japan K.K. | Plasma CVD apparatus for forming uniform film |
US8628622B2 (en) * | 2005-09-12 | 2014-01-14 | Cree, Inc. | Gas driven rotation apparatus and method for forming crystalline layers |
DE102005045338B4 (de) | 2005-09-22 | 2009-04-02 | Siltronic Ag | Epitaxierte Siliciumscheibe und Verfahren zur Herstellung von epitaxierten Siliciumscheiben |
EP1772901B1 (en) * | 2005-10-07 | 2012-07-25 | Rohm and Haas Electronic Materials, L.L.C. | Wafer holding article and method for semiconductor processing |
US20070089836A1 (en) * | 2005-10-24 | 2007-04-26 | Applied Materials, Inc. | Semiconductor process chamber |
KR101332206B1 (ko) * | 2005-12-02 | 2013-11-25 | 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. | 반도체 처리 방법 |
JP4629574B2 (ja) | 2005-12-27 | 2011-02-09 | 日本発條株式会社 | 基板支持装置と、その製造方法 |
US7740518B2 (en) * | 2006-03-06 | 2010-06-22 | Michael Elliott | Jousting toy |
US8278176B2 (en) | 2006-06-07 | 2012-10-02 | Asm America, Inc. | Selective epitaxial formation of semiconductor films |
KR101359402B1 (ko) * | 2006-10-30 | 2014-02-07 | 주성엔지니어링(주) | 기판 가장자리 식각 장치 |
US7976634B2 (en) | 2006-11-21 | 2011-07-12 | Applied Materials, Inc. | Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems |
US8491752B2 (en) * | 2006-12-15 | 2013-07-23 | Tokyo Electron Limited | Substrate mounting table and method for manufacturing same, substrate processing apparatus, and fluid supply mechanism |
KR100824301B1 (ko) * | 2006-12-21 | 2008-04-22 | 세메스 주식회사 | 반응 챔버와 이를 포함하는 탄소나노튜브 합성 장치 및 설비 |
US8057601B2 (en) | 2007-05-09 | 2011-11-15 | Applied Materials, Inc. | Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber |
US8057602B2 (en) * | 2007-05-09 | 2011-11-15 | Applied Materials, Inc. | Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber |
US8034410B2 (en) * | 2007-07-17 | 2011-10-11 | Asm International N.V. | Protective inserts to line holes in parts for semiconductor process equipment |
JP4971078B2 (ja) * | 2007-08-30 | 2012-07-11 | 東京応化工業株式会社 | 表面処理装置 |
JP5169097B2 (ja) | 2007-09-14 | 2013-03-27 | 住友電気工業株式会社 | 半導体装置の製造装置および製造方法 |
US7759199B2 (en) * | 2007-09-19 | 2010-07-20 | Asm America, Inc. | Stressor for engineered strain on channel |
JP5260023B2 (ja) * | 2007-10-19 | 2013-08-14 | 三菱重工業株式会社 | プラズマ成膜装置 |
US8067061B2 (en) * | 2007-10-25 | 2011-11-29 | Asm America, Inc. | Reaction apparatus having multiple adjustable exhaust ports |
US8092606B2 (en) | 2007-12-18 | 2012-01-10 | Asm Genitech Korea Ltd. | Deposition apparatus |
US8107800B2 (en) * | 2008-01-08 | 2012-01-31 | International Business Machines Corporation | Method and structure to control thermal gradients in semiconductor wafers during rapid thermal processing |
US20090181553A1 (en) | 2008-01-11 | 2009-07-16 | Blake Koelmel | Apparatus and method of aligning and positioning a cold substrate on a hot surface |
US8198567B2 (en) | 2008-01-15 | 2012-06-12 | Applied Materials, Inc. | High temperature vacuum chuck assembly |
US20090280248A1 (en) * | 2008-05-06 | 2009-11-12 | Asm America, Inc. | Porous substrate holder with thinned portions |
US8053036B2 (en) * | 2008-06-02 | 2011-11-08 | Asm Japan K.K. | Method for designing shower plate for plasma CVD apparatus |
US8394229B2 (en) * | 2008-08-07 | 2013-03-12 | Asm America, Inc. | Susceptor ring |
US20100101491A1 (en) * | 2008-10-29 | 2010-04-29 | Asm Japan K.K. | Wafer lift pins suspended and supported at underside of susceptor |
US20100107974A1 (en) * | 2008-11-06 | 2010-05-06 | Asm America, Inc. | Substrate holder with varying density |
US8209833B2 (en) * | 2008-11-07 | 2012-07-03 | Tokyo Electron Limited | Thermal processing system and method of using |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
JP2010129709A (ja) * | 2008-11-27 | 2010-06-10 | Kyocera Corp | 試料支持具および加熱装置 |
US20100203242A1 (en) * | 2009-02-06 | 2010-08-12 | Applied Materials, Inc. | self-cleaning susceptor for solar cell processing |
CN102308381B (zh) | 2009-02-11 | 2014-08-13 | 应用材料公司 | 非接触性基板处理 |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
JP5902085B2 (ja) | 2009-08-07 | 2016-04-13 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 処理チャンバ内で基板を位置決めするための装置及び処理チャンバ内で基板をセンタリングするための方法 |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US20110052159A1 (en) * | 2009-09-03 | 2011-03-03 | Chiung-Chieh Su | Apparatus for uniform thermal processing |
US8367528B2 (en) * | 2009-11-17 | 2013-02-05 | Asm America, Inc. | Cyclical epitaxial deposition and etch |
US20110127252A1 (en) * | 2009-11-27 | 2011-06-02 | Tangteck Equipment Inc. | Heating device having a function of dynamical temperature-control |
WO2011106064A1 (en) | 2010-02-24 | 2011-09-01 | Veeco Instruments Inc. | Processing methods and apparatus with temperature distribution control |
US9240513B2 (en) * | 2010-05-14 | 2016-01-19 | Solarcity Corporation | Dynamic support system for quartz process chamber |
US9441295B2 (en) | 2010-05-14 | 2016-09-13 | Solarcity Corporation | Multi-channel gas-delivery system |
US8591700B2 (en) * | 2010-08-19 | 2013-11-26 | Stmicroelectronics Pte Ltd. | Susceptor support system |
JP5787526B2 (ja) * | 2011-01-17 | 2015-09-30 | イビデン株式会社 | 電子部品位置決め用治具 |
JP5384549B2 (ja) * | 2011-03-28 | 2014-01-08 | 株式会社小松製作所 | 加熱装置 |
DE102011007682A1 (de) * | 2011-04-19 | 2012-10-25 | Siltronic Ag | Suszeptor zum Abstützen einer Halbleiterscheibe und Verfahren zum Abscheiden einer Schicht auf einer Vorderseite einer Halbleiterscheibe |
US8951350B2 (en) * | 2011-05-03 | 2015-02-10 | United Technologies Corporation | Coating methods and apparatus |
US9623527B2 (en) * | 2011-05-06 | 2017-04-18 | Osram Opto Semiconductors Gmbh | Component carrier assembly having a trench structure which separates component carrier regions, and method for producing a plurality of component carrier regions |
JP5712782B2 (ja) * | 2011-05-13 | 2015-05-07 | 株式会社Sumco | エピタキシャルウェーハ成長装置用サセプタサポートシャフトおよびエピタキシャル成長装置 |
US8809170B2 (en) | 2011-05-19 | 2014-08-19 | Asm America Inc. | High throughput cyclical epitaxial deposition and etch process |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
JP2013004593A (ja) * | 2011-06-14 | 2013-01-07 | Sharp Corp | 基板支持装置及び気相成長装置 |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
CN103035559B (zh) * | 2011-09-29 | 2015-04-22 | 中芯国际集成电路制造(北京)有限公司 | 弹性固定轮及包含其的晶圆适配器 |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9816184B2 (en) | 2012-03-20 | 2017-11-14 | Veeco Instruments Inc. | Keyed wafer carrier |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
DE102012205616B4 (de) | 2012-04-04 | 2016-07-14 | Siltronic Ag | Vorrichtung zum Abscheiden einer Schicht auf einer Halbleiterscheibe mittels Gasphasenabscheidung |
US9159597B2 (en) * | 2012-05-15 | 2015-10-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Real-time calibration for wafer processing chamber lamp modules |
KR101482424B1 (ko) * | 2012-07-24 | 2015-01-15 | 오씨아이 주식회사 | 실리콘 웨이퍼 재결정 장치 및 실리콘 웨이퍼 재결정 방법 |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9589818B2 (en) * | 2012-12-20 | 2017-03-07 | Lam Research Ag | Apparatus for liquid treatment of wafer shaped articles and liquid control ring for use in same |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
JP6047854B2 (ja) * | 2013-01-16 | 2016-12-21 | 信越半導体株式会社 | 枚葉式エピタキシャルウェーハ製造装置およびそれを用いたエピタキシャルウェーハの製造方法 |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9543186B2 (en) | 2013-02-01 | 2017-01-10 | Applied Materials, Inc. | Substrate support with controlled sealing gap |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9991153B2 (en) * | 2013-03-14 | 2018-06-05 | Applied Materials, Inc. | Substrate support bushing |
US9273413B2 (en) | 2013-03-14 | 2016-03-01 | Veeco Instruments Inc. | Wafer carrier with temperature distribution control |
KR101586181B1 (ko) * | 2013-03-28 | 2016-01-15 | 시바우라 메카트로닉스 가부시끼가이샤 | 적재대 및 플라즈마 처리 장치 |
DE102013106461B4 (de) * | 2013-06-20 | 2016-10-27 | Kgt Graphit Technologie Gmbh | Haltestifte zum Halten von Wafern in Waferbooten und Verfahren zum Herstellen solcher Haltestifte |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
DE102013012082A1 (de) * | 2013-07-22 | 2015-01-22 | Aixtron Se | Vorrichtung zum thermischen Behandeln eines Halbleitersubstrates, insbesondere zum Aufbringen einer Beschichtung |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
KR102173114B1 (ko) * | 2013-10-04 | 2020-11-03 | 엘지이노텍 주식회사 | 서셉터 |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US20150194326A1 (en) * | 2014-01-07 | 2015-07-09 | Applied Materials, Inc. | Pecvd ceramic heater with wide range of operating temperatures |
US10032601B2 (en) * | 2014-02-21 | 2018-07-24 | Varian Semiconductor Equipment Associates, Inc. | Platen support structure |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9517539B2 (en) | 2014-08-28 | 2016-12-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Wafer susceptor with improved thermal characteristics |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
CN107112267B (zh) | 2015-01-12 | 2020-09-22 | 应用材料公司 | 用于基板背侧变色控制的支撑组件 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
WO2016131190A1 (en) | 2015-02-17 | 2016-08-25 | Solarcity Corporation | Method and system for improving solar cell manufacturing yield |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US9972740B2 (en) | 2015-06-07 | 2018-05-15 | Tesla, Inc. | Chemical vapor deposition tool and process for fabrication of photovoltaic structures |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
DE102015113956B4 (de) | 2015-08-24 | 2024-03-07 | Meyer Burger (Germany) Gmbh | Substratträger |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
CN117107221A (zh) * | 2016-03-28 | 2023-11-24 | 应用材料公司 | 基座支撑件 |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
JP6635871B2 (ja) * | 2016-05-11 | 2020-01-29 | 東京エレクトロン株式会社 | 成膜装置 |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US9748434B1 (en) | 2016-05-24 | 2017-08-29 | Tesla, Inc. | Systems, method and apparatus for curing conductive paste |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
JP6736404B2 (ja) * | 2016-07-26 | 2020-08-05 | 株式会社ディスコ | 研削装置 |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9954136B2 (en) | 2016-08-03 | 2018-04-24 | Tesla, Inc. | Cassette optimized for an inline annealing system |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
JP6740084B2 (ja) * | 2016-10-25 | 2020-08-12 | 株式会社ニューフレアテクノロジー | 気相成長装置、環状ホルダ、及び、気相成長方法 |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10115856B2 (en) | 2016-10-31 | 2018-10-30 | Tesla, Inc. | System and method for curing conductive paste using induction heating |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US11702748B2 (en) * | 2017-03-03 | 2023-07-18 | Lam Research Corporation | Wafer level uniformity control in remote plasma film deposition |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
US10829866B2 (en) * | 2017-04-03 | 2020-11-10 | Infineon Technologies Americas Corp. | Wafer carrier and method |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
JP6809991B2 (ja) * | 2017-06-30 | 2021-01-06 | 京セラ株式会社 | 分光器 |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10872803B2 (en) | 2017-11-03 | 2020-12-22 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
US10872804B2 (en) | 2017-11-03 | 2020-12-22 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
TWI844567B (zh) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | 基材保持裝置、含有此裝置之系統及其使用之方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
JP7023826B2 (ja) * | 2018-12-07 | 2022-02-22 | 株式会社ニューフレアテクノロジー | 連続成膜方法、連続成膜装置、サセプタユニット、及びサセプタユニットに用いられるスペーサセット |
DE102018131987A1 (de) * | 2018-12-12 | 2020-06-18 | Aixtron Se | Substrathalter zur Verwendung in einem CVD-Reaktor |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
USD914620S1 (en) | 2019-01-17 | 2021-03-30 | Asm Ip Holding B.V. | Vented susceptor |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
USD920936S1 (en) | 2019-01-17 | 2021-06-01 | Asm Ip Holding B.V. | Higher temperature vented susceptor |
CN111446185A (zh) | 2019-01-17 | 2020-07-24 | Asm Ip 控股有限公司 | 通风基座 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
TWI845682B (zh) | 2019-05-22 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 工件基座主體 |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
US11415463B2 (en) * | 2019-06-04 | 2022-08-16 | Applied Materials, Inc. | Contactless workpiece temperature sensor |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR102697878B1 (ko) * | 2019-07-25 | 2024-08-23 | 에피크루 가부시키가이샤 | 에피택셜 성장 장치의 프로세스 챔버 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
US11764101B2 (en) | 2019-10-24 | 2023-09-19 | ASM IP Holding, B.V. | Susceptor for semiconductor substrate processing |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
WO2021119900A1 (zh) * | 2019-12-16 | 2021-06-24 | 东莞市中镓半导体科技有限公司 | 用于GaN材料生长的气动托盘 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
TWM596345U (zh) * | 2020-03-05 | 2020-06-01 | 晶元光電股份有限公司 | 氣體感測器的量測設備 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
USD1031676S1 (en) | 2020-12-04 | 2024-06-18 | Asm Ip Holding B.V. | Combined susceptor, support, and lift system |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
WO2022240726A1 (en) * | 2021-05-13 | 2022-11-17 | Globalwafers Co., Ltd. | Methods for etching a semiconductor structure and for conditioning a processing reactor |
US11495487B1 (en) | 2021-05-13 | 2022-11-08 | Globalwafers Co., Ltd. | Methods for conditioning a processing reactor |
USD1028913S1 (en) | 2021-06-30 | 2024-05-28 | Asm Ip Holding B.V. | Semiconductor deposition reactor ring |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US20240175133A1 (en) * | 2022-11-28 | 2024-05-30 | Veeco Instruments Inc. | Multi-disc chemical vapor deposition system with cross flow gas injection |
Family Cites Families (120)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3304908A (en) * | 1963-08-14 | 1967-02-21 | Merck & Co Inc | Epitaxial reactor including mask-work support |
US3627590A (en) * | 1968-12-02 | 1971-12-14 | Western Electric Co | Method for heat treatment of workpieces |
US4537835A (en) * | 1977-02-18 | 1985-08-27 | Battelle Memorial Institute | Oxidation of hydrogen halides to elemental halogens |
US4261762A (en) * | 1979-09-14 | 1981-04-14 | Eaton Corporation | Method for conducting heat to or from an article being treated under vacuum |
US4313783A (en) * | 1980-05-19 | 1982-02-02 | Branson International Plasma Corporation | Computer controlled system for processing semiconductor wafers |
US4403567A (en) * | 1980-08-21 | 1983-09-13 | Commonwealth Scientific Corporation | Workpiece holder |
US4512391A (en) * | 1982-01-29 | 1985-04-23 | Varian Associates, Inc. | Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet |
US4537244A (en) * | 1982-05-25 | 1985-08-27 | Varian Associates, Inc. | Method for optimum conductive heat transfer with a thin flexible workpiece |
US4458746A (en) * | 1982-05-25 | 1984-07-10 | Varian Associates, Inc. | Optimum surface contour for conductive heat transfer with a thin flexible workpiece |
US4535835A (en) * | 1982-05-25 | 1985-08-20 | Varian Associates, Inc. | Optimum surface contour for conductive heat transfer with a thin flexible workpiece |
US4457359A (en) * | 1982-05-25 | 1984-07-03 | Varian Associates, Inc. | Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer |
US4508161A (en) * | 1982-05-25 | 1985-04-02 | Varian Associates, Inc. | Method for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer |
US4542298A (en) * | 1983-06-09 | 1985-09-17 | Varian Associates, Inc. | Methods and apparatus for gas-assisted thermal transfer with a semiconductor wafer |
JPS6060060A (ja) * | 1983-09-12 | 1985-04-06 | 株式会社日立製作所 | 鉄道車両の扉開閉装置 |
US4522697A (en) * | 1983-12-22 | 1985-06-11 | Sputtered Films, Inc. | Wafer processing machine |
US4523985A (en) * | 1983-12-22 | 1985-06-18 | Sputtered Films, Inc. | Wafer processing machine |
FR2559241B1 (fr) | 1984-02-06 | 1986-12-12 | Dietrich Sa | Perfectionnement permettant la mise et le maintien en depression du moufle d'un four electrodomestique a reacteur catalytique |
US4603466A (en) * | 1984-02-17 | 1986-08-05 | Gca Corporation | Wafer chuck |
US4567938A (en) * | 1984-05-02 | 1986-02-04 | Varian Associates, Inc. | Method and apparatus for controlling thermal transfer in a cyclic vacuum processing system |
US4527620A (en) * | 1984-05-02 | 1985-07-09 | Varian Associates, Inc. | Apparatus for controlling thermal transfer in a cyclic vacuum processing system |
US4535834A (en) * | 1984-05-02 | 1985-08-20 | Varian Associates, Inc. | Method and apparatus for controlling thermal transfer in a cyclic vacuum processing system |
JPS6220308A (ja) * | 1985-07-19 | 1987-01-28 | Hitachi Ltd | 熱処理方法および装置 |
US4789771A (en) * | 1985-10-07 | 1988-12-06 | Epsilon Limited Partnership | Method and apparatus for substrate heating in an axially symmetric epitaxial deposition apparatus |
NL8602356A (nl) * | 1985-10-07 | 1987-05-04 | Epsilon Ltd Partnership | Inrichting en werkwijze voor een axiaal symmetrische reactor voor het chemische uit damp neerslaan. |
JPH0444216Y2 (ja) * | 1985-10-07 | 1992-10-19 | ||
US4654509A (en) * | 1985-10-07 | 1987-03-31 | Epsilon Limited Partnership | Method and apparatus for substrate heating in an axially symmetric epitaxial deposition apparatus |
KR910002596B1 (ko) * | 1985-11-21 | 1991-04-27 | 다이닛뽕 스크린 세이조오 가부시기가이샤 | 온도제어방법 및 그 장치 |
US4709655A (en) * | 1985-12-03 | 1987-12-01 | Varian Associates, Inc. | Chemical vapor deposition apparatus |
FR2596070A1 (fr) * | 1986-03-21 | 1987-09-25 | Labo Electronique Physique | Dispositif comprenant un suscepteur plan tournant parallelement a un plan de reference autour d'un axe perpendiculaire a ce plan |
US4724621A (en) * | 1986-04-17 | 1988-02-16 | Varian Associates, Inc. | Wafer processing chuck using slanted clamping pins |
JPS6384017A (ja) * | 1986-09-26 | 1988-04-14 | Toshiba Mach Co Ltd | 気相成長方法 |
US5484011A (en) * | 1986-12-19 | 1996-01-16 | Applied Materials, Inc. | Method of heating and cooling a wafer during semiconductor processing |
US5292393A (en) * | 1986-12-19 | 1994-03-08 | Applied Materials, Inc. | Multichamber integrated process system |
US5215619A (en) * | 1986-12-19 | 1993-06-01 | Applied Materials, Inc. | Magnetic field-enhanced plasma etch reactor |
US4821674A (en) * | 1987-03-31 | 1989-04-18 | Deboer Wiebe B | Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment |
JPS63285925A (ja) * | 1987-05-18 | 1988-11-22 | Nec Kyushu Ltd | 半導体集積回路装置の製造装置 |
US4975561A (en) * | 1987-06-18 | 1990-12-04 | Epsilon Technology Inc. | Heating system for substrates |
US4911812A (en) * | 1987-10-21 | 1990-03-27 | Hitachi, Ltd. | Plasma treating method and apparatus therefor |
JPH0623935B2 (ja) * | 1988-02-09 | 1994-03-30 | 大日本スクリーン製造株式会社 | 再現性を高めた熱処理制御方法 |
FR2628985B1 (fr) * | 1988-03-22 | 1990-12-28 | Labo Electronique Physique | Reacteur d'epitaxie a paroi protegee contre les depots |
US4949783A (en) * | 1988-05-18 | 1990-08-21 | Veeco Instruments, Inc. | Substrate transport and cooling apparatus and method for same |
EP0343530B1 (de) * | 1988-05-24 | 2001-11-14 | Unaxis Balzers Aktiengesellschaft | Vakuumanlage |
KR0155545B1 (ko) * | 1988-06-27 | 1998-12-01 | 고다까 토시오 | 기판의 열처리 장치 |
US4923584A (en) * | 1988-10-31 | 1990-05-08 | Eaton Corporation | Sealing apparatus for a vacuum processing system |
JP2731855B2 (ja) * | 1989-02-14 | 1998-03-25 | アネルバ株式会社 | 減圧気相成長装置 |
JP2721006B2 (ja) * | 1989-03-15 | 1998-03-04 | 株式会社ダイヘン | 電気機器ケースの電着塗装装置 |
ES2054357T3 (es) | 1989-05-08 | 1994-08-01 | Philips Nv | Aparato y metodo para tratar substratos planos bajo una presion reducida. |
US5180000A (en) * | 1989-05-08 | 1993-01-19 | Balzers Aktiengesellschaft | Workpiece carrier with suction slot for a disk-shaped workpiece |
DE3943478C2 (de) * | 1989-05-08 | 1995-11-16 | Philips Electronics Nv | Werkstückträger für ein scheibenförmiges Werkstück, sowie Vakuumbehandlungsanlage |
US5248370A (en) * | 1989-05-08 | 1993-09-28 | Applied Materials, Inc. | Apparatus for heating and cooling semiconductor wafers in semiconductor wafer processing equipment |
US5156820A (en) * | 1989-05-15 | 1992-10-20 | Rapro Technology, Inc. | Reaction chamber with controlled radiant energy heating and distributed reactant flow |
US5155337A (en) * | 1989-12-21 | 1992-10-13 | North Carolina State University | Method and apparatus for controlling rapid thermal processing systems |
US5108792A (en) * | 1990-03-09 | 1992-04-28 | Applied Materials, Inc. | Double-dome reactor for semiconductor processing |
DE69126724T2 (de) * | 1990-03-19 | 1998-01-15 | Toshiba Kawasaki Kk | Vorrichtung zur Dampfphasenabscheidung |
US5160544A (en) * | 1990-03-20 | 1992-11-03 | Diamonex Incorporated | Hot filament chemical vapor deposition reactor |
US5080929A (en) * | 1990-04-02 | 1992-01-14 | Delco Electronics Corporation | Method and apparatus for through hole substrate printing |
NO177475C (no) | 1990-04-14 | 1995-09-20 | Sel Alcatel Ag | Fremgangsmåte og apparat ved antenne |
ES2086429T3 (es) * | 1990-04-20 | 1996-07-01 | Applied Materials Inc | Mecanismo de sujecion para la deposicion en fase de vapor por proceso fisico. |
US5094885A (en) * | 1990-10-12 | 1992-03-10 | Genus, Inc. | Differential pressure cvd chuck |
US5096536A (en) * | 1990-06-12 | 1992-03-17 | Micron Technology, Inc. | Method and apparatus useful in the plasma etching of semiconductor materials |
KR0165898B1 (ko) * | 1990-07-02 | 1999-02-01 | 미다 가쓰시게 | 진공처리방법 및 장치 |
US5230741A (en) * | 1990-07-16 | 1993-07-27 | Novellus Systems, Inc. | Gas-based backside protection during substrate processing |
US5133284A (en) * | 1990-07-16 | 1992-07-28 | National Semiconductor Corp. | Gas-based backside protection during substrate processing |
US5238499A (en) * | 1990-07-16 | 1993-08-24 | Novellus Systems, Inc. | Gas-based substrate protection during processing |
US5221403A (en) * | 1990-07-20 | 1993-06-22 | Tokyo Electron Limited | Support table for plate-like body and processing apparatus using the table |
US5298465A (en) * | 1990-08-16 | 1994-03-29 | Applied Materials, Inc. | Plasma etching system |
JP2780866B2 (ja) * | 1990-10-11 | 1998-07-30 | 大日本スクリーン製造 株式会社 | 光照射加熱基板の温度測定装置 |
US5148714A (en) * | 1990-10-24 | 1992-09-22 | Ag Processing Technology, Inc. | Rotary/linear actuator for closed chamber, and reaction chamber utilizing same |
JPH04196528A (ja) * | 1990-11-28 | 1992-07-16 | Toshiba Corp | マグネトロンエッチング装置 |
US5155062A (en) * | 1990-12-20 | 1992-10-13 | Cree Research, Inc. | Method for silicon carbide chemical vapor deposition using levitated wafer system |
US5446825A (en) * | 1991-04-24 | 1995-08-29 | Texas Instruments Incorporated | High performance multi-zone illuminator module for semiconductor wafer processing |
US5199483A (en) * | 1991-05-15 | 1993-04-06 | Applied Materials, Inc. | Method and apparatus for cooling wafers |
US5267607A (en) * | 1991-05-28 | 1993-12-07 | Tokyo Electron Limited | Substrate processing apparatus |
JP3086970B2 (ja) * | 1991-07-03 | 2000-09-11 | 東京エレクトロン株式会社 | 基板処理装置 |
US5393349A (en) * | 1991-08-16 | 1995-02-28 | Tokyo Electron Sagami Kabushiki Kaisha | Semiconductor wafer processing apparatus |
US5181556A (en) * | 1991-09-20 | 1993-01-26 | Intevac, Inc. | System for substrate cooling in an evacuated environment |
US5446824A (en) * | 1991-10-11 | 1995-08-29 | Texas Instruments | Lamp-heated chuck for uniform wafer processing |
US5332442A (en) * | 1991-11-15 | 1994-07-26 | Tokyo Electron Kabushiki Kaisha | Surface processing apparatus |
US5370739A (en) * | 1992-06-15 | 1994-12-06 | Materials Research Corporation | Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD |
US5356476A (en) * | 1992-06-15 | 1994-10-18 | Materials Research Corporation | Semiconductor wafer processing method and apparatus with heat and gas flow control |
US5308645A (en) * | 1992-08-07 | 1994-05-03 | Delco Electronics Corporation | Method and apparatus for through hole substrate printing |
US5387289A (en) * | 1992-09-22 | 1995-02-07 | Genus, Inc. | Film uniformity by selective pressure gradient control |
US5803977A (en) * | 1992-09-30 | 1998-09-08 | Applied Materials, Inc. | Apparatus for full wafer deposition |
US5343012A (en) * | 1992-10-06 | 1994-08-30 | Hardy Walter N | Differentially pumped temperature controller for low pressure thin film fabrication process |
JPH06158361A (ja) * | 1992-11-20 | 1994-06-07 | Hitachi Ltd | プラズマ処理装置 |
US5350479A (en) * | 1992-12-02 | 1994-09-27 | Applied Materials, Inc. | Electrostatic chuck for high power plasma processing |
KR100238629B1 (ko) * | 1992-12-17 | 2000-01-15 | 히가시 데쓰로 | 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치 |
US5343938A (en) * | 1992-12-24 | 1994-09-06 | Vlsi Technology, Inc. | Method and apparatus for thermally insulating a wafer support |
US5352294A (en) * | 1993-01-28 | 1994-10-04 | White John M | Alignment of a shadow frame and large flat substrates on a support |
KR960006956B1 (ko) * | 1993-02-06 | 1996-05-25 | 현대전자산업주식회사 | 이시알(ecr) 장비 |
US5421893A (en) * | 1993-02-26 | 1995-06-06 | Applied Materials, Inc. | Susceptor drive and wafer displacement mechanism |
NL9300389A (nl) * | 1993-03-04 | 1994-10-03 | Xycarb Bv | Substraatdrager. |
US5738165A (en) * | 1993-05-07 | 1998-04-14 | Nikon Corporation | Substrate holding apparatus |
JP2934565B2 (ja) * | 1993-05-21 | 1999-08-16 | 三菱電機株式会社 | 半導体製造装置及び半導体製造方法 |
JPH0711446A (ja) * | 1993-05-27 | 1995-01-13 | Applied Materials Inc | 気相成長用サセプタ装置 |
JP3165938B2 (ja) * | 1993-06-24 | 2001-05-14 | 東京エレクトロン株式会社 | ガス処理装置 |
EP0635870A1 (en) * | 1993-07-20 | 1995-01-25 | Applied Materials, Inc. | An electrostatic chuck having a grooved surface |
US5650082A (en) * | 1993-10-29 | 1997-07-22 | Applied Materials, Inc. | Profiled substrate heating |
US5676205A (en) * | 1993-10-29 | 1997-10-14 | Applied Materials, Inc. | Quasi-infinite heat source/sink |
DE657918T1 (de) * | 1993-11-12 | 1996-02-29 | Semiconductor Systems Inc | Verfahren und Vorrichtung zum Einbrennen und Kühlen von Halbleiterscheiben und dergleichen. |
US5467220A (en) * | 1994-02-18 | 1995-11-14 | Applied Materials, Inc. | Method and apparatus for improving semiconductor wafer surface temperature uniformity |
US5645646A (en) * | 1994-02-25 | 1997-07-08 | Applied Materials, Inc. | Susceptor for deposition apparatus |
US5552131A (en) * | 1994-06-30 | 1996-09-03 | Arco Chemical Technology, L.P. | Oxidation of secondary alcohols |
US5685906A (en) * | 1995-03-23 | 1997-11-11 | Seh America, Inc. | Method and apparatus for configuring an epitaxial reactor for reduced set-up time and improved layer quality |
US5551985A (en) * | 1995-08-18 | 1996-09-03 | Torrex Equipment Corporation | Method and apparatus for cold wall chemical vapor deposition |
US6053982A (en) * | 1995-09-01 | 2000-04-25 | Asm America, Inc. | Wafer support system |
US6113702A (en) | 1995-09-01 | 2000-09-05 | Asm America, Inc. | Wafer support system |
US5809211A (en) * | 1995-12-11 | 1998-09-15 | Applied Materials, Inc. | Ramping susceptor-wafer temperature using a single temperature input |
JP3956057B2 (ja) * | 1996-01-31 | 2007-08-08 | エイエスエム アメリカ インコーポレイテッド | 熱処理のモデル規範型予測制御 |
US5656093A (en) * | 1996-03-08 | 1997-08-12 | Applied Materials, Inc. | Wafer spacing mask for a substrate support chuck and method of fabricating same |
US5693063A (en) * | 1996-04-10 | 1997-12-02 | Bristol-Myers Squibb Company | Process for shaping and sharpening a rotatable surgical shaver blade |
US5846332A (en) * | 1996-07-12 | 1998-12-08 | Applied Materials, Inc. | Thermally floating pedestal collar in a chemical vapor deposition chamber |
US5985033A (en) | 1997-07-11 | 1999-11-16 | Applied Materials, Inc. | Apparatus and method for delivering a gas |
KR20010031714A (ko) * | 1997-11-03 | 2001-04-16 | 러셀 엔. 페어뱅크스, 쥬니어 | 수명이 긴 고온 공정 챔버 |
JP2001522142A (ja) * | 1997-11-03 | 2001-11-13 | エーエスエム アメリカ インコーポレイテッド | 改良された低質量ウェハ支持システム |
WO1999023690A1 (en) * | 1997-11-03 | 1999-05-14 | Asm America, Inc. | Method of processing wafers with low mass support |
US6064799A (en) * | 1998-04-30 | 2000-05-16 | Applied Materials, Inc. | Method and apparatus for controlling the radial temperature gradient of a wafer while ramping the wafer temperature |
JP2001142037A (ja) * | 1999-11-17 | 2001-05-25 | Oki Electric Ind Co Ltd | 電界効果型光変調器および半導体光素子の製造方法 |
US6444027B1 (en) * | 2000-05-08 | 2002-09-03 | Memc Electronic Materials, Inc. | Modified susceptor for use in chemical vapor deposition process |
US20030168174A1 (en) * | 2002-03-08 | 2003-09-11 | Foree Michael Todd | Gas cushion susceptor system |
-
1997
- 1997-09-04 US US08/923,241 patent/US6113702A/en not_active Expired - Lifetime
-
1998
- 1998-01-23 EP EP01129575A patent/EP1209251B1/en not_active Expired - Lifetime
- 1998-01-23 AU AU60404/98A patent/AU6040498A/en not_active Abandoned
- 1998-01-23 KR KR10-2005-7004417A patent/KR100539343B1/ko not_active IP Right Cessation
- 1998-01-23 DE DE69835105T patent/DE69835105T2/de not_active Expired - Fee Related
- 1998-01-23 DE DE69806578T patent/DE69806578T2/de not_active Expired - Fee Related
- 1998-01-23 JP JP53217298A patent/JP4114016B2/ja not_active Expired - Lifetime
- 1998-01-23 KR KR1019997006635A patent/KR100549998B1/ko not_active IP Right Cessation
- 1998-01-23 WO PCT/US1998/001385 patent/WO1998032893A2/en active IP Right Grant
- 1998-01-23 EP EP98903702A patent/EP0963459B1/en not_active Expired - Lifetime
-
2000
- 2000-06-27 US US09/605,094 patent/US6343183B1/en not_active Expired - Lifetime
- 2000-07-10 US US09/614,481 patent/US6454866B1/en not_active Expired - Fee Related
-
2001
- 2001-08-17 US US09/932,795 patent/US6491757B2/en not_active Expired - Lifetime
-
2002
- 2002-09-13 US US10/243,579 patent/US6692576B2/en not_active Expired - Fee Related
-
2003
- 2003-08-18 US US10/642,799 patent/US7186298B2/en not_active Expired - Fee Related
-
2007
- 2007-01-29 US US11/668,409 patent/US7655093B2/en not_active Expired - Fee Related
Also Published As
Publication number | Publication date |
---|---|
JP2001508599A (ja) | 2001-06-26 |
US6491757B2 (en) | 2002-12-10 |
EP1209251A3 (en) | 2002-06-26 |
EP1209251B1 (en) | 2006-06-28 |
WO1998032893A2 (en) | 1998-07-30 |
US6113702A (en) | 2000-09-05 |
US20010054390A1 (en) | 2001-12-27 |
US20070131173A1 (en) | 2007-06-14 |
WO1998032893A3 (en) | 1998-11-12 |
DE69835105D1 (de) | 2006-08-10 |
US20030075274A1 (en) | 2003-04-24 |
US20040198153A1 (en) | 2004-10-07 |
DE69835105T2 (de) | 2006-12-07 |
KR20000070401A (ko) | 2000-11-25 |
US6692576B2 (en) | 2004-02-17 |
KR100539343B1 (ko) | 2005-12-28 |
US6454866B1 (en) | 2002-09-24 |
EP0963459A2 (en) | 1999-12-15 |
KR20050053664A (ko) | 2005-06-08 |
US7186298B2 (en) | 2007-03-06 |
KR100549998B1 (ko) | 2006-02-08 |
AU6040498A (en) | 1998-08-18 |
US7655093B2 (en) | 2010-02-02 |
DE69806578D1 (de) | 2002-08-22 |
US6343183B1 (en) | 2002-01-29 |
DE69806578T2 (de) | 2003-02-27 |
EP1209251A2 (en) | 2002-05-29 |
EP0963459B1 (en) | 2002-07-17 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4114016B2 (ja) | ウェハ支持システム | |
US6053982A (en) | Wafer support system | |
WO1998032893A9 (en) | Wafer support system | |
US7601224B2 (en) | Method of supporting a substrate in a gas cushion susceptor system | |
US6086680A (en) | Low-mass susceptor | |
KR100435119B1 (ko) | 매엽식처리장치 | |
JP4592849B2 (ja) | 半導体製造装置 | |
US6301434B1 (en) | Apparatus and method for CVD and thermal processing of semiconductor substrates | |
KR100893909B1 (ko) | 기판 홀더의 제조 방법 | |
TWI839443B (zh) | 通風基座 | |
JPH1083969A (ja) | 漸次的なサーマルマスを有する半導体ウエハサポート | |
US6861321B2 (en) | Method of loading a wafer onto a wafer holder to reduce thermal shock | |
US6971835B2 (en) | Vapor-phase epitaxial growth method | |
TWM632542U (zh) | 晶圓托盤及化學氣相沉積設備 | |
JPH07161648A (ja) | サセプタ |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20041224 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20061024 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20070124 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20070828 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20071122 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20080311 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20080401 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20110425 Year of fee payment: 3 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20110425 Year of fee payment: 3 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20120425 Year of fee payment: 4 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20120425 Year of fee payment: 4 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130425 Year of fee payment: 5 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130425 Year of fee payment: 5 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20140425 Year of fee payment: 6 |
|
S802 | Written request for registration of partial abandonment of right |
Free format text: JAPANESE INTERMEDIATE CODE: R311802 |
|
R350 | Written notification of registration of transfer |
Free format text: JAPANESE INTERMEDIATE CODE: R350 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
EXPY | Cancellation because of completion of term |