KR100539343B1 - 웨이퍼 지지 시스템 - Google Patents

웨이퍼 지지 시스템 Download PDF

Info

Publication number
KR100539343B1
KR100539343B1 KR10-2005-7004417A KR20057004417A KR100539343B1 KR 100539343 B1 KR100539343 B1 KR 100539343B1 KR 20057004417 A KR20057004417 A KR 20057004417A KR 100539343 B1 KR100539343 B1 KR 100539343B1
Authority
KR
South Korea
Prior art keywords
wafer
susceptor
temperature
gas
ring
Prior art date
Application number
KR10-2005-7004417A
Other languages
English (en)
Other versions
KR20050053664A (ko
Inventor
마이클 더블유. 할핀
마크 알. 호킨스
데릭 더블유 포스터
로버트 엠. 바인
존 에프. 웬거트
코넬리우스 에이. 반 데어 주그드
로렌 알. 제이콥스
프랭크 비.엠. 반 빌센
매튜 굳맨
글렌 하트만
제이슨 엠. 레이톤
Original Assignee
에이에스엠 아메리카, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아메리카, 인코포레이티드 filed Critical 에이에스엠 아메리카, 인코포레이티드
Publication of KR20050053664A publication Critical patent/KR20050053664A/ko
Application granted granted Critical
Publication of KR100539343B1 publication Critical patent/KR100539343B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

본 발명은 웨이퍼를 고온 처리하는 동안에 반도체 웨이퍼 상에서 균일한 온도를 유지하는 방법에 있어서, 서셉터로부터 상향 연장되는 하나 이상의 스페이서에 웨이퍼를 위치시켜 상기 웨이퍼가 상기 서셉터로부터 실질적으로 열적으로 분리되도록 하는 단계,상기 웨이퍼의 상부에서 이격된 상부 열원과 상기 서셉터의 하부에서 이격된 하부 열원으로 상기 웨이퍼와 상기 서셉터를 가열하는 단계, 상기 웨이퍼와 상기 서셉터가 모두 원하는 온도에 있을 때 상기 상부 열원과 상기 하부 열원이 제공하는 열의 비율을 비교적 일정하게 유지시키는 단계, 및 상기 웨이퍼와 상기 서셉터의 온도가 급속히 변화할 때, 상기 웨이퍼와 상기 서셉터의 온도 변화에 따라 양자가 실질적으로 동일한 온도에 유지되도록 상기 비율을 변경하는 단계를 포함하는 것을 특징으로 하는 방법을 제공한다. 본 발명에 따르면, 웨이퍼를 고온 처리하는 동안에 반도체 웨이퍼 상에서 균일한 온도를 유지할 수 있다.

Description

웨이퍼 지지 시스템{Wafer Support System}
본 발명은 반도체 처리실 내에서 웨이퍼를 고온으로 처리하는 방법에 관한 것으로서, 보다 구체적으로는 웨이퍼를 고온으로 처리하는 동안에 웨이퍼 상에서 균일한 온도를 유지하는 방법에 관한 것이다.
전자 산업용 집적 회로를 만들기 위한 반도체 웨이퍼를 처리하기 위해 고온 오븐이나 리액터가 사용되고 있다. 통상적으로 실리콘으로 만들어지는 원형 웨이퍼 혹은 기판은 서셉터라 불리우는 웨이퍼 지지체 상에 놓여진다. 웨이퍼와 서셉터는 모두 석영 챔버 내에 봉입되어 통상적으로 수정실 주변에 위치한 복수의 램프에 의해 600℃ (1112℉) 이상의 고온으로 가열된다. 반응 가스가 가열된 웨이퍼를 지나가게 하여 얇은 층의 반응 물질이 웨이퍼 상에 화학 증착(CVD)되게 한다. 이들 층은 이어지는 다른 장비에서의 처리를 통해 집적 회로로 만들어지고, 한 개의 층에 웨이퍼의 크기 및 회로의 복잡도에 따라 10개에서 수천 개의 집적 회로가 형성된다.
증착층은 아래의 실리콘 웨이퍼와 동일한 결정 구조를 가질 때 애피택셜층(epitaxial layer)으로 불린다. 이 층은 하나의 결정 구조만을 갖기 때문에 때로 단결정층이라 불리기도 한다.
여러 가지 CVD 처리 파라미터는 신중하게 제어되어야만 수득된 반도체의 고품질을 보장할 수 있다. 그러한 중요한 파라미터 중의 하나는 처리 중의 웨이퍼 온도이다. 증착 가스는 특정 온도에서 반응하여 웨이퍼 상에 증착한다. 온도가 웨이퍼의 표면의 가로 방향을 따라 변화가 큰 경우에는 반응 가스의 증착이 불균일하게 된다.
일부 배치 프로세서(즉, 한번에 두 개 이상의 웨이퍼를 처리하는 CVD 리액터)에서는, 웨이퍼가 그라파이트 또는 다른 열 흡수 물질로 만들어져 웨이퍼의 온도가 균일하게 유지되는 것을 도와주는 비교적 대질량의 서셉터 상에 위치한다. 이와 관련하여, "대질량" 서셉터는 웨이퍼에 비해 큰 열 질량을 갖는 서셉터이다. 질량은 체적에 밀도를 곱한 것이고, 열 질량은 질량에 비열을 곱한 것이다.
대질량 서셉터의 일례가 맥네일리(McNeilly)의 미국 특허 제4,496,609호에 개시되어 있다. 맥네일리 특허는 웨이퍼와 서셉터 상호간에 열전달이 가능하도록 웨이퍼가 비교적 대질량의 슬라브형 서셉터 상에 직접 위치하여 밀접한 접촉을 유지하고 있는 CVD 프로세스를 기재하고 있다. 그라파이트 서셉터가 상기 웨이퍼의 온도를 균일하게 그리고 비교적 일정하게 유지하기 위해 상기 웨이퍼에 열을 전달하는 열 "플라이휠"로서 역할하는 것으로 보인다. 그 목적은 상기 서셉터의 "플라이휠"의 효과가 없는 경우 발생하게 될 상기 웨이퍼 주위의 일시적인 온도 변화를 감소시키기 위한 것이다.
최근 몇 년 동안, 직경이 더 큰 웨이퍼의 단일 웨이퍼 처리가 여러 가지 이유로 증가되었다. 그 한가지 이유는, 여러 배열의 웨이퍼들을 동시에 처리하는 것과 달리 정밀도가 더 높아지기 때문이다. 단일 웨이퍼 처리는 그것만으로도 배치 처리에 대하여 장점을 제공하지만, 프로세스 파라미터의 제어 및 처리량이 중요한 문제로 남는다. 웨이퍼가 대질량의 슬라브형 서셉터와 밀접히 접촉하여 지지되는 시스템에서는, 가열 및 냉각의 주기 동안 균일한 서셉터 온도를 유지하는 필요성 때문에 온도가 변할 수 있는 비율을 제한하였다. 예를 들면, 서셉터에서 온도 균일성을 유지하기 위하여 서셉터 에지로 입력되는 전력은 에지 효과 때문에 중앙에 입력되는 전력보다 상당히 커야 한다.
고품질의 CVD 막을 얻고자 할 때 부딪히는 또 다른 중요한 문제점은 미립자에 의한 오염이다. 금속 및 다른 전도체의 CVD에 있어, 미립자원은 특정 조건 하에서 웨이퍼 배면에 형성되는 막이다. 예를 들면 웨이퍼의 배면이 증착 중에 보호되지 않거나 부적절하게 보호되면 거기에 CVD 물질이 부분적으로 코팅된다. 이러한 부분 코팅은 일부 유형의 물질에 대해서 쉽게 벗겨지는 경향이 있어, 증착 도중에 그리고 후속 처리 단계 중에 미립자가 처리실 내로 유입된다. 처리 중 웨이퍼 배면을 보호하는 일례가 반 데 벤 외(van de Ven et al.)의 미국 특허 제5,238,499호에 개시되어 있다. 이 특허에서는 불활성 가스가 지지 플래튼 주변 영역 내의 원주상의 그루브를 통해 유입된다. 포스터 외(Foster et al.)의 미국 특허 제5,356,476호에서는 반응 가스가 웨이퍼의 주변과 웨이퍼 지지 리프 사이의 간격으로 하향 유동되는 것을 막기 위하여, 웨이퍼 주변에 헬륨이나 수소를 관입시키기 위한 복수의 덕트로 구성되는 반도체 웨이퍼 처리장치가 개시되어 있다. 그러나 상기 장치들 또한 전술한 바와 같은 유해한 높은 열 질량에 의해 야기되는, 대구경 웨이퍼 지지 플래튼(platen)의 특성을 가진다.
따라서 웨이퍼 표면 전체에 대하여 온도의 균일성을 보장하면서 개선된 웨이퍼 지지 시스템을 제공하는 것이다.
본 발명에 따르면 웨이퍼를 처리하는 동안에 상기 상부 가열 홈과 하부 가열 홈이 제공하는 열의 비율을 수정하여 급속 균일 냉각을 촉진시키는 능력이 웨이퍼 지지 시스템에 제공된다.
상기 웨이퍼가 상기 서셉터와 더 이상 접촉하지 않으므로 상기 웨이퍼 온도는 심지어 상기 서셉터가 가열 및 냉각되는 중에 온도가 불균일한 경우에도 일정하게 유지될 수 있다. 이러한 방법으로, 가열 및 냉각 시간을 줄이는 것이 가능해진다. 처리량은 원하는대로 늘어난다. 본 발명의 또 다른 분야에서는, 상기 웨이퍼의 바닥면이 탁해지거나 바람직하지 못한 결과를 만들지 않으면서 웨이퍼의 처리를 가능하게 한다. 상기 웨이퍼를 상기 서셉터와 접촉시키지 않으면서 상기 웨이퍼의 바닥면을 가스, 예를 들면, 수소로 수욕시키는 개선점은 양면이 연마된 웨이퍼를 처리해야 하는 경우에 특히 중요하다.
이하 첨부된 도면을 참조하여 본 발명을 상세히 설명한다.
도 1은 그 내부에 본 발명의 웨이퍼 지지 시스템(22)이 통합되어 있는 반도체 웨이퍼 처리를 위한 반응실(20)을 도시한다. 웨이퍼 지지 시스템(22)을 상세하게 논의하기에 앞서 반응실(20)의 구성 요소에 대해 설명하고자 한다. 상기 지지 시스템은 여러 유형의 웨이퍼 처리 시스템에 적당하고, 그 하나가 도 18과 도 19에 도시되어 있지만 본 명세서의 설명에서는 반응실의 특정 형태를 제한하지 않는다.
반응실(20)은 상부벽(24), 하부벽(26), 상류 플랜지(28) 및 하류 플랜지(30)로 제한되는 석영관으로 이루어진다. 도시되지는 않았지만, 상기 벽들은 오목한 내면과 볼록한 외면을 가져 측단면에서 보면 양면이 볼록한 형태를 가지며, 반응실의 측면 가장자리는 비교적 두꺼운 사이드 레일을 갖는데, 그 사이에 반응실 지지 플레이트가 부속된다. 도 1은 양면이 볼록한 형태의 디멘션을 도시하는 반응실(20)의 중앙 수직면에 대한 길이 방향의 단면도로 사이드 레일은 보이지 않는다. 반응실(20)은 석영으로 만들어지는 것이 바람직하다. 챔버 지지 플레이트(32)는 진공 처리 중에 반응실(20)을 강화하고, 사이드 레일(도시않음) 사이로 연장되며, 바람직하게는 반응실(20)의 중앙선을 따라 연장되는 것이다. 서포트 플레이트(32)는 빈 공간이나 개구부(35)를 제한하는 개구(33)로 이루어지고, 개구(33)는 사이드 레일 사이에서 반응실(20)의 측면 디멘션으로 연장된다. 개구(33)는 지지 플레이트(32)를, 상류 플랜지(28)에서 개구의 상류 가장자리로 연장되는 상류 섹션과 개구의 하류에서 하류 플랜지(30)로 연장되는 하류 섹션으로 분리한다. 지지 플레이트(32)의 상류 섹션이 하류 섹션보다 길이 방향으로 더 짧은 것이 바람직하다.
세장 튜브(34)는 하부벽(26)의 중앙 영역으로부터 아래로 연장되어 있다. 구동축(36)은 튜브(24)를 통해 반응실(20)의 하부 영역(38)로 연장된다. 하부 영역(38)은 중앙 챔버 지지 플레이트(32)와 하부벽(26) 사이로 제한된다. 구동축(36)의 상단은 점점 가늘어져서 분할 서셉터(42)를 회전시키기 위한 다중 아암 지지체나 스파이더 어셈블리(40)의 홈 안에 맞춰진다. 서셉터(42)는 가상적으로 도시된 웨이퍼(44)를 지지한다. (도시되지 않은) 모터가 상기 축(36)을 구동시켜, 차례로 웨이퍼 지지 시스템(22)과 개구(33) 내에 있는 웨이퍼를 회전시킨다. 가스 주입기(46)는 화살표(48)에 의해 지시된대로 처리 가스를 반응실(20)의 상부 영역(50)으로 주입시킨다. 상부 영역(50)은 상부벽(24)과 챔버 지지 플레이트(32) 사이로 제한된다. 처리 가스는 웨이퍼(44)의 상면을 지나 그 상면에 화학물을 증착시킨다. 상기 시스템은 웨이퍼(44)를 가열시키고 웨이퍼에 화학 증착을 촉진시키기 위한 전형적 구성인 반응실(20)의 바깥 주변에 배치된 복수의 방사열 램프로 구성된다. 세장 가열등(51)의 상부 뱅크는 상부벽(24)의 외부에서 보이고, 전형적으로 상부 뱅크와 교차하게 배치된 램프의 하부 뱅크도 이용된다. 나아가 서셉터(42)의 하부로부터 상향 배치된 램프의 동심 배열이 자주 이용된다.
스위프 가스(37)의 출처가 질량 유량 제어기(39)를 통해서 구동축(36)에 연결된 것이 체계적으로 도시되어 있다. 가스는 중공 축(26) 내의 공간으로 유동하여 궁국적으로 서셉터(42)를 통해 상향 이동하는데, 추후 보다 상세하게 설명될 것이다. 중공 회전축(36)의 내부에 가스를 허용하는 유체 커플링이 도시되지는 않았지만 여러 수단을 이용하여 달성할 수 있으며, 그 중 하나가 1989년 4월 19일 등록된 미국 특허 제4,821,674호에 개시되어 있다.
웨이퍼는 웨이퍼 진입 포트(47)를 통해 반응실(20)로 도입된다. 웨이퍼는 일반적으로 진입 포트(47)를 통해 들어가 웨이퍼 지지 시스템(22) 위로 연장되어 웨이퍼를 증착시키는 로봇 픽업 아암(도시되지 않음)에 의해 이동된다. 그 후 CVD 시스템은 반응실(20)을 밀폐하고 실리콘층이나 기타 물질을 상기 웨이퍼에 증착시키기 위하여 수소와 같은 운반 가스와 더불어 증착 가스를 도입한다. 처리 후 게이트 밸브가 개방되면 로봇 픽업 아암(47)에 의해 상기 서셉터(42)에서 웨이퍼가 인출된다. 주기적으로, 반응실(20)은 후속 처리를 위한 상태에 있어야 한다. 일반적인 순서는 에치 가스를 반응실로 도입하여 게이트 밸브를 잠그고, 내부 벽으로부터 특정 증착물을 제거한다. 에칭 후에 실리콘 전조 물질을 때때로 상기 반응실로 유입시켜 서셉터(42) 상에 얇은 실리콘막을 제공한다. 이러한 코팅 단계는 캐핑(capping)이라 불리우기도 한다. 에칭과 캐핑 단계 후에 상기 반응실은 다음 웨이퍼의 도입을 위해 수소로 세척되고 가열된다.
튜브(34)는 그 지름이 구동축(36)보다 약간 더 커서 세정 가스(52)가 통과하여 유동할 수 있는 공간을 양자 사이에 마련해 준다. 세정 가스는 반응실(20)의 하부 영역(38)으로 들어가 반응 가스가 하부 영역에 증착되지 않도록 도와준다. 이와 관련하여 세정 가스(52)는 웨이퍼 지지 시스템(22) 하부에서 양(+)의 압력을 생성하여 반응 가스가 하부 영역(38) 내의 분할 서셉터(42)의 측면 주위를 유동하지 못하도록 도와준다. 그리고 나서 세정 가스는 상기 서셉터(42)와 개구(33) 사이에서 화살표(55)가 지시하는 것처럼 상부 영역(50)으로 배출되고, 그 후 하류 플랜지(30) 내의 세장 슬롯(60)을 통해 배출된다. 이로써 반응 가스가 하부 영역(38)으로 젼혀 이동되지 않도록 한다. 마찬가지로 반응 가스는 하류 플랜지(30) 내의 세장 슬롯(60)을 통과하여 배기 시스템(58)을 통해 송풍된다.
바람직하게는, 온도보상링(62)이 웨이퍼 지지 시스템(22)을 둘러싼다. 링(62)은 지지 플레이트(32)의 개구(33)에 의해 생성된 개구부(35)에 맞춤됨으로써, 웨이퍼 지지 시스템(22)과 링(62)은 실질적으로 개구부(35)를 채우며, 이에 의해 하부 챔버 영역(38)과 상부 챔버 영역(50) 사이에 구조물을 제공한다. 서셉터(42)는 링(62) 내에서 회전하고, .5mm 와 1.5mm 사이의 작은 환상 간격에 대하여 이격되어 있는 것이 바람직하다. 링(62)을 에워싸고 있는 지지 플레이트(32)의 개구는 원형으로, 개구부(35)의 가장자리가 상기 링과 매우 근접해질 수 있다. 그러나 일반적으로 사각형 개구(33)가 바람직한 것으로 밝혀졌다. 이와 관련하여 링(62)은 전체적으로 사각의 외주를 갖도록 하거나, 아니면 원형 링과 개구(33) 사이의 간격을 채우기 위한 2차 구조물이 이용될 수 있다. 보다 자세히 후술되겠지만, 서셉터(42)는 링(62)에 맞는 일정한 외경을 갖고 둘러싸는 개구(33)를 갖도록 제작되는 것이 바람직하다. 서셉터(42)가 일정한 외경을 갖는다 하더라도 크기가 다른 여러 웨이퍼를 처리하기 위해 다양한 구성이 제공된다는 것을 알게 될 것이다.
특히 바람직한 실시예에서는, 온도보상링(62)이 써모커플(64)을 수용하기 위해 캐비티를 갖는 2부(two-part) 구조의 원형 링으로 구성된다. 도시된 실시예에서, 써모커플(64)은 하류 플랜지(30)에 형성된 개구를 통해 반응실(20)로 들어가 지지 플레이트(32) 아래에서 온도보상링(62)으로 연장된다. 석영 플랜지(30) 내의 개구는 일반적으로 추가 봉합을 하지 않더라도 써모커플(64) 주위의 가스 누출을 실질적으로 방지한다. 세 개의 써모커플을 갖는 것이 바람직한데, 하나는 앞쪽 가장자리(66)를 구획하고 다른 하나는 뒤쪽 가장자리(68)를 구획하고, 나머지는 상기 링(62)의 일측면을 구획한다. 분할 서셉터(42)를 에워싼 링(62) 내의 써모커플은 방사열 램프의 정확한 제어를 위해 유용한 온도 정보 피드백을 제공한다. 지지 플레이트(32)에 부속되어 있는 구부러진 복수의 핑거(70)가 서셉터(42) 주변에서 링(62)을 지지한다. 링(62)과 써모커플 외에도, 중앙 써모커플(72)은 중공 구동축(36)을 통해 상향 연장되고, 스파이더 어셈블리(40)를 통해 서셉터(42)의 중심 하부를 구획한다. 그러므로 중앙 써모커플(72)은 웨이퍼(44) 중심 부근의 온도를 정확히 측정하게 된다. 본 시스템에서는 웨이퍼의 온도가 빠르게 변하기 때문에, 반응 시간을 빠르게 하기 위하여 써모커플의 질량을 최소화하는 것이 바람직하다.
도 2를 참조하면, 웨이퍼 지지 시스템(22)의 제 1 실시예가 도시되어 있다. 시스템(22)은 일반적으로 스파이더 어셈블리(40)의 아암(74)에 의해 지지되는 분할 서셉터(42)로 구성된다. 아암(74)은 허브(76)로부터 반경 방향으로 외향 연장되고 소정의 반경 거리에서 상향 수직 방향으로 구부러져 서셉터(42)의 바닥면에 접한다. 분할 서셉터(42)는 상부 섹션(78)과 하부 섹션(80)을 포함하는데, 양 섹션은 일반적으로 원판형 엘리먼트이다. 서셉터(42)의 양 섹션(78, 80)은 그라파이트로 정밀하게 만들어져, 최소의 가스 누출을 보장하는 추가 조임 수단없이 밀접히 결합되도록 하는 것이 바람직하다. 본 목적을 위해서 상부 섹션(78)과 하부 섹션(80)에 인접한 원형의 표면들 사이에 .001인치 미만의 간격은 허용된다. 실리콘 카바이드 박막이 섹션(78, 80)의 일측 또는 전부에 형성될 수 있다. 서셉터(42)의 두께는 약 .30인치가 바람직하다.
도 3의 상세도를 참조하면, 상부 섹션(78)은 일반적으로 보다 얇은 원형의 중앙 부분을 에어싼 외부 링(82)으로 구성된다. 외부 링(82)은 상부 숄더나 스텝(88) 또는 하부 숄더나 스텝(90)에서 각각 종결하는 상부 림이나 레지(84)와 하부 림이나 스커트(86)로 구성된다. 상부 스텝(88)은 레지(84)와 원형 웨이퍼 수용 홈(92) 사이에 전이부를 형성한다. 하부 스텝(90)은 하부 섹션(78)에서 스커트(86)와 환상의 틈(94) 사이에 전이부를 형성한다. 상부 섹션(78)은 상부 섹션의 중심축에 대하여 상기 홈(92)에서 대칭으로 배치된 원형의 스위프 가스 유출구(96)를 더 포함한다.
서셉터(42)의 축에서 동심원 주변의 이격 위치에, 복수의 카운터보어홀(counter-bored holes)(98)이 상부 스텝(88) 가까이 형성된다. 카운터보어홀(98)은 원형의 홈(42)을 향해 개방되는 보다 작은 관통홀과 환상의 홈(94)을 향해 하향 개방되는 상기 작은 관통홀과 동심인 보다 큰 카운터보어홀을 포함한다. 각각의 카운터보어홀(98)은 환상의 홈(92)으로 돌출되는 웨이퍼 지지체나 스페이서(10)를 수용할 수 있는 크기이다. 웨이퍼(44)는 홈(92)의 플로어 상의 스페이서(100)에 위치된다. 이와 관련하여 홈(92)은 그 내부에 웨이퍼를 수용할 정도의 크기를 가지므로 웨이퍼의 에지는 스텝(88)과 매우 인접하게 위치된다. 상부 섹션(78)은, 아래로 연장된 중앙 스핀들(102)을 더 포함하며, 중앙 스핀들(102)은 환상의 틈(94)의 반경 방향 내부 경계를 규정한다. 중앙 써모커플 캐비티(104)는 전술한 중앙 써모커플(72)의 감지부를 수용하기 위하여 스핀들(102)에서 정의된다.
도 3 및 도 5를 참조하면, 환상의 하부 섹션(80)은 상부 섹션(78)의 아래로 연장된 스핀들(102) 주위에 맞는 크기의 중심 관통홀(106)로 구성된다. 하부 섹션(80)의 상면은 복수의 가스 통로 홈을 포함한다. 보다 구체적으로, 곡선 분포의 패턴을 보여주는 그루브(108)는 복수의 가스 유동 그루브(110)와 하나의 중심원 전달홈(112) 사이로 연장된다. 각 그루브(108, 112)는 대개 하면(116)에서 상면(118)으로 연장되는 수직 관통홀을 갖는 중공의 원통형 부재를 포함한다. 각 가스 유동 통로(110)는 얕은 스파이더 아암 캐비티(114)로 하향 개방된다.
도 3과 도 6을 참조하여 스파이더 어셈블리(40)가 보다 자세하게 설명된다. 중심 허브(76)는 일반적으로 하면(116)에서 상면(118)으로 연장되는 수직 관통홀을 갖는 중공 원통형 부재를 포함한다. 상기 관통홀은 하부의 축 수용 첨단 부분(120), 중심 가스 플레넘(122)과 상부 써모커플 채널(124)을 포함한다. 하부 첨단 부분(120)은 여유를 가지고 결합되는 동일한 첨단각을 갖는 두 개의 엘리먼트인, 중공 구동축(36)의 가늘어지는 상단을 수용한다. 써모커플 채널(124)은 분할 서셉터(42)의 상부 섹션(78)의 써모커플 캐비티(104)로 연장되는 중앙 써모커플(72)을 수용한다. 가스 플레넘(122)은 각각의 지지 아암(74)과 함께 정렬되는 복수의 개구(126)를 포함한다. 이와 관련하여 지지 아암은 중공으로, 내부를 규정하는 스위프 가스 통로(128)를 갖는다. 아암(74)의 상향 터미널은 환상 리프(130)에 의해 강화된다. 상기 리프(130)는 하부 섹션(80)의 바닥면 내의 얕은 아암 수용 캐비티에 맞는 크기를 갖는다. 축(36)은 스파이더 어셈블리(40)를 회전 가능하게 구동시키고, 하부 섹션(80)의 바닥면 내의 리프(130)와 얕은 캐비티(114) 사이에 맞물림으로써 서셉터(42)를 구동시킨다.
다른 실시예에서는, 스파이더 어셈블리(40)의 곡선 아암이 수직 배치된 한쌍의 튜브로 대치될 수 있다. 즉, 세 개의 아암에서 제 1 아암은 중앙 허브(76)로부터 반경 방향으로 외향 연장되어 제 1 아암과 수직이고, 아암 수용 캐비티(114) 내에 맞도록 상향 연장되는 보다 큰 제 2 아암과 결합한다. 이러한 배열은 약간은 옥수수 속대 파이프를 구상하게 한다. 각 아암의 제 1 튜브는 허브(76)로부터 수평 방사하거나 또는 약간 상향으로 각을 이룰 수 있다. 곡선의 석영 튜브를 이용하기 보다는 직선의 원통 구간을 이용하는 것이 제작 비용이 감소된다.
도 2를 참조하면, 스페이서(100)는 다양한 형태를 취할 수 있다. 도 2에 자세히 도시된 바람직한 실시예 중 하나에서, 스페이서(100)는 작은 원형 헤드를 갖는 세장의 상부 부분(132)으로 구성된 핀 형태이다. 세장 부분(132)보다 더 큰 크기의 베이스(134)는 카운터보어홀(98)에 맞는다. 멀티 스페이서(100)의 세장 부분 헤드는 동일한 높이에서 단부를 가짐으로써 웨이퍼(44)에 대하여 평판상의 지지면을 제공한다. 카운터보어홀(98)의 상부 부분은 직경이 대략 .062인치로 스페이서(100)가 그 안에 들어맞는다. 스페이서(100)는 약 .010 내지 약 .200인치의 범위에 있는 홈 위에서 웨이퍼를 이격시키는 것이 바람직하다. 또는 약 .60 내지는 약 .090인치의 범위에 있는 것이 더 바람직하고, 상기 스페이서(100)가 약 .075인치의 높이에서 홈의 플로어 위에서 웨이퍼(44)를 지지하는 것이 가장 바람직하다. 이러한 간격은 .005 - .010인치 상태에 있는 서셉터나 웨이퍼의 평면에서의 편차보다 상당히 크다. 또한 간격은 웨이퍼 픽업을 용이하게 하면서 또한 서셉터와 웨이퍼간 열 접촉을 최적화할 목적으로 한 선행 기술에 의한 서셉터 상면 상의 그리드의 높이보다 훨씬 크다. 바람직한 실시예에서, 홈(92)의 깊이와 스페이서(100)의 높이는 웨이퍼(44)의 상면이 외부 레지(84)의 면이 울퉁불퉁해지거나 전이를 최소화하고 가스 유동을 원활하게 하기 위한 정도이다. 대안으로, 레지(84)는 원하는대로 상기 웨이퍼(44) 정상의 위 아래에 형성될 수 있다.
도 2b에 도시된 다른 실시예에서, 스페이서(100)는 상부 섹션(78)의 상면에 형성된 크래들(138)에 맞는 구(136)의 형태를 취한다. 스페이서(100)는 상부 섹션(78)과 일체형으로 형성될 수 있다. 바람직하게는, 웨이퍼와의 접촉 영역을 최소화하기 위해 스페이서(100)의 상부 웨이퍼 접촉 부분이 원형이거나 또는 콘 형태를 취할 수 있다.
그러나 도 2c는 상기 웨이퍼가 상기 핀에 놓여있을 때 단거리를 낙하하는 시스템에 유용한 대체 핀 헤드 구성을 도시한다. 즉, 하나의 웨이퍼 이송 시스템에서, 웨이퍼는 소위 베르누이(Bernoulli) 막대를 이용하여 지지되며, 베르누이 막대에서 웨이퍼는 웨이퍼 상면이 막대기에 닿지 않아도 반경 방향의 외향의 가스 유동에 의하여 위에서부터 지지된다. 웨이퍼가 서셉터 상면으로 약간 이동한 후에 가스 유동이 중단되고, 웨이퍼는 스페이서에 맞게 된다. 접촉 부위가 매우 얕기 때문에 일점에 접촉해 있는 스페이서 핀이 상기 스페이서에 접촉한 상기 웨이퍼의 면을 쪼개거나 훼손시킬 가능성이 있다. 그러한 가능성을 최소화하기 위해 도 2c의 핀 헤드는 둥근 숄더(139a)가 있는 평탄한 상면(139)을 갖는다. 바람직하게는, 평탄 영역의 직경이 .025 내지 .045인치의 범위에 있거나 또는 약 .055인치의 전체 상면이 평탄한 것이다. 또한 평면(139)이 웨이퍼를 손상시킬 가능성이 있는 표면 거칠음을 제거하기 위해 연마하는 것도 바람직하다.
고정 스페이서(100)는 분할 서셉터(42) 위의 웨이퍼를 이격시키기 위하여 웨이퍼(44)를 위한 평판상의 지지 플랫폼이나 스탠드를 제공하고, 이와 관련하여 적어도 세 개의 스페이서가 필요한데, 4개 이상이 제공될 수도 있다. 바람직하게, 스페이서(100)는 세라믹이나 천연 사파이어 또는 인공 조립 사파이어로 제작되는데, 사파이어는 산화알루미늄에서 파생된 단결정 구조를 갖는다. 다른 구성에서, 스페이서(100)는 궁극적으로 반응실(20) 내의 반복된 열 사이클로부터 파생되는 비결정 석영으로 형성되기도 한다. 스페이서로 쓰일 수 있는 다른 물질로는 단수정이나 단결정 수정, 탄화 규소, 질화 규소, 탄화 붕소, 질화 붕소, 질화 알루미늄 및 탄화 지르코늄 또는 웨이퍼 처리실 내의 초고온과 화학적 환경을 견딜 수 있는 내열 물질 등이 있다. 이들 물질들은 모두 스페이서가 가스 처리를 위한 노출에 악화되는 것을 막기 위하여 Si, Si3N4, SiO2 나 SiC로 추가 피복되기도 한다.
웨이퍼와 서셉터(42) 사이로 들어오는 반응 가스로 인한 웨이퍼(44) 배면의 오염을 방지하기 위하여, 새로운 스위프 가스 시스템이 제공된다. 상기 시스템은 웨이퍼에 접촉하고, 비가열시 부분 냉각을 일으키는 가스와, 웨이퍼 상의 슬립 가능 영역을 미리 가열한다. 도 2를 참조하면, 화살표(140)가 지시하는 바와 같이,스위프 가스가 중공 구동축(32)을 통해 웨이퍼 시스템의 플레넘(122)으로 유입되는것이 더 바람직하다. 그리고 나면 상기 가스는 개구(126)를 통해 분산되어 상기 아암(74) 내의 스위프 가스 통로(128)로 들어간다. 상기 가스는 유입 유로(142) 내에서 계속 흘러 하부 섹션(80)을 통해 가스 통로(110)으로 들어간다. 상기 상부 섹션의 하면을 따라 있는 분배 그루브(108)는 상부 섹션(78)과 하부 섹션(80) 사이의 가스 채널을 제한한다. 도 5를 참조하면, 상기 가스는 다양한 분배 그루브(108)를 잇는 채널을 따라 유동하여 마침내 원형 전달 그루브(112)에 도달하고, 그 후에는 화살표(144)가 가리키는대로 스위프 가스 유출구(108)를 통해 존재한다. 분배 그루브를 통한 가스 유동은 화살표(146)로 도시되어 있다. 전달 그루브(112)로의 가스 유동은 화살표(148)로 도시되어 있다. 분배 그루브(108)의 구체적인 배열은 도 5에서 예시된 것과 다를 수도 있다. 도시된 배열은 스위프 가스를 하부 섹션을 통해 연속의 대칭 경로로 전달시킴으로써 하부 섹션(80)을 통하고 그리고 분할 세셉터(42)를 통하는 온도의 불균일성을 전체적으로 줄이는데 도움이 된다. 상기 그루브(108)가 가스 통로(110)에서 중앙의 원형 전달 그루브(112)와 스위프 가스 유출구(96)에 걸치는 비선형 통로를 가로지르는 것이 바람직하다.
원형 전달 그루브(112)는 스위프 가스 유출구(96)의 원형 패턴 바로 아래에 형성된다. 도 4에 도시된 것처럼, 그루브(112)는 통한 가스의 균등 분배는 유출구(96)로부터 배출되는 스위프 가스 흐름(148)이 서셉터(42)의 중심에 대하여 외향의 반경 방향으로 선대칭인 것이 바람직하다. 이에 따라, 웨이퍼와 서셉터 사이로 유입될 수 있는 반응 가스는 웨이퍼 아래로부터 외향의 반경 방향으로 일소된다. 중공 구동축(36)과 분할 서셉터를 유동하는 스위프 가스의 유동율이 5 등급 리터/분 미만인 것이 바람직한데, 3 등급 리터/분 미만의 유동율이 선호된다.
다른 가스로도 대체 가능하지만, 다양한 CVD 처리 양식에 호환 가능하므로 수소가 선호된다. 세정 가스를 이용한 웨이퍼의 배면에 대한 뛰어난 제어를 통해, 서셉터와 접촉한 웨이퍼를 갖는 시스템과는 달리, 양면 연마 웨이퍼가 성공적으로 처리될 수 있다.
본 발명은 서로 다른 처리 압력에 대하여 중공 구동축(36)과 분할 서셉터를 통해 스위프 가스의 유동을 조절하기 위한 질량 유동 제어기(39)를 포함한다. 즉, 일부 처리는 대기 압력에서 이루어지지만 일부는 감압 상태에서 이루어진다. 유동 제어에 고정된 제한을 가하는 경우, 다른 모든 변수를 동일하게 놓고, 감압 처리와 대기 압력 처리를 비교하면, 스위프 가스 유출구를 통한 가스의 유동이 증가되게 된다. 따라서, 질량 유동 제어기(39)를 5 등급 리터/분 미만의 가스 유동 상수를 보장하기 위해 처리 압력에 대해 독립적으로 동작한다.
도 7과 도 8은 도 2에서 도시된 웨이퍼 지지 시스템(22)과 같은 구성 요소를 일부 이용하는 또 다른 웨이퍼 지지 시스템(22')을 도시한다. 보다 구체적으로, 분할 서셉터(42')의 스파이더 어셈블리(40)와 하부 섹션(80)은 제 1 실시예와 관련하여 도시 및 설명된 것과 동일하다. 다만, 분할 서셉터(42')는 외부 링(82')이 상부 레지(84')와 하부 스커트(86')로 이루어지는 변형된 상부 섹션(78')을 포함한다. 상부 레지(84')의 크기는 제 1 실시예와 관련하여 설명된 레지(84)와 유사하고 원형 홈(92')으로 유도하는 원형 스텝(88')에서 종결된다. 비교해 보면, 하부 스커트(86')는 제 1 실시예와 관련하여 설명된 스커트(86)보다 큰 반경을 갖지만, 스텝(90')은 제 1 실시예의 스텝(90)과 동일한 크기를 가진다. 이에 의해, 제 1 실시예와 마찬가지로 상부 섹션(78)이 환상 하부 섹션(80)을 수용하도록 한다.
서셉터(42')는 도 7에서 제 1 실시예와 별도로 상부 스텝(88')과 하부 스텝(90') 사이의 영역에 있는 서셉터(42')의 중심축을 둘러싼 원에 대하여 원주 방향으로 분포된 지지 핀(150)의 형태로 된 복수의 스페이서를 포함한다. 보다 구체적으로, 핀(150)은 스텝을 가진 캐비티(152) 내에서 연장되는데, 상부 섹션(78')을 통해 홈(92')에서 연장 스커트(86')로 연장된다. 도시된 상기 핀(150)은 도 2a 및 도 2b와 관련하여 설명된 첫 번째 두 개의 실시예와는 약간 다르고, 웨이퍼(44')와 접촉한 둥근 헤드가 있는 간단한 원통형 엘리먼트로 구성된다.
서셉터를 통과하는 가스 통로 홈의 다른 실시예가 도 9와 도 10에 도시되어 있다. 앞에서 처럼 스파이더 어셈블리(40)는 상부 섹션(162)과 하부 섹션(164)을 갖는 변형된 서셉터를 지지한다. 하부 섹션(164)은 스파이더 어셈블리 아암(74)의 상단을 수용하기 위해 하향 개방되는 세 개의 가스 통로(166)를 포함한다. 이와 관련하여 스위프 가스는 첫 번째 두 개의 서셉터 실시예(42와 42')와 동일한 위치에 있다. 그러나 거기서부터 하부 섹션(164)의 상면에 있는 분배 그루브(168)는 외부의 원형 그루브(170)로 반경 방향으로 외향 연장된다. 2차 그루브(172)는 스위프 가스를 반경 방향으로 내향 유동시켜 이격 반경 거리에 위치한 일련의 동심원 전달 그루브(174a, 174b와 174c)를 교차시킨다. 각각의 2차 그루브(172)가 한쌍의 분배 그루브(168) 사이로 정의되는 내포각을 이분하는 라인을 따라 있는 것이 바람직하다.
도 9와 도 10에 도시된 바와 같이, 상부 섹션(162)은 일련의 동심원 전달 그루브(174a, 174b와 174c)에 배치된 복수의 가스 유출구를 포함한다. 보다 구체적으로, 제 1 군의 유출구(176a)는 가장 작은 분배 그루브(174a)와 같은 반경에 있는 내부 원(178a)를 따라 형성된다. 마찬가지로 2군 이상의 유출구(176b, 176c)는 외부 전달 그루브(174b와 174c)과 대응하는 외부 동심원(178b, 178c) 주변에 각각 배치된다.
네 개의 유출구(176)가 각각의 원(178a, 178b, 178c) 주변에 균일하게 분포되도록 도시되었지만 더 많게 또는 더 적게 제공될 수 있다. 더욱이 유출구(176)의 원주 방향은 도시된 것처럼 원(178) 사이에서 서로 엇갈릴 수 있다. 각 원(178)당 네 개의 유출구(176)를 갖는 유출구 각각의 패턴은 다른 패턴과 관련하여 30°회전된다. 대안으로, 예를 들면 균일하게 분포되어 엇갈린 각 원(178)당 여덟 개의 유출구(176)가 유출구 각각의 패턴이 다른 패턴과 관련하여 15°회전되도록 할 수 있다. 유출구(176) 패턴들의 엇갈림은, 화살표(180)로 표시된 바와 같이 정렬된 것보다 웨이퍼 하에서 더 효과적인 가스 스위프가 생성되도록 한다.
다른 변형예에서, 상부 섹션(162)은 유출구(176a)의 외부 원(178b, 178c)이 원형 전달 그루브(112)와 함께 정렬되어, 도 3 및 도 5와 관련하여 전술된 하부 섹션(80)과 함께 이용될 수 있다. 그러한 경우에, 유출구(176b, 176c)의 외부 원(178b, 178c)은 이용되지 않는다. 이 외에도, 하부 섹션(164)은 내부 전달 그루브(174a)가 유출구(96, 96')의 원형 패턴으로 정렬되어, 전술된 상부 섹션(78, 78') 중 하나와 함께 사용될 수도 있다. 여기서, 외부 전달 그루브(174b, 174c)는 이용되지 않는다. 물론 다른 예도 가능하다.
세 개의 스페이서(100)가 제공하는 최소의 직접 지지는 물론 웨이퍼(44)와 분할 서셉터(42)의 분리에 의해, 서셉터로부터 웨이퍼로의 열전도가 효과적으로 차단된다. 그러므로 웨이퍼(44)의 온도는 기본적으로 처리실을 에어싼 램프에 의해 제공되는 방사열의 영향을 받는다.
스파이더 어셈블리(40)는, 하부 열 램프에서 발산되는 방사열의 차단을 최소화하기 위해 서셉터(42)의 바닥면에 열통과 지지체가 제공되도록 석영으로 만들어지는 것이 바람직하다. 석영이 선호되긴 하지만 비교적 높은 방사열 전달 계수를 갖는 다른 물질들을 이용할 수도 있다. 스파이더 어셈블리(40)를 구성하기 위해서 허브(76)는 우선 적절한 형태로 규격화된다. 관상의 아암(74)은 예를 들면 용접에 의해 직선 부분에서 구부러져 허브(76)에 부착된다. 열처리 및 연소 연마(fire polishing)가 석영의 내부 강도를 감소시킨다.
도 11은, 본 발명의 또 다른 웨이퍼 지지 시스템(200)에 대한 평면도를 도시하는데, 시스템(200)은 정면에 동심의 홈(204)을 갖는 분할 서셉터와 상기 홈 내에 위치한 복수의 웨이퍼 지지 스페이서(206)를 포함한다.
서셉터(202)의 탑 섹션(208)은 도 12에 도시된 바와 같이, 얕은 홈(204)은 서셉터의 최상면을 형성하는 레지(212)로 인도하는 원형 스텝(210)에 의해 외주 주위로 제한된다. 그러한 구성은 여러 면에서 전술한 서셉터들과 유사하다.
전술한 서셉터와 별도로, 분할 서셉터(208)는 두 개의 스위프 가스 유출구 동심원을 포함한다. 12개의 스위프 가스 유출구(214)를 에워싼 하나의 외부 원이 12개의 스위프 가스 유출구(216)를 에워싼 하나의 내부 원을 에워싼다. 외부 스위프 가스 유출구가 30°의 간격으로 상기 분할 서셉터(208)의 중앙 주변에 또는 1:00, 2:00 등에 분포되어 있는 것을 도 12에서 쉽게 볼 수 있다. 한편 스위프 가스 유출구(216)의 내부 원은 외부 원과 관련하여 회전 방향으로 15°상쇄되므로 12:30, 1:30 등에서 회전 위치를 점유하고 유출구의 외부 원을 상호 연결시킨다. 이처럼 증가된 스위프 가스 유출구의 수와 동심원의 엇갈리는 관계는 도 9와 관련하여 전술된 것처럼 웨이퍼 하부에서 스위프 가스의 균일성을 증가시키고 그에 대한 성능을 향상시킨다.
도 11은 상기 분할 서셉터(202)의 탑 섹션(208)과 저면 섹션(218)의 인터페이스(219)를 점선으로 보여주고, 저면 섹션은 도 13에서 평면도로 도시된다. 저면 섹션(218)의 외주는 120°간격으로 배치된 세 개의 플랫(220)을 제외하면 실질적으로 원형이다. 저면 섹션(218)의 외주는 도 12의 점선과 도 14에서 단면으로 도시된 것처럼 탑 섹션(208)과 유사한 형태의 하부 스텝(222) 내에 들어맞는다. 저면 섹션(219)의 플랫(220)은 하부 스텝(222) 내에서 내향하는 플랫(224)과 협조하여 탑 섹션(208)이 저면 섹션(218)과 회전 방향을 향하도록 한다. 저면 섹션(218)은 나아가 작은 중앙 관통홀(226)을 포함하며, 하향 분리되는 허브 또는 탑 섹션의 스핀들(228)이 그 안에 맞는다.
저면 섹션(218)의 바닥면은 전술한 것과 비슷하게 세 개의 얕은 스파이더 아암 캐비티(230)를 포함한다. 캐비티(230)는 서셉터의 저면 섹션(218)의 상면에 형성된 복수의 가스 분배 그루브(234)로 유도하는 수직 가스 통로(232)와 연통한다. 도 13에 도시된 것처럼 각각의 가스 통로(232)는 분기 그루브(234)와 연통하고, 상기 분기 그루브는 우선 반경 방향으로 외향 연장되여 서셉터나 하부 섹션의 원주 근처에서 원주 방향으로 연장되고 그 후 마침내 저면 섹션(218)의 중앙을 향하여 점점 반경 방향으로 내향 연장되는 원형 통로를 유동한다. 이처럼, 스위프 가스는 점점 선대칭되는 패턴으로 전체 서셉터를 통과하여 가열된 서셉터에서 스위프 가스로의 혹은 스위프 가스로부터 서셉터로의 열 전달을 제공한다.
양측 가스 분배 그루브(234)가 저면 섹션(218)에 동심으로 형성된 연속의 외측 원형 전달 그루브(236)를 가로지른다. 외측 그루브(236)로부터 복수의 각진 스포크(238)가 내측 원형 전달 그루브(240)로 유도되고 다시 저면 섹션(218)에서 동심으로 형성된다. 가스 분배 그루브(234)가 상기 스포크(238)의 각각에 직접 연속되는 것으로 도시되었지만 다른 배열도 가능하다. 더욱이 스포크(238)는 일반적인 접각(tangential angle)에서 내측 원형 전달 그루브(240)와 교차하는 것으로 도시되었지만, 보다 직접적인 다른 반사각에서 연결될 수도 있다. 가스 통로(232)는 스위프 가스 유출구(216)로부터 외향의 반경 방향에 위치하고, 가스 분배 그루브(234)는 바람직하게는 양측 사이의 비선형 통로를 횡단하며, 통로(232)와 (216) 사이의 직선보다 더 긴 것이 바람직하고, 도시된 것처럼 원형 패턴인 것이 가장 바람직하다.
내측 원형 전달 그루브(240)는 탑 섹션(208)이 저면 섹션(218)의 위에서 결합될 때 스위프 가스 유출구(216)의 내측 원 바로 아래에 놓인다. 마찬가지로 외측 원형 전달 그루브(236)는 스위프 가스 유출구(214)의 외측 원 바로 아래에 놓인다. 이러한 배열은 분할 서셉터(208)의 정면에 있는 유출구 전부(214, 216)에 대하여 스위프 가스의 균일한 압력 및 제공을 가능하게 해준다. 탑 섹션(208)과 저면 섹션(218) 사이에 생기는 압력은 스위프 가스 유출구(214, 216)의 숫자의 증가 및 상기 유입 가스 통로(232)의 크기의 감소로 인해 전술한 실시예로부터 약간 감소된다. 보다 구체적으로 상기 유입 가스 통로(232)는 대략 .60 내지 .70인치의 직경을 갖는다. 도 11은 분배 그루브(234)를 통과하는 상기 통로(232)로부터의 가스 유동을 화살표로 도시한다.
상기 실시예와 독립적으로 도 12에서 도시된 것처럼, 스페이서(206) 각각에는 가스 분배 그루브(234) 중 하나로부터 세정 채널(244)을 경유하여 세정 가스가 공급된다. 이들 세정 채널은 도 14에서 단면으로 도시되고 개개의 가스 분배 그루브(234)로부터 바로 상기 스페이서(206)로 연장된다. 이러한 방식에 의해, 246에 위치한 세정을 위한 유동이 각 스페이서(206)를 에워싼 영역으로 연속 공급된다. 각 스페이서(206)는 그루브(204)의 정면에 형성된 개구(250)에 꼭 맞는다. 일 실시예에서 핀(254)은 .050과 .055인치 사이의 직경을 갖지만 상기 개구(250)는 .062와 .067인치 사이의 직경을 갖는다.
본 발명은 처리할 웨이퍼 크기에 따라 서로 다른 상부 섹션의 선택을 가능하게 하는 서셉터 조합을 제공한다. 그러한 조합은 특히 지지 플레이트(32)를 갖는 반응실(20)에서 유용하다. 전술한 것처럼, 서셉터가 링(62)내에 꼭 맞고, 개구(33)는 지지 플레이트(32) 내에 맞는 일정한 외주를 갖는 것이 바람직하다. 상부 섹션이 상기 서셉터의 외주를 제한하기 때문에 필요상 일정한 직경을 갖지만 웨이퍼의 홈은 서로 다른 웨이퍼 크기를 수용하기 위하여 크기별로 달라진다. 섹션 각각의 저면 형태는 단일 하부 섹션과 맞도록 설계됨에 따라 비용이 감소된다. 도 11-17은 4개의 다른 웨이퍼 크기에 대하여 4개의 다른 서셉터 조합(200, 258, 27, 300)을 도시한다. 다른 크기의 웨이퍼도 물로 그러한 결합으로 수용될 수 있으며, 최대 크기는 상기 서셉터의 외주에 의해 제한된다.
도 15는 웨이퍼 지지 시스템(200)의 탑 섹션(260)의 제 2 변형을 도시한다. 저면 섹션은 도 11-14와 관련하여 기술된 것과 동일하고, 탑 섹션(260)과 저면 섹션(218) 사이의 인터페이스(262)는 전술한 것과 동일하여, 저면 섹션 내의 가스 분배 그루브(234)들은 또한 동일한 위치에 마련되어 있다. 다만, 탑 섹션(250)은 감소된 직경 홈(264)만큼 전술한 변형예와 상이하다. 홈(264)은 원형 스텝(266)에 의해 제한되어, 차례로 레지(268)를 위하여 더 큰 반경 크기를 만들어낸다. 탑 섹션(260)은 홈(264) 내에서 더 작은 크기의 웨이퍼를 지지하도록 조절된다. 이와 관련하여 복수의 스페이서(270)가 서셉터의 중앙 주변에 120°의 간격으로 그리고 대략 150mm의 웨이퍼에 적절한 지지체를 제공하는 반경 거리에 위치해 있다. 세정 가스 그루브(234)를 상기 스페이서(27)와 연결시키기 위하여 단축된 세정 채널(272)이 제공된다.
도 16은 웨이퍼 지지 시스템(200)의 탑 섹션(280)의 제 3 실시예를 도시한다. 저면 섹션은 상기 정면 섹션과 상기 저면 섹션 사이의 인터페이스(282)가 같은 점에서 이전 예와 동일하다. 탑 섹션(280)은 원형 스텝(286)에서 종결하는 확대된 레지(284)를 포함한다. 그러므로 형성된 홈(266)은 직경이 대략 124mm인 웨이퍼를 수용할 수 있는 크기를 갖는다. 세정 채널(288)은 감축된 크기의 웨이퍼를 지지하기에 충분한 반경 크기에서 캡처 스페이서(290)를 에워싼 개구로 인도한다. 가스 분배 그루브(234)가 홈(266)으로부터 반경 방향으로 외향 연장된 후 상기 원형 전달 그루브를 향해 계속 내향한다는 것에 유의한다.
탑 섹션(302)의 제 4 실시예인 도 17에서 도시된 것처럼 상기 스텝(304)은 더 안쪽으로 이동하여 홈(306)이 100mm 웨이퍼를 충분히 지지할 수 있는 크기로 감소시킨다. 다시 인터페이스(308)는 서셉터(300)의 저면 섹션이 전술한 섹션과 동일할 때 같은 위치에 있게 된다. 외측 레지(310)는, 본 실시예에서 크게 확대된다. 세 개의 스페이서(312)가 서셉터의 중심 주변에 120°간격으로 제공되고 세 개의 연관 세정 채널(314)이 가스 분배 그루브(234)를 그것과 연결시킨다. 스페이서(312)의 반경 위치가 서셉터의 저면에서 세 개의 가스 유출 개구에 의해 생성되는 원 안에 있다는 것에 유의한다. 실제로, 가스 분배 그루브(234)는 홈(306)에서부터 반경 방향으로 외향 연장되고 원형 전달 그루브를 향해 안쪽으로 계속된다. 더욱이, 지지 아암 수용 캐비티는 그저 홈(306)의 외부에 위치되어 있으므로 서셉터(300) 상에 위치될 때 웨이퍼의 외부에 존재하게 된다. 홈(306)을 에워싼 레지(310)는 웨이퍼로부터 적어도 웨이퍼 지름의 적어도 절반 정도 반경 방향을 따라 외향 연장된다.
이제 도 21a - 21e를 참조하면 분할 서셉터의 또 다른 실시예가 도시되어있다. 도 21a는 상기 서셉터의 최상면을 형성하는 레지(412)로 인도하는 원형 스텝(410)에 의해 외주가 제한되는 얕은 홈(404)을 갖는 정면 섹션(408)을 도시한다. 원을 이루는 이격된 스위프 가스 유출구(416)는 원형 스텝(410)에 상당히 가까이 위치한다. 도시된 배열에서는 24개의 유출구가 제공된다. 원을 이루는 원주 방향으로 이격된 지지 핀이나 스페이서 홀(45)이 상기 스텝에 더 가까이 위치해 있다. 이러한 배열을 가지면 상기 웨이퍼 지지 핀이나 스페이서가 상기 외주 가까이 있는 웨이퍼의 저면과 맞물린다. 웨이퍼는 일반적으로 외주 상에 평탄한 배열이나 노치를 갖기 때문에 전술한 배열에서처럼 세 개 대신에 여섯 개의 지지 핀이 제공된다. 그러므로 평탄한 웨이퍼 정렬이나 노치가 상기 지지 핀과 나란이 정렬되어 특정 핀에 지지체가 거의 또는 전혀 제공되지 않더라도 상기 웨이퍼는 나머지 다섯 개에 의해 여전히 적절히 지지된다.
도 21b에서 도시된 것처럼 서셉터의 하부 세그먼트(418)는 전술한 것과 비슷한 얕은 스파이더 아암 캐비피(43)를 포함한다. 캐비티는 상기 서셉터의 저부 섹션(418)의 상면에 형성된 복수의 가스 분배 홈(434)으로 인도하는 수직 가스 통로(432)와 연통한다. 도 21b에서 도시된 것처럼 각각의 가스 통로(432)는 원주 방향으로 이격된 위치에 있는 외측 환상 홈(435)으로 인도하는 우회로를 지나는 홈 섹션과 연통한다. 각 통로의 세그먼트는 우선 반경 방향으로 외향 연장된 후, 편자 형태의 일부를 형성하기 위해 내향으로 회전하고, 다시 원주 방향으로 연장되며 외향반경 방향으로 외부 그루브(435)를 가로지르기 전에 제 2의 편자 형태의 부분을 형성한다. 통로의 나머지 섹션은 우선 반경 방향으로 내향 연장되고, 외향 반경 방향으로 커브되며, 이어 외부 홈(435)과 교차되기 전에 원주 방향으로 커브된다.
도 21b의 상부 세그먼트(508)의 일부에서 도시되고 도 21c, d, e에서 계속 예시된 것처럼 외부 홈(435)은 스위프 가스 유출구(415)의 원 하부에 위치한다. 스위프 홈을 외주 가까이 위치시킴으로써 배면 증착이 상당히 줄어든다. 더욱이, 수가 늘어난 가스 유출구(216)와 함께 가스 통로(434)는 스위프 가스 유동량을 증가시킨다. 또한 웨이퍼의 외주와 둘레 사이에 배치된 그루브 사이의 간격을 약 .10인치로 줄이면 증착 가스가 웨이퍼의 하부로 들어갈 가능성을 최소화시킨다.
지지 핀 캐비티(430)를 서셉터 내 그루브의 외주 가까이 위치시킴으로써 웨이퍼 지지 핀(446)의 상면이 출입 금지 구역(449)으로 불리는 외부 영역 내의 웨이퍼(448)의 외주의 하면과 맞물린다. 이 구역은 보통 반도체 회로 칩의 일부가 되지는 않는다. 여기서 지지 핀에 의해 생길 수도 있는 웨이퍼의 저면에 생긴 자국은 무시할 수 있다.
도 21d는 웨이퍼가 정렬 노치(451)와 함께 형성되는 상황을 도시한다. 그러한 배열을 가지더라도 노치가 웨이퍼와 함께 정렬되어야 한다면, 웨이퍼가 중심에 위치되고 웨이퍼 에지와 이를 에워싼 홈 사이의 간격이 작은 경우에, 핀이 상기 웨이퍼와 맞물리게 된다. 실제로 작지만 충분한 간격이 있으면, 핀은 서셉터 상에 중앙에 있지 않더라도 웨이퍼와 맞물리게 된다.
도 21e는 웨이퍼 플랫(453)이 지지핀(446)과 함께 정렬되는 상황을 도시한다. 도면에서 볼 수 있는 것처럼 상기 스페이서 핀은 실제로 웨이퍼와 맞물리지는 않지만, 상기 웨이퍼가 다섯 개의 나머지 스페이서에 의해 지지되기 때문에 별다른 영향은 없다.
도 22a와 22b는 서셉터의 하부 섹션(518)이 세 개의 스파이더 아암 통로(532)와 교차하는 원형 홈(537)과 함께 도시된 또 다른 분할 서셉터 어셈블리를 도시한다. 얕은 환상의 홈(539)은 홈(537)에서부터 하부 섹션의 외주(518A) 가까이 있는 원형 에지(541)로 연장된다. 보다 구체적으로, 상기 에지(541)는 도 22a에 일부 도시된 상부 서셉터 세그먼트(512)의 외주에 인접한 원주 방향으로 이격된 스위프 가스 유출구(516)의 원을 넘어 그저 반경 방향에 위치한다. 여섯 개의 지지핀(546)도 도 22a에서 편의상 도시되어 있다. 도 22a와 도 22b의 정렬에서 도시된 유출구(516)의 원은 본질적으로 도 21a에 도시된 것과 동일한데, 세배 많은 유출구가 도시된 것이 예외이다. 그러므로 이번 정렬에서는 200mm 웨이퍼(548)를 수용하도록 조정되는 서셉터를 위해 72개의 유출구가 이용된다. 물론 유출구의 정확한 수는 변하지만 도 22a에서 화살표로 도시된 것처럼 이들 유출구에 스위프 가스를 공급하기 위해 많은 스위프 가스 유출구를 갖고, 얕지만 넓은 영역의 환상의 홈(539)를 갖는 것이 유리하다. 가스 유동량이 증가하면 증착 가스가 웨이퍼의 배면에 닿을 위험성이 크게 감소된다.
도 23a, 23b, 23c는 복수의 핀보다는 한 개의 링 형태로 된 스페이서를 이용한다는 점을 제외하면 전술한 배열과 비슷한 배열을 도시한다. 보다 구체적으로, 분할 서셉터(602)의 탑 섹션(608) 내의 얕은 홈(604)에 위치한 일반적으로 평탄한 얕은 스페이서 링(615)이 도시되어 있다. 링(615)의 외주는 레지(612)로 인도하는 원형 스텝(610)에 의해 정의되는 상기 홈이 에지 내에 위치하여 서셉터의 상면을 형성한다. 링(615)은 도 21과 도 22의 배열에서 지지핀이 점유하는 위치 주변으로 내향 연장된다. 도 23b에서 도시된 것처럼 링(615)의 상면(615a)은 수평을 이루지 않고, 내향 반경 방향에서 하향 경사지거나 각을 이룬다. 그러므로, 반경 방향의 외측 부분 수직 두께가 가장 두껍다. 웨이퍼와 맞물리는 영역 내의 링의 수직 두께는 전술한 배열 내의 서셉터의 상부 섹션 내의 홈 위로 돌출되는 지지핀의 높이와 동일하다. 웨이퍼는 서셉터와 효과적으로 열적 분리가 되고, 서셉터의 외측 레지의 상면에 대해 적절히 위치한다. 단지 링(615)과 맞물리는 웨이퍼(648)의 하면의 외주를 가지면 상기 링은 웨이퍼의 배면 상에 어떠한 표시를 피하거나 최소화할 수 있다. 더욱이, 무시할 수 있는 효과는, 웨이퍼 출입 금지 구역 내에서 발생하고 웨이퍼의 에지 프로필에 의해 제한된다.
반경 방향으로 연장되는 복수의 통로나 홈(617b)은 스페이서 링(615)의 상면에 형성된다. 32개의 통로가 200mm 웨이퍼를 수용하기 위한 서셉터 내에 도시되어 있다. 도 23a에 도시된 것처럼 이들 통로는 원주 방향으로 이격되어 있고 도 23b에서 화살표로 나타낸 것처럼 스위프 가스용 유출구를 제공한다. 이들 통로 사이 및 그 주변의 링 바디는 증착 가스가 상기 웨이퍼의 배면으로 유입되는 것을 차단한다.
도 23c는 통로(615b)의 반원형 횡단면 형태를 도시하지만, 다른 형태도 이용가능하다. 통로의 단면 영역과 그 수는 바람직한 유로가 제공되도록 도 23b에서 도시된 하부 서셉터 섹션(618) 내의 통로(632)를 통해 제공되는 가스에 기초하여 선택된다. 통로(632)는 편의상 도 23a에 도시하였고, 하부 서셉터 섹션의 나머지 세부사항은 도시하지 않았다. 전술한 것처럼, 스위프 가스 배열 중 어느 것이라도 도 23a-c의 링 개념을 갖고 이용될 수 있다. 실제로 상기 지지 링은 핀 구멍이 상기 링의 사용을 방해하지 않고 스위프 가스 시스템에 중요한 효과를 갖지 않는다면 지지핀을 수용하도록 된 상부 서셉터 세그먼트와 함게 이용될 수 있다. 그러므로 사용자는 둘 중의 한 방법을 이용할 수 있다.
링은 편의상 상기 지지 핀이나 상기 서셉터와 같은 재료로 만들어질 수 있다.
전술한 웨이퍼 지지 시스템을 시험하면서 반응 시스템의 특정 분야가 만족할만한 결과를 얻는데 특히 중요하다는 것을 알게 되었다. 도 18은, 유입 구간에 있는 평판상의 상부벽(324)과 평판상의 하부벽(325) 및 평판상의 수직벽(327)에 의해 상기 하부벽(325)으로부터 아래로 내려가는 평판상의 하부벽(326)을 갖는 사각실을 도시한다. 수평벽(324, 325, 325)이 평판상의 수직벽(328, 330)과 결합되어 얕은 사각형 유입 섹션과 서셉터(382)와 온도보상링(362)이 위치한 유입 섹션에 인접한 더 깊은 사각 섹션을 갖는 챔버를 생성한다.
서셉터를 에워싸는 링(362)은, 도 19에서 도시된 것처럼, 보통 사각형의 외부 형태가 선호된다. 더욱이, 도 18의 석영 챔버의 상부벽(324)과 하부벽(325)의 위 아래의 방사열 램프 뱅크(351, 352)가 일반적으로 사각형이고, 링의 형태에 맞는 외부 형태에 따라 돌출된 방사열 패턴이나 칼럼이 전체적으로 상기 링과 비슷하게 정렬된다. 즉, 상기 방사열은 기본적으로 상기 링에 인접한 석영벽을 향하기 보다는 상기 링과 상기 서셉터 영역을 향한다. 가열을 위한 이와 같은 배열은 매우 효율적이어서 상기 링과 상기 서셉터에 대하여 균일한 열과 증착을 촉진시킨다. 부수적으로, 상기 서셉터의 중앙 부분 아래의 스폿 램프(353)는 하부 램프 뱅크(352)의 일부로 간주된다.
링은 챔버의 저부에 있는 적절한 석영 스탠드(356) 상에서 지지된다. 인접 석영 구조물로부터 연장되는 레지나 핑거를 이용하는 대체 지지 배열이 이용될 수도 있다. 링과 방사 램프의 상기와 같은 구성은 평판상의 상부벽(324)과 하부벽(326)과 수직 측벽(328, 330)에 의해 형성되는 전체 사각 단면을 갖는 챔버에 특히 잘 적용되는 것으로 밝혀졌다.
사각 챔버와 사각 링의 조합은 상기 웨이퍼에 대한 처리 가스 유동을 단순화시킨다. 사각 링을 가지면 도 1의 46과 같은 인젝터를 통해 도입된 처리 가스는 챔버의 폭에 대하여 전체적으로 균일하게 소모되어 상기 처리 가스의 속도 프로필은 도 19에서 화살표(331)에 의해 가리켜지는 바와 같이 상기 챔버에 대하여 전체적으로 균일하다. 결과적으로 중앙에서 유로를 증가시킬 필요가 없기 때문에, 사각 링과 사각 챔버 단면을 가지면 최소의 운반 가스가 필요하게 된다. 운반 가스 유량의 감소는 상기 웨이퍼에 대한 냉각 효과가 적다는 것을 의미한다. 이는 이격된 웨이퍼가 상기 서셉터 상에서 바로 지지되는 웨이퍼 보다 냉각 가스 유로와의 반응 가능성이 더 높기 때문에, 중요한 의미를 갖는다. 수소의 양이 표준 시스템의 약 75%까지 줄어들었다. 다르게 표현하면, 증착 가스에 대한 운반 가스의 비율이 최소 약 15 대 1에서 최소 약 5 대 1로 감소하였다.
서셉터와 실질적으로 열적 분리된 웨이퍼는, 램프 뱅크의 열 출력의 불균일성에 대하여 상당히 민감한 반응을 보이는 것으로 밝혀졌다. 예를 들면, 램프 사이의 간격과 웨이퍼와 상기 서셉터(382)로부터의 램프 뱅크까지의 거리가 웨이퍼 상에서 얻어지는 가열 패턴의 균일성에 영향을 미친다. 그러므로 서셉터(382)에서 이격된 웨이퍼가 있으면, 웨이퍼 상에 직접 위치한 웨이퍼와 함께 이용되는 상부 램프 뱅크로부터 상기 웨이퍼와 상부 램프 뱅크(351) 사이의 간격을 증가시키는 것이 바람직한 것으로 밝혀졌다. 마찬가지로, 서셉터에서 하부 램프 뱅크(352)의 거리를 증가시키는 것이 바람직한 것으로 밝혀졌다. 한편, 하부 램프 뱅크(352)와 서셉터 사이의 공간보다 웨이퍼와 상부 램프 뱅크(351) 사이의 공간을 더 늘리는 것이 바람직한 것으로 밝혀졌다.
기재된 모든 배열의 공통점은, 웨이퍼가 서셉터에서 열적으로 거의 완전히 분리된 리액터 내에서 지지된다는 것이다. 즉, 웨이퍼는, 웨이퍼를 상기 서셉터 위에서 실질적으로 이격시키는 스페이서나 핀 상에서 지지된다. 상기 핀은 상기 웨이퍼와 최소로 접촉하고 있다. 상기 스위프 가스는 새로운 서셉터 디자인의 방법을 대신하여 미리 가열됨으로써, 상기 웨이퍼의 온도에 대하여 큰 영향을 미치지 않으면서도 처리 가스가 상기 웨이퍼 배면에 증착하지 않도록 한다. 웨이퍼가 본질적으로 상기 서셉터와 분리되기 때문에 상기 웨이퍼가 서셉터와 접촉하고 있는 시스템과 비교하여 상기 서셉터는 더 빨리 가열할 수 있다.
램프 뱅크(351,352)는, 도 18의 390에서 개략적으로 도시된 바와 같은, 적합한 전자 제어기에 의해 제어된다. 상기 제어기는 서셉터를 에워싼 링 내의 온도 감지기로부터의 신호 및 상기 서셉터의 하측의 중심에 위치한 감지기로부터 나오는 센서를 수용하는 전도체 컴포넌트를 포함한다. 이들 신호는 가열기 제어 회로로 전달된다. 추가로, 특정의 증착 사이클을 목적으로 한 다양한 온도 설정과 같은 온도 제어 정보가 가열기 제어 회로에 입력된다. 입력된 정보는 제어 회로에 의해 처리되어 가열 어셈블리에 대한 전력을 제어하는 제어 신호를 생성한다. 그러한 시스템에 대한 상세한 내역은 미국 특허 제4,836,138호에 개시되어 있다.
상기 시스템에서 상부 및 하부 램프 뱅크에서의 일부 램프는 하나의 유니트로서 조절되는 하나의 존으로서 함께 제어된다. 즉, 전력의 비율은 고정되어, 상부 뱅크 내의 램프로의 전력이 증가된다면 하부 뱅크의 특정 존의 램프에도 그에 대응하는 전력 증가가 제공된다. 그 비율은 램프 뱅크에 인가되기 전에 제어 신호를 수정하는 미리 설정된 비율의 포텐쇼미터를 통해 특정 램프 뱅크에 대한 온도 제어 신호를 인가함으로써 고정시키는 것이 유리하다. 나머지 램프 뱅크는 존 내의 램프 뱅크 사이에 미리 설정된 전력비를 제공함으로써 유사한 비율 제어 회로를 이용함으로써 수정된 제어 용이하게 얻을 수 있다. 위와 같이, 다양한 존들이 독립적으로 조절될 수 있다. 스페이서 디자인의 결과로, 미국 특허 제4,838,138호에 개재된 시스템과 한가지 다른 점이 생기게 되었다. 특정 가열 존의 상부 및 하부 램프 사이의 램프 뱅크 전력비가, 상기 웨이퍼가 상기 서셉터로부터 열적 분리됨에따른 결과로써 처리 중에 다양한 포인트에서 조절될 수 있도록 하기 위해 아날로그 비율 제어가 상기 회로에 추가되었다. 상기 존 내의 상부 램프 뱅크의 램프에 대하여 미리 설정된 비율 포텐쇼미터와 직렬로 연결되어 동적 제어 가능 비율 포텐쇼미터를 추가함으로써, 이는 현재의 시스템에서 용이하게 달성할 수 있다. 그러므로, 존 내의 상부 램프 뱅크에 대한 제어 신호는 동적 제어 가능한 포텐쇼미터를 이용하여 변경될 수도 있다. 상기 존 내의 램프에 적용되는 전체 전력이 거의 동일하기 때문에, 상기 존 내의 상부 뱅크 램프에 대한 전력이 변하면 하부 뱅크에 있는 그에 상응하는 램프(352)에 대한 전력은 반대 방향으로 변한다. 이에 따라, 양자의 전력비가 변경된다. 이는, 서셉터와 웨이퍼가 물리적으로 이격되어 있더라도, 양자의 온도는 거의 동일하게 유지되도록 한다.
미국 특허 제4,838,138에 기재된 가열 시스템을 더 구체적으로 참조하면, 도 6의 램프(48B, 48C)는 상부 램프 뱅크의 중앙 가열 부분을 형성하고 램프(78B, 78C)는 하부 뱅크의 중앙 부분을 형성한다. 상부 뱅크와 하부 뱅크 사이의 전력비는 상부 뱅크 램프(48B, 48C)에 적용되는 전력을 변화시켜 아날로그 비율 제어를 이용하여 변경되지만, 램프(78B, 78C, 48B, 48C)에 적용되는 전체 전력은 거의 동일하게 유지한다. 이것은 상기 하부 뱅크에 대한 전력이 반대 방향으로 변경되는 결과를 낳는다.
아날로그 비율 제어를 이용하는 예가 도 20에 그래프로 도시되어 있다. 실선은 반도체 웨이퍼 처리를 위한 시간-온도법을 도시한다. 실선은 900℃의 시작 온도를 제공하도록 설정된 램프를 갖는 리액터로 적재되는 웨이퍼를 가리킨다. 온도는 약 30초 동안 그 레벨에서 유지된다. 그리고 나서 추가 가열이 적용되어 약 70초 이내에 약 1150℃로 온도를 상승시킨다. 그리고 나면 상기 웨이퍼는 약 1분 동안 그 레벨에서 베이크나 에치 스텝을 거치도록 되어 있다. 그 후 온도가 약 30초 이내에 발생되는 냉각으로 약 1050℃의 증착 온도로 감소되도록 허용한다. 상기 온도는 웨이퍼 상에 증착이 이루어지는 동안에 약 70초간의 선증착 단계에서 약 70초 동안 1050℃로 유지된다. 상기 웨이퍼는 그 후 비슷한 시간 동안 약 900℃로 냉각되도록 허용된다. 처리 주기는 완성되고 웨이퍼는 900℃ 레벨에서 인출된다.
전술한 것처럼, 상부 뱅크의 램프와 하부 뱅크의 램프 사이의 가열 비율은 처리되는 웨이퍼가 상기 서셉터 상에 직접 지지될 때는 미리 결정된 비율로 유지된다. 이러한 방법은 상기 서셉터와 상기 웨이퍼 사이의 온도가 그 사이클 내내 거의 동일할 때는 큰 물제를 갖지 않는다. 그러나, 웨이퍼가 서셉터 위에서 이격 위치되면 그 처리 주기 동안에 웨이퍼 중앙 부분의 상부 및 하부 가열 뱅크 사이의 비율을 변경시키는 것이 바람직하다. 도 20의 점선은 아날로그 비율 제어의 예를 제공한다. 비율 변화가 도 20의 차트의 오른쪽에 도시되어 있다. 처리 주기가 시작될 때 비율은 변화가 없는 것을 보여주는데, 이는 램프가 일정한 상태에 있거나 또는 고정 비율 위치에 있는 것을 나타낸다. 그러나, 상부 및 하부 뱅크 사이의 전력이 반드시 동일하다것까지 의미하지는 않는다. 작동 시스템의 예로써, 상부 램프는 전력의 약 48%를 수용하고 하부 램프는 약 52%를 수용한다. 서셉터와 바로 접촉하고 있는 웨이퍼를 가지면 전력 비율은 단순히 0에 있거나 또는 안정된 상태에 있다. 그러나 웨이퍼가 서셉터와 이격 위치되면 그 결과가 만족스럽지 못하다.
가열 주기 동안에 웨이퍼와 서셉터 사이의 온도는 대개 일정하게 유지되는 것이 바람직하다. 웨이퍼가 서셉터 위에서 이격 위치되고 서셉터보다 적은 질량을 갖기 때문에 서셉터보다 더 빨리 가열된다. 그러므로 웨이퍼가 요구하는 열의 비율은 온도가 900℃에서 1150℃로 상승되는 주기 단계 중에는 감소된다. 그러므로, 그래프의 점선은 상부 램프에 적용된 전력의 비율이 안정 상태 또는 0 변경 조건 이하로 약 20% 비율이 줄어든 것을 보여준다. 전술한 것처럼 상기 램프에 적용되는 전체 전력은 비율이 변하지 않는다면 거의 동일한데, 이는 하부 램프에 적용된 전력 비율이 증가되는 결과를 가져온다. 이 변경된 비율에서, 웨이퍼와 서셉터의 온도는 최고 1150℃ 레벨로 상승할 때까지 실질적으로 동일하게 유지한다. 상기 온도가 베이크나 에치 단계를 위해 그 레벨에서 유지되는 동안, 그래프에서 도시된 바와 같이 가변 비율 제어는 0 또는 안정 상태 비율로 복귀한다.
웨이퍼를 1150℃에서 1050℃로 냉각시킬 때, 전력은 감소된다. 그러나 일부 전력은 계속 냉각을 제어한다. 서셉터로부터 이격된 웨이퍼의 냉각 속도보다 보다 더 빨리 냉각되기 때문에 상부 및 하부 뱅크 사이의 비율은 상부 램프에 대한 전력을 하부 램프에 대한 그것보다 작은 비율로 감소시킴으로써 변경되고, 이에 서셉터 온도로 웨이퍼의 온도가 유지된다. 점선으로 도시된 것처럼 상부 램프에 대한 전력비가 증가되어 상기 비율은 상부 램프에 대하여 약 20%까지 증가한다. 웨이퍼가 1050℃ 레벨에서 유지될 때 전력비는 안정된 상태로 복귀되어 선증착 단계가 끝나고 증착 단계가 시작하려고 할 때의 전력 비는 소위 안정된 상태로 회복된다. 증착 후에 웨이퍼가 800℃ 레벨까지 냉각되도록 하는 것이 바람직하다. 한편, 상기 비율은 상부 램프에 대한 전력비를 약 20%까지 증가시킴으로써 변경된다. 800℃ 레벨에 도달했을 때 상부 램프와 관련하여 전력비가 감소되어 안정된 상태로 회복되게 된다. 적용된 전체 비율은 대략 동일하고, 변경되는 것은 상부 뱅크와 하부 뱅크 사이의 전력비 만이라는 것에 주목해야 한다. 물론 실제의 비율 변경은 처리해야 할 특정 웨이퍼 및 관련된 특정 온도 및 처리에 기초하여 결정되어야 한다. 아날로그 비율 제어의 특징은 원하는 결과를 얻도록 상부 램프에 대한 전력 신호를 적절한 양으로 수정하기 위해 다중 회로를 이용한다는 것이다.
도 24와 도 25는 도 23a, 23b, 23c에서 도시된 배열과 비슷한 배열을 도시하지만 스페이서 링(615)과는 다른 구성을 갖는 스페이서 링(715)을 포함한다. 상면에서 원주 방향으로 이격된 복수의 홈(615b)을 갖는 평판상의 링 대신에, 도 25b에서 가장 잘 도시된 것처럼 전체적으로 평판상의 사각 단면을 갖는 중앙 메인 바디 부분(715b)을 포함한다. 복수의 랜드, 립 또는 돌출부(715a)가 메인 바디 부분(715b)에서부터 상향 연장되어 기판용 스페이서를 형성한다. 도 24에서 도시된 배열에서 대략 60°의 등각 α에서 원주 방향으로 이격된 여섯 개의 랜드가 제공된다. 도 25a에서 보는 것처럼 랜드는 링의 완전한 반경 두께를 연장시키지만 랜드(25b)의 상면은 반경 방향의 외측 에지에서 링의 반경 방향 하측 내부 에지로 약간 경사졌다. 더욱이, 도 25에서 볼 수 있는 것처럼, 랜드의 원주 폭은 매우 작아서 단지 약 .30인치가 바람직하다. 랜드 상면의 기울기는 수평으로부터 단지 약 2°이다. 다른 구성을 갖는 돌기나 돌출부가 랜드 대신에 이용될 수 있다.
링(715)에는 메인 바디 부분(715b)에 늘어져 있는 복수의 피트(715c)가 원주 방향의 이격 간격으로 제공된다. 보다 구체적으로, 한쌍의 레그가 랜드(715a)에서 나뉘어지고 랜드에서 약 10°의 원주각β 만큼 이격되어 있는 것을 도 24에서 볼 수 있다. 이로써 총 12피트를 생성하는데 두 개가 각 랜드(715a)의 각 측면에 인접해 있다. 도 25a, 25b, 25c에서 보는 것처럼 상기 피트는 메인 링 바디(715a)의 전체 폭을 연장시키는데, 피트(715c)의 외측 하부 코너가 둥글어지는 것은 예외다
서셉터 위에서 웨이퍼를 지지하는 스페이서는 상기 웨이퍼와 상기 서셉터 사이의 가스보다 열 전달에 대한 저항이 약하다. 그러므로, 접촉 영역 근처의 상기 웨이퍼 내에서 바람직하지 못한 열 변화가 생길 수 있다. 상기 시스템의 급속 열 상승 중에 더 큰 열 변화가 생긴다면, 이는 매우 중요한 문제가 된다. 피트(715c)와 원주 방향으로 이격된 랜드(715a)를 갖는 이점은 상기 서셉터와 상기 웨이퍼 사이의 열 경로가 두 콤포넌트 사이로 바로 연장되는 스페이서를 갖는 것보다 훨씬 길다는 것이다. 그렇지 않으면, 다시 말해, 피트 저부에서 인접 랜드 상부로의 열 경로는 상기 피트와 상기 랜드를 포함하는 높이보다 훨씬 크다. 이것은 결국 시스템의 급속 가열을 허용하여 생산성을 향상시킨다.
도 24와 도 25에서 도시된 배열을 가지면 상기 서셉터의 나머지는 스페이서 핀이 있든 없든 도 2-18의 서셉터 구성 중 어느 것을 이용하여도 형성될 수 있다. 즉, 상기 스페이서 링에 상기 스페이서 핀과 동일한 높이가 제공되면 상기 스페이서 핀이 이용될 필요가 없다. 상기 블로커 링이나 스페이서 링의 전체 높이는 상기 스페이서 핀의 높이보다 약간 낮아서 상기 기판이 상기 스페이서 핀의 지지를 받도록 구성될 수 수 있다.
다양한 크기의 스페이서 링(715)이 이용될 수도 있다. 예를 들면, 전형적인 8인치 웨이퍼에서 상기 랜드(715a)의 높이 A는 대략 .022인치이고, 중앙 바디 부분은 약 .035인치, 피트는 약 .020인치로 전체는 약 .077인치이다. 중앙 바디 부분(715b)의 두께는 늘어나 상기 랜드 사이의 통로 및 상기 피트 사이의 통로 영역을 줄일 수 있다. 다른 구성에서는, 메인 바디 부분(715b)이 약 .045인치, 돌출부(715a)는 약 .017인치, 피트는 약.015인치이다. 기판의 직경이 링의 외경보다 약간 작기 때문에 기판의 외주에 접촉되는 영역의 랜드(715a)의 높이는 피트의 높이와 거의 같다. 또 다른 구성에서, 중앙 바디 부분(715b)은 약 .055인치, 상부 및 하부는 각각 약 .010인치이다. 또 다른 구성에서, 중앙 바디 부분은 약 .065인치, 링과 기판 사이의 통로의 단면적과 링과 서셉터 사이 통로의 단면적은 서로 대응하여 변화된다.
도 26과 도 27은 스페이서 링이나 블로커 링(815)의 또 다른 구성을 도시한다. 도 26, 27, 27a, 27b에서 보는 것처럼 상기 링은 전체 사각의 단면을 갖는 메인 바디 부분(815b)를 포함하고 링의 내경과 외경 사이의 대략 중간에 위치한 상향 연장되는 연속 환상 리브(815b)를 포함한다. 링에는 상기 메인 바디 부분(815b)에 매달린 원주 방향으로 이격된 복수의 피트(815c)가 더 제공된다. 즉, 도시된 배열에서는 한쌍의 리브(815c)가 약 20°의 근사각 α에서 서로 이격되어 있다. 더욱이, 대략 60°에서 원주 방향으로 이격된 여섯 쌍의 피트가 있어서 전체 16 피트를 생성한다.
링(815)은 링 전체 높이가 전술한 지지핀이나 스페이서의 높이보다 작은 블로커 링으로 사용되어, 기판이 블로커 링에서 보다는 스페이서 핀에서 지지되는 것이 바람직하다. 이러한 의미에서, 링(815)이 단지 증착 가스의 내측 유로를 막는데 이용되며, 나아가 리브(815a)의 상부 에지 사이에 단지 약 .010인치의 얕은 환상 통로나 슬릿을 제공함으로써 스위프 가스 또는 세정 가스의 활동을 향상시킨다. 또한 피트(815c) 사이에서 원주 방향으로 이격되고 직각으로 짧은 통로가 제공된다. 바람직한 배열에서, 리브(815a)의 높이 A1은 약 .025인치, 상기 메인 바디 높이 B1은 약 .030인치, 상기 피트의 높이 C1은 약 .010인치로 전체는 약 .065인치이다. .075인치의 간격을 생성하는 스페이서 핀과 함께 사용되면 이것은 리브와 기판 사이에 .010 인치의 통로를 생성한다.
환상 리브(815a)의 반경 크기나 폭이 약 .025인치인 것이 바람직하다. 그리고 도 27b에서 도시된 것처럼, 둥근 숄더를 가지며, 전체가 평판상인 중앙 영역을 갖는다.
더욱이, 기판과 서셉터 사이의 간격을 막기 위하여 블로커 링 피트(815)를 제거하면, 도 27C에 도시된 단면이 생성되는데, 메인 바디 부분은 약 .040인치이다.
도 28은 환상 리브(915a)가 링의 내경에 인접 위치하여, 약간 L 형태의 단면을 제공하는 점을 제외하고는 링(815)과 비슷한 블로커 링(915)을 도시하며, 링 반경 크기는 L 형태의 긴 레그를 의미하고 상향 연장되는 리브는 더 짧은 레그를 의미한다.
도 26, 27, 28에 도시된 배열의 이점은 기판에서 이격된 리브(815a, 915a)를 가지면, 리브가 서셉터로부터 실질적으로 열적 분리되어 슬립을 생성할 수도 있는, 링 위의 서셉터 내에서 중요한, 온도 불연속이 생성되지 않는다는 점에 있다. 동시에, 간격의 상당 부분이 링에 의해서 메워지기 때문에 증착 가스가 기판 아래의 영역으로 들어가는 것이 차단된다. 링을 지나갈 때 스위프 가스의 속도가 링을 증가되며, 이에 기판 아래에서 증착 가스의 유동이 금지된다는 사실이 이것과 관련된다. 이에 지지 스파이더를 통한 통로에서부터 간격으로의 진입 가스 유동이 제어되어 바람직한 유로를 생성하고, 기판 위의 압력보다 더 큰 압력이 서셉터와 기판 사이의 간격에서 유지된다. 이러한 압력차는 물론 세정 가스나 스위프 가스의 유동을 유지시키고 기판 배면 상에 증착 가스의 유동을 차단한다. 도 23-28에서 보는 것과 같은 블러커 링의 이용은 링이 없는 것보더 더 적은 가스 유량을 갖는 웨이퍼에 대하여 배면을 양호하게 보호한다. 비교적 낮은 유동율에서의 가스 유동은 양호한 결과를 제공한다.
스페이서 링의 일부가 메인 바디 부분에서 상향 보호되는 피트나 레그를 가진 것으로 설명되었지만, 서셉터에 그러한 영역에서 리프나 범프가 제공되어 평판상의 링이나 피트를 갖는 링을 갖는 통로를 생성할 수 있다. 마찬가지로, 서셉터와 별도로 형성된 스페이스 링이나 스페이서 레그를 갖는 것이 가장 실용적이나 서셉터와 일체로 비슷한 구조를 형성시킬 수 있다.
또한 전술한 완전한 링 형태의 블로커가 현재 선호되는 형태이나, 완전히 막힌 360°형태로 연장되지 않는 블로커가 이용될 수도 있다. 마찬가지로 링은 두 개 이상의 조각들을 이용하여 형성될 수 있다. 이 외에도 완전히 원형이 아닌 블로커가 이용될 수 있다. 다른 예상 가능한 다양한 다른 수단 내지 구성이 이에 포함될 수 있으며, 따라서 첨부한 청구의 범위내에 포함되게 된다.
이상에서는 본 발명의 바람직한 예제에 대해서 설명하였으나 본 발명은 상술한 특정의 바람직한 예제에 한정되지 아니하며, 청구범위에서 청구하는 본 발명의 요지를 벗어남이 없이 당해 발명이 속하는 기술 분야에서 통상의 지식을 가진 자라면 누구든지 다양한 변형 실시가 가능한 것을 물론이고, 그와 같은 변경은 청구범위 내에 있게 된다.
본 발명에 따르면, 웨이퍼를 고온 처리하는 동안에 반도체 웨이퍼 상에서 균일한 온도를 유지할 수 있다.
도 1은 본 발명에 따라 개선된 웨이퍼 지지 시스템을 통합시킨 반응실을 통하는 두 개의 수평축 중 더 긴 축에 대한 단면도,
도 2는 본 발명의 웨이퍼 지지 시스템의 일 실시예에 대한 단면도,
도 2a는 핀 형태의 웨이퍼 스페이서의 일 실시예에 대한 세부도,
도 2b는 구 형태의 대체 웨이퍼 스페이서에 대한 세부도,
도 2c는 대체 웨이퍼 스페이서의 구성도,
도 3은 도 2에서 예시된 웨이퍼 지지 시스템의 상세도,
도 4는 도 3의 4-4선 내의 웨이퍼 지지 시스템의 분할 서셉터의 상부 섹션에 대한 평면도,
도 5는 도 3의 5-5선 내의 분할 서셉터의 하부 섹션에 대한 평면도,
도 6은 도 3의 6-6선 내의 본 발명에 따른 웨이퍼 지지 시스템에 사용하기 위한 서셉터 지지체에 대한 평면도,
도 7은 본 발명에 따른 웨이퍼 지지 시스템의 또 다른 실시예의 단면도,
도 8은 도 7의 8-8선 내의 웨이퍼 지지 시스템에 사용하기 위한 분할 서셉터의 평면도,
도 9는 동심원 주변에 분포된 가스 유출구를 갖는 분할 서셉터의 대체 상부 섹션의 평면도,
도 10은 동심원에 배치된 다중 가스 전달 홈을 갖는 분할 서셉터의 대체 상부 섹션의 평면도,
도 11은 본 발명에 따른 웨이퍼 지지 시스템의 바람직한 실시예의 평면도,
도 12는 도 11의 웨이퍼 지지 시스템에 사용하기 위한 분할 서셉터의 상부 섹션의 제 1 변형예의 평면도,
도 13은 도 11의 웨이퍼 지지 시스템의 분할 서셉터의 저부 섹션의 평면도,
도 14는 도 11의 14-14선 내의 분할 서셉터 내의 포착 웨이퍼 스페이서 겸 퍼지 채널의 단면도,
도 15는 도 11의 웨이퍼 지지 시스템에 사용하기 위한 분할 서셉터의 상부 섹션의 제 2 변형예의 평면도,
도 16은 도 11의 웨이퍼 지지 시스템에 사용하기 위한 분할 서셉터의 상부 섹션의 제 3 변형예의 평면도,
도 17은 도 11의 웨이퍼 지지 시스템에 사용하기 위한 분할 서셉터의 상부 섹션의 제 4 변형예의 평면도,
도 18은 본 발명의 웨이퍼 지지 시스템을 통합시킨 반응실의 또 다른 변형예에 대한 단면도,
도 19는 도 18의 반응실의 평면도,
도 20은 증착 사이클 중에 램프 전력의 비율 변화를 도시하는 그래프,
도 21a는 분할 서셉터의 또 다른 변형예의 상부 세그먼트의 평면도,
도 21b는 일부를 도시하고 있는 도 21a에 도시된 상부 세그먼트와 짝을 이루는 서셉터의 하부 세그먼트의 평면도,
도 21c는 21a와 21b가 결합되어 웨이퍼를 지지하는 세그먼트의 단면도,
도 21d는 주변에 노치를 갖는 웨이퍼와 관련하여 지지 핀의 위치를 더 확실히 예시하는 도 21c 어셈블리의 일 가장자리에 대한 확대 단면도,
도 21e는 21d 도면과 비슷하지만, 에지가 평평하게 배치된 웨이퍼를 도시하는 단면도,
도 22a는 서셉터의 상부 세그먼트와 하부 세그먼트 양자 간의 관계를 도시하기 위해 분할된 또 다른 세셉터 디자인의 하부 세그먼트와 상기 세그먼트에 겹쳐지는 상부 세그먼트의 일부에 대한 평면도,
도 22b는 결합되어 웨이퍼를 지지하는 도 22a의 상부 세그먼트와 하부 세그먼트 일부에 대한 확대 단면도,
도 23a는 웨이퍼 상부 세그먼트에 설치된 웨이퍼 지지 링을 갖는 또 다른 서셉터 및 도시된 하부 세그먼트의 일부를 갖는 상기 서셉터의 상부 세그먼트에 대한 평면도,
도 23b는 도 23a의 웨이퍼 지지 링과 웨이퍼 사이의 관계를 도시하는 확대 단면도,
도 23c는 도 23a와 도 23b 상의 지지링 내의 스위프 가스 통로의 단면을 도시하는 부분 확대도,
도 24는 스페이서나 블로커 링의 또 다른 실시예의 정면도,
도 25는 도 24의 25-25선에 대한 도면,
도 25a는 서셉터와 웨이퍼의 부분도로서, 분해선을 도시하는 도 25의 25a-25a 선에 대한 도면,
도 25b는 도 25의 25b-25b선에 대한 도면,
도 25c는 도 25의 25c-25c선에 대한 도면,
도 26은 블로커 링의 또 다른 실시예의 정면도,
도 27은 도 25의 27-27선에 대한 도면,
도 27a는 서셉터와 웨이퍼의 일부, 분해선을 도시하는 도 27의 27a-27a 선에 대한 도면,
도 27b는 도 27의 27b-27b선에 대한 도면,
도 27c는 도 27b의 링의 변형예에 대한 단면도,
도 27d는 도 27b상에 도시된 사이클 27d에 의해 확인되는 영역에 대한 확대도,
도 28은 대체 블로커 링 구성에 대한 단면도이다.

Claims (6)

  1. 웨이퍼를 고온 처리하는 동안에 반도체 웨이퍼 상에서 균일한 온도를 유지하는 방법에 있어서,
    서셉터로부터 상향 연장되는 하나 이상의 스페이서에 웨이퍼를 위치시켜 상기 웨이퍼가 상기 서셉터로부터 실질적으로 열적으로 분리되도록 하는 단계,
    상기 웨이퍼의 상부에서 이격된 상부 열원과 상기 서셉터의 하부에서 이격된 하부 열원으로 상기 웨이퍼와 상기 서셉터를 가열하는 단계,
    상기 웨이퍼와 상기 서셉터가 모두 원하는 온도에 있을 때 상기 상부 열원과 상기 하부 열원이 제공하는 열의 비율을 비교적 일정하게 유지시키는 단계, 및
    상기 웨이퍼와 상기 서셉터의 온도가 급속히 변화할 때, 상기 웨이퍼와 상기 서셉터의 온도 변화에 따라 양자가 실질적으로 동일한 온도에 유지되도록 상기 비율을 변경하는 단계를 포함하는 것을 특징으로 하는 방법.
  2. 제 1항에 있어서,
    상기 비율을 변경하는 단계는, 상기 웨이퍼와 상기 서셉터의 온도를 급격히 증가시킬 때 상부 열원에서 제공되는 열의 비율을 감소시키는 단계를 포함하는 것을 특징으로 하는 방법.
  3. 제 1항에 있어서,
    상기 비율을 변경하는 단계는, 상기 웨이퍼와 상기 서셉터의 온도를 감소시키도록 허용될 때 상기 상부 열원에서 제공되는 열의 비율을 증가시키는 한편 균일성 유지를 위해 상기 웨이퍼와 상기 서셉터에 약간의 열을 계속 공급하는 단계를 포함하는 것을 특징으로 하는 방법.
  4. 기판 처리장치에 있어서,
    기판을 지지하는 서셉터,
    상기 기판의 상부로부터 이격된 상부 열원,
    상기 서셉터의 하부로부터 이격된 하부 열원, 및
    상기 열원들간의 선택된 비율로 상기 열원에 전력을 제공하며, 기판의 고온 처리 사이클 중에 상기 비율을 변경시켜 상기 사이클 동안에 상기 열원들이 제공하는 열의 비율을 변경시키는 제어기를 포함하는 것을 특징으로 하는 기판 처리장치.
  5. 제 4항에 있어서,
    상기 열원은 복사열 램프이고, 하나 이상의 상부 램프와 하나 이상의 하부 램프가 상기 제어기에 의해 하나의 유니트로서 제어가능한 것을 특징으로 하는 기판 처리장치.
  6. 제 5항에 있어서,
    상기 제어기는 전력의 출력을 실질적으로 일정하게 유지시키는 한편 상기 열원 사이의 비율을 변경하는 것을 특징으로 하는 기판 처리장치.
KR10-2005-7004417A 1997-01-23 1998-01-23 웨이퍼 지지 시스템 KR100539343B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US78881797A 1997-01-23 1997-01-23
US3985097P 1997-03-05 1997-03-05
US08/923,241 US6113702A (en) 1995-09-01 1997-09-04 Wafer support system
PCT/US1998/001385 WO1998032893A2 (en) 1997-01-23 1998-01-23 Wafer support system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1019997006635A Division KR100549998B1 (ko) 1997-01-23 1998-01-23 웨이퍼 지지 시스템

Publications (2)

Publication Number Publication Date
KR20050053664A KR20050053664A (ko) 2005-06-08
KR100539343B1 true KR100539343B1 (ko) 2005-12-28

Family

ID=27365616

Family Applications (2)

Application Number Title Priority Date Filing Date
KR10-2005-7004417A KR100539343B1 (ko) 1997-01-23 1998-01-23 웨이퍼 지지 시스템
KR1019997006635A KR100549998B1 (ko) 1997-01-23 1998-01-23 웨이퍼 지지 시스템

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1019997006635A KR100549998B1 (ko) 1997-01-23 1998-01-23 웨이퍼 지지 시스템

Country Status (7)

Country Link
US (7) US6113702A (ko)
EP (2) EP1209251B1 (ko)
JP (1) JP4114016B2 (ko)
KR (2) KR100539343B1 (ko)
AU (1) AU6040498A (ko)
DE (2) DE69806578T2 (ko)
WO (1) WO1998032893A2 (ko)

Families Citing this family (482)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6093252A (en) * 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US6046439A (en) * 1996-06-17 2000-04-04 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
DE59610182D1 (de) * 1996-11-01 2003-04-03 Theva Duennschichttechnik Gmbh Vorrichtung zur herstellung oxidischer dünnschichten
US6200911B1 (en) * 1998-04-21 2001-03-13 Applied Materials, Inc. Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power
US6449428B2 (en) * 1998-12-11 2002-09-10 Mattson Technology Corp. Gas driven rotating susceptor for rapid thermal processing (RTP) system
DE19901291C2 (de) * 1999-01-15 2002-04-18 Sez Semiconduct Equip Zubehoer Vorrichtung zur Ätzbehandlung eines scheibenförmigen Gegenstandes
US6524389B1 (en) * 1999-05-24 2003-02-25 Tokyo Electron Limited Substrate processing apparatus
US6494955B1 (en) * 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
US20080156657A1 (en) * 2000-02-17 2008-07-03 Butterfield Paul D Conductive polishing article for electrochemical mechanical polishing
US20040020789A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US7374644B2 (en) * 2000-02-17 2008-05-20 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
EP1174910A3 (en) * 2000-07-20 2010-01-06 Applied Materials, Inc. Method and apparatus for dechucking a substrate
JP4526683B2 (ja) * 2000-10-31 2010-08-18 株式会社山形信越石英 石英ガラス製ウェーハ支持治具及びその製造方法
JP2002158178A (ja) * 2000-11-21 2002-05-31 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
SE0004296D0 (sv) * 2000-11-23 2000-11-23 Gyros Ab Device and method for the controlled heating in micro channel systems
US6634882B2 (en) * 2000-12-22 2003-10-21 Asm America, Inc. Susceptor pocket profile to improve process performance
US6506252B2 (en) * 2001-02-07 2003-01-14 Emcore Corporation Susceptorless reactor for growing epitaxial layers on wafers by chemical vapor deposition
US6600138B2 (en) * 2001-04-17 2003-07-29 Mattson Technology, Inc. Rapid thermal processing system for integrated circuits
US6528767B2 (en) * 2001-05-22 2003-03-04 Applied Materials, Inc. Pre-heating and load lock pedestal material for high temperature CVD liquid crystal and flat panel display applications
JP2004533118A (ja) 2001-05-30 2004-10-28 エーエスエム アメリカ インコーポレイテッド 低温搬入出およびベーク
US6919058B2 (en) * 2001-08-28 2005-07-19 Gyros Ab Retaining microfluidic microcavity and other microfluidic structures
US20050000449A1 (en) * 2001-12-21 2005-01-06 Masayuki Ishibashi Susceptor for epitaxial growth and epitaxial growth method
US7033445B2 (en) * 2001-12-27 2006-04-25 Asm America, Inc. Gridded susceptor
US6730175B2 (en) * 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
US6677167B2 (en) * 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method
US20030168174A1 (en) 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
DE10211312A1 (de) * 2002-03-14 2003-10-02 Wacker Siltronic Halbleitermat Verfahren und Vorrichtung zur epitaktischen Beschichtung einer Halbleiterscheibe sowie epitaktisch beschichtete Halbleiterscheibe
US6861321B2 (en) * 2002-04-05 2005-03-01 Asm America, Inc. Method of loading a wafer onto a wafer holder to reduce thermal shock
US7070660B2 (en) * 2002-05-03 2006-07-04 Asm America, Inc. Wafer holder with stiffening rib
US6887317B2 (en) * 2002-09-10 2005-05-03 Applied Materials, Inc. Reduced friction lift pin
DE10243022A1 (de) * 2002-09-17 2004-03-25 Degussa Ag Abscheidung eines Feststoffs durch thermische Zersetzung einer gasförmigen Substanz in einem Becherreaktor
US20040096636A1 (en) * 2002-11-18 2004-05-20 Applied Materials, Inc. Lifting glass substrate without center lift pins
US20050170314A1 (en) * 2002-11-27 2005-08-04 Richard Golden Dental pliers design with offsetting jaw and pad elements for assisting in removing upper and lower teeth and method for removing teeth utilizing the dental plier design
US6709267B1 (en) 2002-12-27 2004-03-23 Asm America, Inc. Substrate holder with deep annular groove to prevent edge heat loss
US6917755B2 (en) * 2003-02-27 2005-07-12 Applied Materials, Inc. Substrate support
US20040187787A1 (en) * 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
US20040226513A1 (en) * 2003-05-12 2004-11-18 Applied Materials, Inc. Chamber for uniform heating of large area substrates
DE10323085A1 (de) * 2003-05-22 2004-12-09 Aixtron Ag CVD-Beschichtungsvorrichtung
JP4033809B2 (ja) * 2003-06-16 2008-01-16 東京エレクトロン株式会社 熱処理装置及び熱処理方法
EP1654752B1 (en) * 2003-08-01 2011-06-29 SGL Carbon SE Holder for supporting wafers during semiconductor manufacture
JP4655935B2 (ja) * 2003-10-01 2011-03-23 信越半導体株式会社 シリコンエピタキシャルウェーハの製造方法
US7654221B2 (en) * 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7827930B2 (en) 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7311779B2 (en) * 2003-10-06 2007-12-25 Applied Materials, Inc. Heating apparatus to heat wafers using water and plate with turbolators
US7323058B2 (en) 2004-01-26 2008-01-29 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7223308B2 (en) * 2003-10-06 2007-05-29 Applied Materials, Inc. Apparatus to improve wafer temperature uniformity for face-up wet processing
JP4644676B2 (ja) * 2003-10-06 2011-03-02 アプライド マテリアルズ インコーポレイテッド フェイスアップウェット処理用のウェーハ温度均一性を改善する装置
US20050092439A1 (en) * 2003-10-29 2005-05-05 Keeton Tony J. Low/high temperature substrate holder to reduce edge rolloff and backside damage
US7169234B2 (en) * 2004-01-30 2007-01-30 Asm America, Inc. Apparatus and methods for preventing rotational slippage between a vertical shaft and a support structure for a semiconductor wafer holder
US20050176252A1 (en) * 2004-02-10 2005-08-11 Goodman Matthew G. Two-stage load for processing both sides of a wafer
US8033245B2 (en) * 2004-02-12 2011-10-11 Applied Materials, Inc. Substrate support bushing
KR101112029B1 (ko) * 2004-02-13 2012-03-21 에이에스엠 아메리카, 인코포레이티드 자동 도핑 및 후면 증착의 감소를 위한 기판 지지 시스템
US20070000527A1 (en) * 2005-06-30 2007-01-04 Aegerter Brian K Workpiece support for use in a process vessel and system for treating microelectronic workpieces
EP1731966A4 (en) * 2004-03-30 2008-01-16 Pioneer Corp EXPOSURE DEVICE
US20060005770A1 (en) * 2004-07-09 2006-01-12 Robin Tiner Independently moving substrate supports
US20060054090A1 (en) * 2004-09-15 2006-03-16 Applied Materials, Inc. PECVD susceptor support construction
US7255747B2 (en) * 2004-12-22 2007-08-14 Sokudo Co., Ltd. Coat/develop module with independent stations
US7126092B2 (en) * 2005-01-13 2006-10-24 Watlow Electric Manufacturing Company Heater for wafer processing and methods of operating and manufacturing the same
WO2006078666A2 (en) 2005-01-18 2006-07-27 Asm America, Inc. Reaction system for growing a thin film
CN101495668A (zh) * 2005-01-18 2009-07-29 Asm美国公司 晶片支撑销组件
US7787411B2 (en) * 2005-05-10 2010-08-31 Microsoft Corporation Gaming console wireless protocol for peripheral devices
US7262390B2 (en) * 2005-05-23 2007-08-28 Chung Shan Institute Of Science And Technology, Armaments Bureau, M.N.D. Apparatus and adjusting technology for uniform thermal processing
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US7418921B2 (en) * 2005-08-12 2008-09-02 Asm Japan K.K. Plasma CVD apparatus for forming uniform film
US8628622B2 (en) * 2005-09-12 2014-01-14 Cree, Inc. Gas driven rotation apparatus and method for forming crystalline layers
DE102005045338B4 (de) 2005-09-22 2009-04-02 Siltronic Ag Epitaxierte Siliciumscheibe und Verfahren zur Herstellung von epitaxierten Siliciumscheiben
TWI327761B (en) * 2005-10-07 2010-07-21 Rohm & Haas Elect Mat Method for making semiconductor wafer and wafer holding article
US20070089836A1 (en) * 2005-10-24 2007-04-26 Applied Materials, Inc. Semiconductor process chamber
KR101332206B1 (ko) * 2005-12-02 2013-11-25 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. 반도체 처리 방법
JP4629574B2 (ja) 2005-12-27 2011-02-09 日本発條株式会社 基板支持装置と、その製造方法
US7740518B2 (en) * 2006-03-06 2010-06-22 Michael Elliott Jousting toy
US8278176B2 (en) * 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US20080128088A1 (en) * 2006-10-30 2008-06-05 Jusung Engineering Co., Ltd. Etching apparatus for edges of substrate
US7976634B2 (en) 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US8491752B2 (en) * 2006-12-15 2013-07-23 Tokyo Electron Limited Substrate mounting table and method for manufacturing same, substrate processing apparatus, and fluid supply mechanism
KR100824301B1 (ko) * 2006-12-21 2008-04-22 세메스 주식회사 반응 챔버와 이를 포함하는 탄소나노튜브 합성 장치 및 설비
US8057601B2 (en) * 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US8057602B2 (en) * 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US8034410B2 (en) * 2007-07-17 2011-10-11 Asm International N.V. Protective inserts to line holes in parts for semiconductor process equipment
JP4971078B2 (ja) * 2007-08-30 2012-07-11 東京応化工業株式会社 表面処理装置
JP5169097B2 (ja) * 2007-09-14 2013-03-27 住友電気工業株式会社 半導体装置の製造装置および製造方法
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
JP5260023B2 (ja) * 2007-10-19 2013-08-14 三菱重工業株式会社 プラズマ成膜装置
US8067061B2 (en) * 2007-10-25 2011-11-29 Asm America, Inc. Reaction apparatus having multiple adjustable exhaust ports
US8092606B2 (en) * 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
US8107800B2 (en) * 2008-01-08 2012-01-31 International Business Machines Corporation Method and structure to control thermal gradients in semiconductor wafers during rapid thermal processing
US20090181553A1 (en) 2008-01-11 2009-07-16 Blake Koelmel Apparatus and method of aligning and positioning a cold substrate on a hot surface
US8198567B2 (en) 2008-01-15 2012-06-12 Applied Materials, Inc. High temperature vacuum chuck assembly
US20090280248A1 (en) * 2008-05-06 2009-11-12 Asm America, Inc. Porous substrate holder with thinned portions
US8053036B2 (en) * 2008-06-02 2011-11-08 Asm Japan K.K. Method for designing shower plate for plasma CVD apparatus
US8394229B2 (en) * 2008-08-07 2013-03-12 Asm America, Inc. Susceptor ring
US20100101491A1 (en) * 2008-10-29 2010-04-29 Asm Japan K.K. Wafer lift pins suspended and supported at underside of susceptor
US20100107974A1 (en) * 2008-11-06 2010-05-06 Asm America, Inc. Substrate holder with varying density
US8209833B2 (en) * 2008-11-07 2012-07-03 Tokyo Electron Limited Thermal processing system and method of using
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP2010129709A (ja) * 2008-11-27 2010-06-10 Kyocera Corp 試料支持具および加熱装置
US20100203242A1 (en) * 2009-02-06 2010-08-12 Applied Materials, Inc. self-cleaning susceptor for solar cell processing
KR101680751B1 (ko) 2009-02-11 2016-12-12 어플라이드 머티어리얼스, 인코포레이티드 비-접촉 기판 프로세싱
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101681897B1 (ko) * 2009-08-07 2016-12-05 어플라이드 머티어리얼스, 인코포레이티드 이중 온도 히터
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110052159A1 (en) * 2009-09-03 2011-03-03 Chiung-Chieh Su Apparatus for uniform thermal processing
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US20110127252A1 (en) * 2009-11-27 2011-06-02 Tangteck Equipment Inc. Heating device having a function of dynamical temperature-control
SG183432A1 (en) 2010-02-24 2012-09-27 Veeco Instr Inc Processing methods and apparatus with temperature distribution control
US9240513B2 (en) * 2010-05-14 2016-01-19 Solarcity Corporation Dynamic support system for quartz process chamber
US9441295B2 (en) 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US8591700B2 (en) * 2010-08-19 2013-11-26 Stmicroelectronics Pte Ltd. Susceptor support system
JP5787526B2 (ja) * 2011-01-17 2015-09-30 イビデン株式会社 電子部品位置決め用治具
JP5384549B2 (ja) * 2011-03-28 2014-01-08 株式会社小松製作所 加熱装置
DE102011007682A1 (de) * 2011-04-19 2012-10-25 Siltronic Ag Suszeptor zum Abstützen einer Halbleiterscheibe und Verfahren zum Abscheiden einer Schicht auf einer Vorderseite einer Halbleiterscheibe
US8951350B2 (en) * 2011-05-03 2015-02-10 United Technologies Corporation Coating methods and apparatus
DE112011105215A5 (de) * 2011-05-06 2014-05-15 Osram Opto Semiconductors Gmbh Bauelementträgerverbund mit einer bauelementträgerbereiche abtrennenden Grabenstruktur und Verfahren zur Herstellung einer Mehrzahl von Bauelementträgerbereichen
JP5712782B2 (ja) * 2011-05-13 2015-05-07 株式会社Sumco エピタキシャルウェーハ成長装置用サセプタサポートシャフトおよびエピタキシャル成長装置
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP2013004593A (ja) * 2011-06-14 2013-01-07 Sharp Corp 基板支持装置及び気相成長装置
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103035559B (zh) * 2011-09-29 2015-04-22 中芯国际集成电路制造(北京)有限公司 弹性固定轮及包含其的晶圆适配器
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9816184B2 (en) 2012-03-20 2017-11-14 Veeco Instruments Inc. Keyed wafer carrier
DE102012205616B4 (de) * 2012-04-04 2016-07-14 Siltronic Ag Vorrichtung zum Abscheiden einer Schicht auf einer Halbleiterscheibe mittels Gasphasenabscheidung
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9159597B2 (en) * 2012-05-15 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Real-time calibration for wafer processing chamber lamp modules
KR101482424B1 (ko) * 2012-07-24 2015-01-15 오씨아이 주식회사 실리콘 웨이퍼 재결정 장치 및 실리콘 웨이퍼 재결정 방법
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9589818B2 (en) * 2012-12-20 2017-03-07 Lam Research Ag Apparatus for liquid treatment of wafer shaped articles and liquid control ring for use in same
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
JP6047854B2 (ja) * 2013-01-16 2016-12-21 信越半導体株式会社 枚葉式エピタキシャルウェーハ製造装置およびそれを用いたエピタキシャルウェーハの製造方法
US9543186B2 (en) * 2013-02-01 2017-01-10 Applied Materials, Inc. Substrate support with controlled sealing gap
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9273413B2 (en) 2013-03-14 2016-03-01 Veeco Instruments Inc. Wafer carrier with temperature distribution control
US9991153B2 (en) * 2013-03-14 2018-06-05 Applied Materials, Inc. Substrate support bushing
KR101586181B1 (ko) * 2013-03-28 2016-01-15 시바우라 메카트로닉스 가부시끼가이샤 적재대 및 플라즈마 처리 장치
DE102013106461B4 (de) * 2013-06-20 2016-10-27 Kgt Graphit Technologie Gmbh Haltestifte zum Halten von Wafern in Waferbooten und Verfahren zum Herstellen solcher Haltestifte
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
DE102013012082A1 (de) * 2013-07-22 2015-01-22 Aixtron Se Vorrichtung zum thermischen Behandeln eines Halbleitersubstrates, insbesondere zum Aufbringen einer Beschichtung
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR102173114B1 (ko) * 2013-10-04 2020-11-03 엘지이노텍 주식회사 서셉터
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150194326A1 (en) * 2014-01-07 2015-07-09 Applied Materials, Inc. Pecvd ceramic heater with wide range of operating temperatures
US10032601B2 (en) * 2014-02-21 2018-07-24 Varian Semiconductor Equipment Associates, Inc. Platen support structure
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9517539B2 (en) 2014-08-28 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer susceptor with improved thermal characteristics
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
CN107112267B (zh) 2015-01-12 2020-09-22 应用材料公司 用于基板背侧变色控制的支撑组件
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
WO2016131190A1 (en) 2015-02-17 2016-08-25 Solarcity Corporation Method and system for improving solar cell manufacturing yield
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9972740B2 (en) 2015-06-07 2018-05-15 Tesla, Inc. Chemical vapor deposition tool and process for fabrication of photovoltaic structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
DE102015113956B4 (de) 2015-08-24 2024-03-07 Meyer Burger (Germany) Gmbh Substratträger
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
KR20180122023A (ko) * 2016-03-28 2018-11-09 어플라이드 머티어리얼스, 인코포레이티드 서셉터 지지부
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
JP6635871B2 (ja) * 2016-05-11 2020-01-29 東京エレクトロン株式会社 成膜装置
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
JP6736404B2 (ja) * 2016-07-26 2020-08-05 株式会社ディスコ 研削装置
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
JP6740084B2 (ja) * 2016-10-25 2020-08-12 株式会社ニューフレアテクノロジー 気相成長装置、環状ホルダ、及び、気相成長方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11702748B2 (en) * 2017-03-03 2023-07-18 Lam Research Corporation Wafer level uniformity control in remote plasma film deposition
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10829866B2 (en) * 2017-04-03 2020-11-10 Infineon Technologies Americas Corp. Wafer carrier and method
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
JP6809991B2 (ja) * 2017-06-30 2021-01-06 京セラ株式会社 分光器
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
JP7023826B2 (ja) * 2018-12-07 2022-02-22 株式会社ニューフレアテクノロジー 連続成膜方法、連続成膜装置、サセプタユニット、及びサセプタユニットに用いられるスペーサセット
DE102018131987A1 (de) * 2018-12-12 2020-06-18 Aixtron Se Substrathalter zur Verwendung in einem CVD-Reaktor
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
USD914620S1 (en) 2019-01-17 2021-03-30 Asm Ip Holding B.V. Vented susceptor
US11961756B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Vented susceptor
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
USD920936S1 (en) 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11404302B2 (en) 2019-05-22 2022-08-02 Asm Ip Holding B.V. Substrate susceptor using edge purging
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11415463B2 (en) * 2019-06-04 2022-08-16 Applied Materials, Inc. Contactless workpiece temperature sensor
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2021119900A1 (zh) * 2019-12-16 2021-06-24 东莞市中镓半导体科技有限公司 用于GaN材料生长的气动托盘
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TWM596345U (zh) * 2020-03-05 2020-06-01 晶元光電股份有限公司 氣體感測器的量測設備
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11495487B1 (en) 2021-05-13 2022-11-08 Globalwafers Co., Ltd. Methods for conditioning a processing reactor
WO2022240726A1 (en) * 2021-05-13 2022-11-17 Globalwafers Co., Ltd. Methods for etching a semiconductor structure and for conditioning a processing reactor
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (120)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3304908A (en) * 1963-08-14 1967-02-21 Merck & Co Inc Epitaxial reactor including mask-work support
US3627590A (en) * 1968-12-02 1971-12-14 Western Electric Co Method for heat treatment of workpieces
US4537835A (en) * 1977-02-18 1985-08-27 Battelle Memorial Institute Oxidation of hydrogen halides to elemental halogens
US4261762A (en) * 1979-09-14 1981-04-14 Eaton Corporation Method for conducting heat to or from an article being treated under vacuum
US4313783A (en) * 1980-05-19 1982-02-02 Branson International Plasma Corporation Computer controlled system for processing semiconductor wafers
US4403567A (en) * 1980-08-21 1983-09-13 Commonwealth Scientific Corporation Workpiece holder
US4512391A (en) * 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US4537244A (en) * 1982-05-25 1985-08-27 Varian Associates, Inc. Method for optimum conductive heat transfer with a thin flexible workpiece
US4457359A (en) * 1982-05-25 1984-07-03 Varian Associates, Inc. Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
US4535835A (en) * 1982-05-25 1985-08-20 Varian Associates, Inc. Optimum surface contour for conductive heat transfer with a thin flexible workpiece
US4458746A (en) * 1982-05-25 1984-07-10 Varian Associates, Inc. Optimum surface contour for conductive heat transfer with a thin flexible workpiece
US4508161A (en) * 1982-05-25 1985-04-02 Varian Associates, Inc. Method for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
US4542298A (en) * 1983-06-09 1985-09-17 Varian Associates, Inc. Methods and apparatus for gas-assisted thermal transfer with a semiconductor wafer
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4522697A (en) * 1983-12-22 1985-06-11 Sputtered Films, Inc. Wafer processing machine
US4523985A (en) * 1983-12-22 1985-06-18 Sputtered Films, Inc. Wafer processing machine
FR2559241B1 (fr) 1984-02-06 1986-12-12 Dietrich Sa Perfectionnement permettant la mise et le maintien en depression du moufle d'un four electrodomestique a reacteur catalytique
US4603466A (en) * 1984-02-17 1986-08-05 Gca Corporation Wafer chuck
US4567938A (en) * 1984-05-02 1986-02-04 Varian Associates, Inc. Method and apparatus for controlling thermal transfer in a cyclic vacuum processing system
US4527620A (en) * 1984-05-02 1985-07-09 Varian Associates, Inc. Apparatus for controlling thermal transfer in a cyclic vacuum processing system
US4535834A (en) * 1984-05-02 1985-08-20 Varian Associates, Inc. Method and apparatus for controlling thermal transfer in a cyclic vacuum processing system
JPS6220308A (ja) * 1985-07-19 1987-01-28 Hitachi Ltd 熱処理方法および装置
US4789771A (en) * 1985-10-07 1988-12-06 Epsilon Limited Partnership Method and apparatus for substrate heating in an axially symmetric epitaxial deposition apparatus
JPH0444216Y2 (ko) * 1985-10-07 1992-10-19
US4654509A (en) * 1985-10-07 1987-03-31 Epsilon Limited Partnership Method and apparatus for substrate heating in an axially symmetric epitaxial deposition apparatus
NL8602356A (nl) * 1985-10-07 1987-05-04 Epsilon Ltd Partnership Inrichting en werkwijze voor een axiaal symmetrische reactor voor het chemische uit damp neerslaan.
KR910002596B1 (ko) * 1985-11-21 1991-04-27 다이닛뽕 스크린 세이조오 가부시기가이샤 온도제어방법 및 그 장치
US4709655A (en) * 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
FR2596070A1 (fr) * 1986-03-21 1987-09-25 Labo Electronique Physique Dispositif comprenant un suscepteur plan tournant parallelement a un plan de reference autour d'un axe perpendiculaire a ce plan
US4724621A (en) * 1986-04-17 1988-02-16 Varian Associates, Inc. Wafer processing chuck using slanted clamping pins
JPS6384017A (ja) * 1986-09-26 1988-04-14 Toshiba Mach Co Ltd 気相成長方法
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US5215619A (en) * 1986-12-19 1993-06-01 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5484011A (en) * 1986-12-19 1996-01-16 Applied Materials, Inc. Method of heating and cooling a wafer during semiconductor processing
US4821674A (en) * 1987-03-31 1989-04-18 Deboer Wiebe B Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
JPS63285925A (ja) * 1987-05-18 1988-11-22 Nec Kyushu Ltd 半導体集積回路装置の製造装置
US4975561A (en) * 1987-06-18 1990-12-04 Epsilon Technology Inc. Heating system for substrates
US4911812A (en) * 1987-10-21 1990-03-27 Hitachi, Ltd. Plasma treating method and apparatus therefor
JPH0623935B2 (ja) * 1988-02-09 1994-03-30 大日本スクリーン製造株式会社 再現性を高めた熱処理制御方法
FR2628985B1 (fr) * 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a paroi protegee contre les depots
US4949783A (en) * 1988-05-18 1990-08-21 Veeco Instruments, Inc. Substrate transport and cooling apparatus and method for same
ES2163388T3 (es) * 1988-05-24 2002-02-01 Unaxis Balzers Ag Instalacion de vacio.
KR0155545B1 (ko) * 1988-06-27 1998-12-01 고다까 토시오 기판의 열처리 장치
US4923584A (en) * 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
JP2731855B2 (ja) * 1989-02-14 1998-03-25 アネルバ株式会社 減圧気相成長装置
JP2721006B2 (ja) * 1989-03-15 1998-03-04 株式会社ダイヘン 電気機器ケースの電着塗装装置
US5248370A (en) * 1989-05-08 1993-09-28 Applied Materials, Inc. Apparatus for heating and cooling semiconductor wafers in semiconductor wafer processing equipment
US5180000A (en) * 1989-05-08 1993-01-19 Balzers Aktiengesellschaft Workpiece carrier with suction slot for a disk-shaped workpiece
DE69007733T2 (de) 1989-05-08 1994-09-29 Philips Nv Vorrichtung und verfahren zur behandlung eines flachen, scheibenförmigen substrates unter niedrigem druck.
DE3943482C2 (de) * 1989-05-08 1994-07-07 Balzers Hochvakuum Werkstückträger für ein scheibenförmiges Werkstück, sowie Vakuumprozeßkammer
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US5155337A (en) * 1989-12-21 1992-10-13 North Carolina State University Method and apparatus for controlling rapid thermal processing systems
US5108792A (en) * 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
DE69126724T2 (de) * 1990-03-19 1998-01-15 Toshiba Kawasaki Kk Vorrichtung zur Dampfphasenabscheidung
US5160544A (en) * 1990-03-20 1992-11-03 Diamonex Incorporated Hot filament chemical vapor deposition reactor
US5080929A (en) * 1990-04-02 1992-01-14 Delco Electronics Corporation Method and apparatus for through hole substrate printing
NO177475C (no) 1990-04-14 1995-09-20 Sel Alcatel Ag Fremgangsmåte og apparat ved antenne
EP0688042B1 (en) * 1990-04-20 1999-03-10 Applied Materials, Inc. Wafer processing apparatus
US5094885A (en) * 1990-10-12 1992-03-10 Genus, Inc. Differential pressure cvd chuck
US5096536A (en) * 1990-06-12 1992-03-17 Micron Technology, Inc. Method and apparatus useful in the plasma etching of semiconductor materials
KR0165898B1 (ko) * 1990-07-02 1999-02-01 미다 가쓰시게 진공처리방법 및 장치
US5230741A (en) * 1990-07-16 1993-07-27 Novellus Systems, Inc. Gas-based backside protection during substrate processing
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
US5238499A (en) * 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5221403A (en) * 1990-07-20 1993-06-22 Tokyo Electron Limited Support table for plate-like body and processing apparatus using the table
US5298465A (en) * 1990-08-16 1994-03-29 Applied Materials, Inc. Plasma etching system
JP2780866B2 (ja) * 1990-10-11 1998-07-30 大日本スクリーン製造 株式会社 光照射加熱基板の温度測定装置
US5148714A (en) * 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
JPH04196528A (ja) * 1990-11-28 1992-07-16 Toshiba Corp マグネトロンエッチング装置
US5155062A (en) * 1990-12-20 1992-10-13 Cree Research, Inc. Method for silicon carbide chemical vapor deposition using levitated wafer system
US5446825A (en) * 1991-04-24 1995-08-29 Texas Instruments Incorporated High performance multi-zone illuminator module for semiconductor wafer processing
US5199483A (en) * 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
US5267607A (en) * 1991-05-28 1993-12-07 Tokyo Electron Limited Substrate processing apparatus
JP3086970B2 (ja) * 1991-07-03 2000-09-11 東京エレクトロン株式会社 基板処理装置
US5393349A (en) * 1991-08-16 1995-02-28 Tokyo Electron Sagami Kabushiki Kaisha Semiconductor wafer processing apparatus
US5181556A (en) * 1991-09-20 1993-01-26 Intevac, Inc. System for substrate cooling in an evacuated environment
US5446824A (en) * 1991-10-11 1995-08-29 Texas Instruments Lamp-heated chuck for uniform wafer processing
US5332442A (en) * 1991-11-15 1994-07-26 Tokyo Electron Kabushiki Kaisha Surface processing apparatus
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5370739A (en) * 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5308645A (en) * 1992-08-07 1994-05-03 Delco Electronics Corporation Method and apparatus for through hole substrate printing
US5387289A (en) * 1992-09-22 1995-02-07 Genus, Inc. Film uniformity by selective pressure gradient control
US5803977A (en) * 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
US5343012A (en) * 1992-10-06 1994-08-30 Hardy Walter N Differentially pumped temperature controller for low pressure thin film fabrication process
JPH06158361A (ja) * 1992-11-20 1994-06-07 Hitachi Ltd プラズマ処理装置
US5350479A (en) * 1992-12-02 1994-09-27 Applied Materials, Inc. Electrostatic chuck for high power plasma processing
KR100238629B1 (ko) * 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
US5343938A (en) * 1992-12-24 1994-09-06 Vlsi Technology, Inc. Method and apparatus for thermally insulating a wafer support
US5352294A (en) * 1993-01-28 1994-10-04 White John M Alignment of a shadow frame and large flat substrates on a support
KR960006956B1 (ko) * 1993-02-06 1996-05-25 현대전자산업주식회사 이시알(ecr) 장비
US5421893A (en) * 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
NL9300389A (nl) * 1993-03-04 1994-10-03 Xycarb Bv Substraatdrager.
US5738165A (en) * 1993-05-07 1998-04-14 Nikon Corporation Substrate holding apparatus
JP2934565B2 (ja) * 1993-05-21 1999-08-16 三菱電機株式会社 半導体製造装置及び半導体製造方法
JPH0711446A (ja) * 1993-05-27 1995-01-13 Applied Materials Inc 気相成長用サセプタ装置
JP3165938B2 (ja) * 1993-06-24 2001-05-14 東京エレクトロン株式会社 ガス処理装置
EP0635870A1 (en) * 1993-07-20 1995-01-25 Applied Materials, Inc. An electrostatic chuck having a grooved surface
US5650082A (en) * 1993-10-29 1997-07-22 Applied Materials, Inc. Profiled substrate heating
US5676205A (en) * 1993-10-29 1997-10-14 Applied Materials, Inc. Quasi-infinite heat source/sink
KR950015545A (ko) * 1993-11-12 1995-06-17 제랄드 이. 메스터슨 반도체 웨이퍼류를 가열 및 냉각하기 위한 장치와 방법
US5467220A (en) * 1994-02-18 1995-11-14 Applied Materials, Inc. Method and apparatus for improving semiconductor wafer surface temperature uniformity
US5645646A (en) * 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus
US5552131A (en) * 1994-06-30 1996-09-03 Arco Chemical Technology, L.P. Oxidation of secondary alcohols
US5685906A (en) * 1995-03-23 1997-11-11 Seh America, Inc. Method and apparatus for configuring an epitaxial reactor for reduced set-up time and improved layer quality
US5551985A (en) * 1995-08-18 1996-09-03 Torrex Equipment Corporation Method and apparatus for cold wall chemical vapor deposition
US6113702A (en) 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US6053982A (en) * 1995-09-01 2000-04-25 Asm America, Inc. Wafer support system
US5809211A (en) * 1995-12-11 1998-09-15 Applied Materials, Inc. Ramping susceptor-wafer temperature using a single temperature input
WO1997028669A1 (en) * 1996-01-31 1997-08-07 Asm America, Inc. Model-based predictive control of thermal processing
US5656093A (en) * 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
US5693063A (en) * 1996-04-10 1997-12-02 Bristol-Myers Squibb Company Process for shaping and sharpening a rotatable surgical shaver blade
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5985033A (en) 1997-07-11 1999-11-16 Applied Materials, Inc. Apparatus and method for delivering a gas
KR20010031714A (ko) * 1997-11-03 2001-04-16 러셀 엔. 페어뱅크스, 쥬니어 수명이 긴 고온 공정 챔버
WO1999023690A1 (en) * 1997-11-03 1999-05-14 Asm America, Inc. Method of processing wafers with low mass support
EP1036406B1 (en) * 1997-11-03 2003-04-02 ASM America, Inc. Improved low mass wafer support system
US6064799A (en) * 1998-04-30 2000-05-16 Applied Materials, Inc. Method and apparatus for controlling the radial temperature gradient of a wafer while ramping the wafer temperature
JP2001142037A (ja) 1999-11-17 2001-05-25 Oki Electric Ind Co Ltd 電界効果型光変調器および半導体光素子の製造方法
US6444027B1 (en) 2000-05-08 2002-09-03 Memc Electronic Materials, Inc. Modified susceptor for use in chemical vapor deposition process
US20030168174A1 (en) 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system

Also Published As

Publication number Publication date
US20010054390A1 (en) 2001-12-27
DE69835105D1 (de) 2006-08-10
US20070131173A1 (en) 2007-06-14
AU6040498A (en) 1998-08-18
EP1209251A3 (en) 2002-06-26
EP0963459B1 (en) 2002-07-17
US6491757B2 (en) 2002-12-10
JP4114016B2 (ja) 2008-07-09
US7186298B2 (en) 2007-03-06
KR20000070401A (ko) 2000-11-25
EP1209251B1 (en) 2006-06-28
US6692576B2 (en) 2004-02-17
EP1209251A2 (en) 2002-05-29
US20030075274A1 (en) 2003-04-24
JP2001508599A (ja) 2001-06-26
US6454866B1 (en) 2002-09-24
US20040198153A1 (en) 2004-10-07
DE69835105T2 (de) 2006-12-07
WO1998032893A3 (en) 1998-11-12
EP0963459A2 (en) 1999-12-15
US6343183B1 (en) 2002-01-29
KR20050053664A (ko) 2005-06-08
KR100549998B1 (ko) 2006-02-08
DE69806578T2 (de) 2003-02-27
WO1998032893A2 (en) 1998-07-30
US7655093B2 (en) 2010-02-02
DE69806578D1 (de) 2002-08-22
US6113702A (en) 2000-09-05

Similar Documents

Publication Publication Date Title
KR100539343B1 (ko) 웨이퍼 지지 시스템
US6053982A (en) Wafer support system
WO1998032893A9 (en) Wafer support system
US5958140A (en) One-by-one type heat-processing apparatus
US6113984A (en) Gas injection system for CVD reactors
US6086680A (en) Low-mass susceptor
US5960555A (en) Method and apparatus for purging the back side of a substrate during chemical vapor processing
US7648579B2 (en) Substrate support system for reduced autodoping and backside deposition
US7601224B2 (en) Method of supporting a substrate in a gas cushion susceptor system
EP0823491B1 (en) Gas injection system for CVD reactors
US6861321B2 (en) Method of loading a wafer onto a wafer holder to reduce thermal shock
KR20060120707A (ko) Cvd 막 특성들의 개선을 위한 에지 플로우 면판
TWM632542U (zh) 晶圓托盤及化學氣相沉積設備
TW202325883A (zh) 加熱裝置、化學氣相沉積設備及吹掃方法
JP2001284258A (ja) 半導体製造装置

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121130

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20131129

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20141201

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20151118

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20161123

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20171117

Year of fee payment: 13

EXPY Expiration of term