JP2001508599A - ウェハ支持システム - Google Patents
ウェハ支持システムInfo
- Publication number
- JP2001508599A JP2001508599A JP53217298A JP53217298A JP2001508599A JP 2001508599 A JP2001508599 A JP 2001508599A JP 53217298 A JP53217298 A JP 53217298A JP 53217298 A JP53217298 A JP 53217298A JP 2001508599 A JP2001508599 A JP 2001508599A
- Authority
- JP
- Japan
- Prior art keywords
- susceptor
- wafer
- ring
- gas
- substrate
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 125000006850 spacer group Chemical group 0.000 claims abstract description 82
- 239000000758 substrate Substances 0.000 claims description 35
- 238000000034 method Methods 0.000 claims description 34
- 238000012545 processing Methods 0.000 claims description 30
- 238000010438 heat treatment Methods 0.000 claims description 21
- 230000008859 change Effects 0.000 claims description 12
- 239000004065 semiconductor Substances 0.000 claims description 11
- 230000002093 peripheral effect Effects 0.000 claims 1
- 235000012431 wafers Nutrition 0.000 abstract description 270
- 238000010926 purge Methods 0.000 abstract description 20
- 238000012546 transfer Methods 0.000 abstract description 7
- 238000011109 contamination Methods 0.000 abstract description 3
- 230000003111 delayed effect Effects 0.000 abstract 1
- 230000001681 protective effect Effects 0.000 abstract 1
- 239000007789 gas Substances 0.000 description 167
- 238000006243 chemical reaction Methods 0.000 description 54
- 238000000151 deposition Methods 0.000 description 26
- 230000008021 deposition Effects 0.000 description 23
- 238000009826 distribution Methods 0.000 description 22
- 230000008569 process Effects 0.000 description 18
- 241000239290 Araneae Species 0.000 description 16
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 15
- 239000010453 quartz Substances 0.000 description 14
- 238000005229 chemical vapour deposition Methods 0.000 description 12
- 239000000463 material Substances 0.000 description 9
- 239000010410 layer Substances 0.000 description 7
- 239000012159 carrier gas Substances 0.000 description 6
- 238000001816 cooling Methods 0.000 description 6
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 5
- 230000009471 action Effects 0.000 description 5
- 230000000694 effects Effects 0.000 description 5
- 239000001257 hydrogen Substances 0.000 description 5
- 229910052739 hydrogen Inorganic materials 0.000 description 5
- 239000012495 reaction gas Substances 0.000 description 5
- 229910052710 silicon Inorganic materials 0.000 description 5
- 239000010703 silicon Substances 0.000 description 5
- 238000011144 upstream manufacturing Methods 0.000 description 5
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 4
- 239000013078 crystal Substances 0.000 description 4
- 239000000376 reactant Substances 0.000 description 4
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- 239000011248 coating agent Substances 0.000 description 3
- 238000000576 coating method Methods 0.000 description 3
- 238000013461 design Methods 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 238000005530 etching Methods 0.000 description 3
- 229910002804 graphite Inorganic materials 0.000 description 3
- 239000010439 graphite Substances 0.000 description 3
- 230000013011 mating Effects 0.000 description 3
- 239000010409 thin film Substances 0.000 description 3
- 241001600434 Plectroglyphidodon lacrymatus Species 0.000 description 2
- VJBCNMFKFZIXHC-UHFFFAOYSA-N azanium;2-(4-methyl-5-oxo-4-propan-2-yl-1h-imidazol-2-yl)quinoline-3-carboxylate Chemical compound N.N1C(=O)C(C(C)C)(C)N=C1C1=NC2=CC=CC=C2C=C1C(O)=O VJBCNMFKFZIXHC-UHFFFAOYSA-N 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 230000007717 exclusion Effects 0.000 description 2
- 239000010419 fine particle Substances 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 150000002431 hydrogen Chemical class 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 230000007704 transition Effects 0.000 description 2
- 229910052580 B4C Inorganic materials 0.000 description 1
- 229910052582 BN Inorganic materials 0.000 description 1
- PZNSFCLAULLKQX-UHFFFAOYSA-N Boron nitride Chemical compound N#B PZNSFCLAULLKQX-UHFFFAOYSA-N 0.000 description 1
- 241001417527 Pempheridae Species 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 229910026551 ZrC Inorganic materials 0.000 description 1
- OTCHGXYCWNXDOA-UHFFFAOYSA-N [C].[Zr] Chemical compound [C].[Zr] OTCHGXYCWNXDOA-UHFFFAOYSA-N 0.000 description 1
- 239000011358 absorbing material Substances 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 230000036760 body temperature Effects 0.000 description 1
- INAHAJYZKVIDIZ-UHFFFAOYSA-N boron carbide Chemical compound B12B3B4C32B41 INAHAJYZKVIDIZ-UHFFFAOYSA-N 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 230000005465 channeling Effects 0.000 description 1
- 230000009194 climbing Effects 0.000 description 1
- 230000001143 conditioned effect Effects 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 230000006837 decompression Effects 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 230000006866 deterioration Effects 0.000 description 1
- 238000006073 displacement reaction Methods 0.000 description 1
- 210000005069 ears Anatomy 0.000 description 1
- 235000013399 edible fruits Nutrition 0.000 description 1
- 230000007613 environmental effect Effects 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 239000010408 film Substances 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- 239000012634 fragment Substances 0.000 description 1
- 238000013467 fragmentation Methods 0.000 description 1
- 238000006062 fragmentation reaction Methods 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 231100000241 scar Toxicity 0.000 description 1
- 238000007789 sealing Methods 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 239000012686 silicon precursor Substances 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000010186 staining Methods 0.000 description 1
- 239000013589 supplement Substances 0.000 description 1
- 238000010408 sweeping Methods 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
- 238000005382 thermal cycling Methods 0.000 description 1
- 230000001052 transient effect Effects 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
- 238000003466 welding Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/68—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/458—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
- C23C16/4582—Rigid and flat substrates, e.g. plates or discs
- C23C16/4583—Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
- C23C16/4586—Elements in the interior of the support, e.g. electrodes, heating or cooling devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68735—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/6875—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68792—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Chemical Vapour Deposition (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
Abstract
Description
Claims (1)
- 【特許請求の範囲】 1. 概して平板状の基板を受容するための領域を持つサセプタ上で前記基板を 処理するための装置であって、1つ以上のスペーサが前記サセプタの上に伸長し て前記基板を支持すると共に基板とサセプタとの間に間隙を形成して成る前記装 置が、 前記サセプタの下から前記間隙内に掃引ガスを導入して基板の下から半径方向 に外向きに流すための前記サセプタ内の1つ以上の通路と、 前記サセプタ上の前記基板の外側環状部の下となる前記領域の外周部に支持さ れるブロッカリングであって、前記外向きの半径方向の掃引ガスの流れを制限し かつサセプタ上のガスが前記領域内に流入するのを阻止するように構成された前 記リングと、 を含むことを特徴とする装置。 2. 前記リングが、前記リングと基板との間に細い環状の掃引ガス通路を形成 するように構成された請求項1記載の装置。 3. 前記リングが、前記リングの本体部から上向きに伸長する環状リブを有す る請求項1または2記載の装置。 4. 前記リブがリングの内径と外径の間にほぼ中心に配 置されるか、またはリングの内径に隣接して配置される請求項3記載の装置。 5. 前記リングが、リングとサセプタの間に円周方向に間隔をおいて配置され た複数の通路を形成する円周方向に間隔をおいて配置された複数の脚を有し、そ れによってリングの上および下に抑制された掃引ガスの流れができる請求項1か ら4のいずれか記載の装置。 6. 前記スペーサが前記リングと一体的であり、前記リングの本体部から上向 きに伸長して、円周方向に間隔をおいて配置された通路をリングと基板との間に 形成する請求項1から5のいずれか記載の装置。 7. 前記リングが、前記リングの本体部から垂下する円周方向に間隔をおいて 配置された複数の脚を含み、それによってリングとサセプタの間に円周方向に間 隔をおいて配置された複数の通路が形成され、脚の底面と隣接するスペーサの頂 面との間の熱的経路がスペーサおよび脚を含むリングの高さより大きくなるよう に前記スペーサが前記脚から円周方向に間隔をおいて配置される請求項1記載の 装置。 8. 前記サセプタが実質的に円板形の下部セクションと、前記下部セクション の上面と係合する下面を有する実質的に円板形の上部セクションとを含み、前記 セクションの表面を係合することによって前記1つ以上のガス通路が画定 され、前記下部セクションの1つ以上のガス入口がその下面および前記通路に通 じ、前記上部セクションの1つ以上のガス出口が前記間隙に通じる請求項5、6 、または7記載の装置。 9. 前記1つ以上のガス通路が、前記ガス入口と出口の間に伸長する浅い環状 凹所を含む請求項8記載の装置。 10. 中心軸および前記軸から半径方向および上方向に伸長する複数の支持ア ームを有する前記サセプタのための支持体を含み、前記アームが前記サセプタを 支持するために前記サセプタの下面と係合するように適応された上端を有し、1 つ以上の前記アームが管状であるので前記掃引ガスを前記管状アームを通して前 記ガス入口へ伝達することができる請求項8記載の装置。 11. 前記サセプタが前記基板受容領域を形成する浅い凹所を有し、前記リン グが凹所の内径よりわずかに小さく、基板とサセプタの間の凹所内の基板の外周 部に嵌め込まれる請求項1から10のいずれか記載の装置。 12. サセプタ上および平板状基板の外周部の下に配置されるブロッカリング を含む、半導体ウェハを処理するための装置であって、前記リングは円周方向に 間隔をおいて配置された複数のランドまたは本体から上向きに伸長する環状リブ を有して成る装置。 13. 前記リングがランドより円周方向に間隔をおいて配置され本体部から垂 下する複数の脚部を有する請求項12記載の装置。 14. 基板の高温処理中に基板を支持する方法において、 ウェハが実質的にサセプタから熱的に分断されるように、基板をサセプタの上 に間隔をおいて配置し、かつ 前記基板の外周部の下の前記サセプタ上にブロッカリングを配置する、 ことを含む方法。 15. サセプタ上に伸長する1つ以上のスペーサ上の前記リング上に前記基板 を支持することを含む請求項14記載の方法。 16. 掃引ガスを基板とサセプタの間の間隙に導入し、かつ前記ブロッカリン グを通る1つ以上の抑制された通路を通して基板の下から半径方向に外向きに流 すために、前記サセプタに通路を設ける、 ことを含む請求項14または15記載の方法。 17. ウェハの高温処理中に半導体ウェハの均等な温度を維持する方法におい て、 ウェハが実質的にサセプタから熱的に分断されるように、サセプタから上向き に伸長する1つ以上のスペーサ上にウェハを配置し、 ウェハの上に間隔をおいて配置された上部熱源およびサセプタの下に間隔をお いて配置された下部熱源によって、ウェハおよびサセプタを加熱し、 ウェハおよびサセプタが両方とも所望の温度であるときには、上部および下部 熱源によって提供される熱の比率を比較的一定に維持し、かつ ウェハおよびサセプタの温度を急速に変化させるときには、ウェハおよびサセ プタの温度が変化するときに、これらを実質的に同一温度に維持するために、前 記比率を変化する、 ことを含む方法。 18. 前記比率の変化が、ウェハおよびサセプタの温度を急速に増加するとき には、上部熱源から提供される熱の百分率を減少することを含む請求項17記載 の方法。 19. 前記比率の変化が、均等性を維持するためにウェハおよびサセプタに多 少の熱を与えながらウェハおよびサセプタの温度を低下されるときには、上部熱 源から提供される熱の百分率を増加することを含む請求項18記載の方法。 20. 基板を支持するためのサセプタと、 サセプタの上に間隔をおいて配置された上部熱源と、 サセプタの下に間隔をおいて配置された下部熱源と、 前記熱源の間で選択された比率で前記熱源に電力を提供する制御装置であって 、基板の高温処理サイクル中に前記比率を変化させ、それによってサイクル中に 熱源によって提供される熱の比率を変化させる制御装置と、 を含む基板を処理するための装置。 21. 前記熱源が放射加熱ランプであり、1つ以上の上部ランプおよび1つ以 上の下部ランプが前記制御装置によってユニットとして制御可能である請求項2 0記載の装置。 22. 制御装置が前記熱源間の比率を変化させながら電力出力を実質的に一定 に維持するように構成された請求項21記載の装置。
Applications Claiming Priority (7)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US78881797A | 1997-01-23 | 1997-01-23 | |
US08/788,817 | 1997-01-23 | ||
US3985097P | 1997-03-05 | 1997-03-05 | |
US60/039,850 | 1997-03-05 | ||
US08/923,241 US6113702A (en) | 1995-09-01 | 1997-09-04 | Wafer support system |
US08/923,241 | 1997-09-04 | ||
PCT/US1998/001385 WO1998032893A2 (en) | 1997-01-23 | 1998-01-23 | Wafer support system |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2001508599A true JP2001508599A (ja) | 2001-06-26 |
JP4114016B2 JP4114016B2 (ja) | 2008-07-09 |
Family
ID=27365616
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP53217298A Expired - Lifetime JP4114016B2 (ja) | 1997-01-23 | 1998-01-23 | ウェハ支持システム |
Country Status (7)
Country | Link |
---|---|
US (7) | US6113702A (ja) |
EP (2) | EP1209251B1 (ja) |
JP (1) | JP4114016B2 (ja) |
KR (2) | KR100539343B1 (ja) |
AU (1) | AU6040498A (ja) |
DE (2) | DE69835105T2 (ja) |
WO (1) | WO1998032893A2 (ja) |
Cited By (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2002141397A (ja) * | 2000-10-31 | 2002-05-17 | Yamagata Shinetsu Sekiei:Kk | 石英ガラス製ウェーハ支持治具及びその製造方法 |
JP2003273037A (ja) * | 2002-03-14 | 2003-09-26 | Wacker Siltronic Ag | Cvd反応器中で半導体ウェーハの表側をエピタキシャル被覆する方法、この種の被覆された半導体ウェーハおよびcvd反応器用サセプタ |
JP2007088469A (ja) * | 2005-09-22 | 2007-04-05 | Siltronic Ag | エピタキシャルシリコンウェハおよびエピタキシャルシリコンウェハの製造方法 |
JP2007509231A (ja) * | 2003-10-06 | 2007-04-12 | アプライド マテリアルズ インコーポレイテッド | フェイスアップウェット処理用のウェーハ温度均一性を改善する装置 |
JP2007522681A (ja) * | 2004-02-13 | 2007-08-09 | エーエスエム アメリカ インコーポレイテッド | オートドーピングおよび裏面堆積を減少させるための基板支持システム |
US7503980B2 (en) | 2005-12-27 | 2009-03-17 | Nhk Spring Co., Ltd. | Substrate supporting apparatus |
JP2010129709A (ja) * | 2008-11-27 | 2010-06-10 | Kyocera Corp | 試料支持具および加熱装置 |
WO2012133493A1 (ja) * | 2011-03-28 | 2012-10-04 | 株式会社小松製作所 | 加熱装置 |
WO2012172920A1 (ja) * | 2011-06-14 | 2012-12-20 | シャープ株式会社 | 基板支持装置及び気相成長装置 |
JP2014138056A (ja) * | 2013-01-16 | 2014-07-28 | Shin Etsu Handotai Co Ltd | 枚葉式エピタキシャルウェーハ製造装置およびそれを用いたエピタキシャルウェーハの製造方法 |
KR101482424B1 (ko) * | 2012-07-24 | 2015-01-15 | 오씨아이 주식회사 | 실리콘 웨이퍼 재결정 장치 및 실리콘 웨이퍼 재결정 방법 |
JP2015517204A (ja) * | 2012-04-04 | 2015-06-18 | ジルトロニック アクチエンゲゼルシャフトSiltronic AG | 蒸着法によって半導体ウエハ上に層を堆積させる装置 |
JP2019012030A (ja) * | 2017-06-30 | 2019-01-24 | 京セラ株式会社 | 分光器 |
US10204819B2 (en) | 2016-10-25 | 2019-02-12 | Nuflare Technology, Inc. | Vapor phase growth apparatus and ring-shaped holder having a curved mounting surface with convex and concave regions |
JP2019511841A (ja) * | 2016-03-28 | 2019-04-25 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | サセプタ支持体 |
Families Citing this family (497)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6093252A (en) * | 1995-08-03 | 2000-07-25 | Asm America, Inc. | Process chamber with inner support |
US6113702A (en) | 1995-09-01 | 2000-09-05 | Asm America, Inc. | Wafer support system |
US6046439A (en) * | 1996-06-17 | 2000-04-04 | Mattson Technology, Inc. | System and method for thermal processing of a semiconductor substrate |
US6294025B1 (en) * | 1996-11-01 | 2001-09-25 | THEVA DüNNSCHICHTTECHNIK GMBH | Device for producing oxidic thin films |
US6200911B1 (en) * | 1998-04-21 | 2001-03-13 | Applied Materials, Inc. | Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power |
US6449428B2 (en) * | 1998-12-11 | 2002-09-10 | Mattson Technology Corp. | Gas driven rotating susceptor for rapid thermal processing (RTP) system |
DE19901291C2 (de) * | 1999-01-15 | 2002-04-18 | Sez Semiconduct Equip Zubehoer | Vorrichtung zur Ätzbehandlung eines scheibenförmigen Gegenstandes |
US6524389B1 (en) * | 1999-05-24 | 2003-02-25 | Tokyo Electron Limited | Substrate processing apparatus |
US6494955B1 (en) * | 2000-02-15 | 2002-12-17 | Applied Materials, Inc. | Ceramic substrate support |
US20080156657A1 (en) * | 2000-02-17 | 2008-07-03 | Butterfield Paul D | Conductive polishing article for electrochemical mechanical polishing |
US7374644B2 (en) * | 2000-02-17 | 2008-05-20 | Applied Materials, Inc. | Conductive polishing article for electrochemical mechanical polishing |
US20040020789A1 (en) * | 2000-02-17 | 2004-02-05 | Applied Materials, Inc. | Conductive polishing article for electrochemical mechanical polishing |
EP1174910A3 (en) * | 2000-07-20 | 2010-01-06 | Applied Materials, Inc. | Method and apparatus for dechucking a substrate |
JP2002158178A (ja) * | 2000-11-21 | 2002-05-31 | Hitachi Kokusai Electric Inc | 基板処理装置および半導体装置の製造方法 |
SE0004296D0 (sv) * | 2000-11-23 | 2000-11-23 | Gyros Ab | Device and method for the controlled heating in micro channel systems |
US6634882B2 (en) * | 2000-12-22 | 2003-10-21 | Asm America, Inc. | Susceptor pocket profile to improve process performance |
US6506252B2 (en) * | 2001-02-07 | 2003-01-14 | Emcore Corporation | Susceptorless reactor for growing epitaxial layers on wafers by chemical vapor deposition |
US6600138B2 (en) * | 2001-04-17 | 2003-07-29 | Mattson Technology, Inc. | Rapid thermal processing system for integrated circuits |
US6528767B2 (en) * | 2001-05-22 | 2003-03-04 | Applied Materials, Inc. | Pre-heating and load lock pedestal material for high temperature CVD liquid crystal and flat panel display applications |
KR20040008193A (ko) | 2001-05-30 | 2004-01-28 | 에이에스엠 아메리카, 인코포레이티드 | 저온 로딩 및 소성 |
US6919058B2 (en) * | 2001-08-28 | 2005-07-19 | Gyros Ab | Retaining microfluidic microcavity and other microfluidic structures |
US20050000449A1 (en) * | 2001-12-21 | 2005-01-06 | Masayuki Ishibashi | Susceptor for epitaxial growth and epitaxial growth method |
US7033445B2 (en) * | 2001-12-27 | 2006-04-25 | Asm America, Inc. | Gridded susceptor |
US6730175B2 (en) * | 2002-01-22 | 2004-05-04 | Applied Materials, Inc. | Ceramic substrate support |
US6677167B2 (en) * | 2002-03-04 | 2004-01-13 | Hitachi High-Technologies Corporation | Wafer processing apparatus and a wafer stage and a wafer processing method |
US20030168174A1 (en) | 2002-03-08 | 2003-09-11 | Foree Michael Todd | Gas cushion susceptor system |
US6861321B2 (en) * | 2002-04-05 | 2005-03-01 | Asm America, Inc. | Method of loading a wafer onto a wafer holder to reduce thermal shock |
US7070660B2 (en) * | 2002-05-03 | 2006-07-04 | Asm America, Inc. | Wafer holder with stiffening rib |
US6887317B2 (en) * | 2002-09-10 | 2005-05-03 | Applied Materials, Inc. | Reduced friction lift pin |
DE10243022A1 (de) * | 2002-09-17 | 2004-03-25 | Degussa Ag | Abscheidung eines Feststoffs durch thermische Zersetzung einer gasförmigen Substanz in einem Becherreaktor |
US20040096636A1 (en) * | 2002-11-18 | 2004-05-20 | Applied Materials, Inc. | Lifting glass substrate without center lift pins |
US20050170314A1 (en) * | 2002-11-27 | 2005-08-04 | Richard Golden | Dental pliers design with offsetting jaw and pad elements for assisting in removing upper and lower teeth and method for removing teeth utilizing the dental plier design |
US6709267B1 (en) | 2002-12-27 | 2004-03-23 | Asm America, Inc. | Substrate holder with deep annular groove to prevent edge heat loss |
US6917755B2 (en) * | 2003-02-27 | 2005-07-12 | Applied Materials, Inc. | Substrate support |
US20040187787A1 (en) * | 2003-03-31 | 2004-09-30 | Dawson Keith E. | Substrate support having temperature controlled substrate support surface |
US20040226513A1 (en) * | 2003-05-12 | 2004-11-18 | Applied Materials, Inc. | Chamber for uniform heating of large area substrates |
DE10323085A1 (de) * | 2003-05-22 | 2004-12-09 | Aixtron Ag | CVD-Beschichtungsvorrichtung |
JP4033809B2 (ja) * | 2003-06-16 | 2008-01-16 | 東京エレクトロン株式会社 | 熱処理装置及び熱処理方法 |
ATE514801T1 (de) * | 2003-08-01 | 2011-07-15 | Sgl Carbon Se | Halter zum tragen von wafern während der halbleiterherstellung |
WO2005034219A1 (ja) * | 2003-10-01 | 2005-04-14 | Shin-Etsu Handotai Co., Ltd. | シリコンエピタキシャルウェーハの製造方法、及びシリコンエピタキシャルウェーハ |
US7827930B2 (en) | 2004-01-26 | 2010-11-09 | Applied Materials, Inc. | Apparatus for electroless deposition of metals onto semiconductor substrates |
US7654221B2 (en) * | 2003-10-06 | 2010-02-02 | Applied Materials, Inc. | Apparatus for electroless deposition of metals onto semiconductor substrates |
US7223308B2 (en) * | 2003-10-06 | 2007-05-29 | Applied Materials, Inc. | Apparatus to improve wafer temperature uniformity for face-up wet processing |
US7323058B2 (en) | 2004-01-26 | 2008-01-29 | Applied Materials, Inc. | Apparatus for electroless deposition of metals onto semiconductor substrates |
US7311779B2 (en) | 2003-10-06 | 2007-12-25 | Applied Materials, Inc. | Heating apparatus to heat wafers using water and plate with turbolators |
US20050092439A1 (en) * | 2003-10-29 | 2005-05-05 | Keeton Tony J. | Low/high temperature substrate holder to reduce edge rolloff and backside damage |
US7169234B2 (en) * | 2004-01-30 | 2007-01-30 | Asm America, Inc. | Apparatus and methods for preventing rotational slippage between a vertical shaft and a support structure for a semiconductor wafer holder |
US20050176252A1 (en) * | 2004-02-10 | 2005-08-11 | Goodman Matthew G. | Two-stage load for processing both sides of a wafer |
US8033245B2 (en) * | 2004-02-12 | 2011-10-11 | Applied Materials, Inc. | Substrate support bushing |
US20070000527A1 (en) * | 2005-06-30 | 2007-01-04 | Aegerter Brian K | Workpiece support for use in a process vessel and system for treating microelectronic workpieces |
US20070182942A1 (en) * | 2004-03-30 | 2007-08-09 | Osamu Kasono | Exposure device |
US20060005770A1 (en) * | 2004-07-09 | 2006-01-12 | Robin Tiner | Independently moving substrate supports |
US20060054090A1 (en) * | 2004-09-15 | 2006-03-16 | Applied Materials, Inc. | PECVD susceptor support construction |
US7396412B2 (en) * | 2004-12-22 | 2008-07-08 | Sokudo Co., Ltd. | Coat/develop module with shared dispense |
US7126092B2 (en) * | 2005-01-13 | 2006-10-24 | Watlow Electric Manufacturing Company | Heater for wafer processing and methods of operating and manufacturing the same |
JP2008533697A (ja) * | 2005-01-18 | 2008-08-21 | エーエスエム アメリカ インコーポレイテッド | ウェハ支持ピン部材 |
JP4934595B2 (ja) | 2005-01-18 | 2012-05-16 | エーエスエム アメリカ インコーポレイテッド | 薄膜成長用反応装置 |
US7787411B2 (en) * | 2005-05-10 | 2010-08-31 | Microsoft Corporation | Gaming console wireless protocol for peripheral devices |
US7262390B2 (en) * | 2005-05-23 | 2007-08-28 | Chung Shan Institute Of Science And Technology, Armaments Bureau, M.N.D. | Apparatus and adjusting technology for uniform thermal processing |
US20070032081A1 (en) | 2005-08-08 | 2007-02-08 | Jeremy Chang | Edge ring assembly with dielectric spacer ring |
US7418921B2 (en) * | 2005-08-12 | 2008-09-02 | Asm Japan K.K. | Plasma CVD apparatus for forming uniform film |
US8628622B2 (en) * | 2005-09-12 | 2014-01-14 | Cree, Inc. | Gas driven rotation apparatus and method for forming crystalline layers |
EP1772901B1 (en) * | 2005-10-07 | 2012-07-25 | Rohm and Haas Electronic Materials, L.L.C. | Wafer holding article and method for semiconductor processing |
US20070089836A1 (en) * | 2005-10-24 | 2007-04-26 | Applied Materials, Inc. | Semiconductor process chamber |
KR101332206B1 (ko) * | 2005-12-02 | 2013-11-25 | 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. | 반도체 처리 방법 |
US7740518B2 (en) * | 2006-03-06 | 2010-06-22 | Michael Elliott | Jousting toy |
US8278176B2 (en) | 2006-06-07 | 2012-10-02 | Asm America, Inc. | Selective epitaxial formation of semiconductor films |
KR101359402B1 (ko) * | 2006-10-30 | 2014-02-07 | 주성엔지니어링(주) | 기판 가장자리 식각 장치 |
US7976634B2 (en) | 2006-11-21 | 2011-07-12 | Applied Materials, Inc. | Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems |
US8491752B2 (en) * | 2006-12-15 | 2013-07-23 | Tokyo Electron Limited | Substrate mounting table and method for manufacturing same, substrate processing apparatus, and fluid supply mechanism |
KR100824301B1 (ko) * | 2006-12-21 | 2008-04-22 | 세메스 주식회사 | 반응 챔버와 이를 포함하는 탄소나노튜브 합성 장치 및 설비 |
US8057601B2 (en) | 2007-05-09 | 2011-11-15 | Applied Materials, Inc. | Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber |
US8057602B2 (en) * | 2007-05-09 | 2011-11-15 | Applied Materials, Inc. | Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber |
US8034410B2 (en) * | 2007-07-17 | 2011-10-11 | Asm International N.V. | Protective inserts to line holes in parts for semiconductor process equipment |
JP4971078B2 (ja) * | 2007-08-30 | 2012-07-11 | 東京応化工業株式会社 | 表面処理装置 |
JP5169097B2 (ja) | 2007-09-14 | 2013-03-27 | 住友電気工業株式会社 | 半導体装置の製造装置および製造方法 |
US7759199B2 (en) * | 2007-09-19 | 2010-07-20 | Asm America, Inc. | Stressor for engineered strain on channel |
JP5260023B2 (ja) * | 2007-10-19 | 2013-08-14 | 三菱重工業株式会社 | プラズマ成膜装置 |
US8067061B2 (en) * | 2007-10-25 | 2011-11-29 | Asm America, Inc. | Reaction apparatus having multiple adjustable exhaust ports |
US8092606B2 (en) | 2007-12-18 | 2012-01-10 | Asm Genitech Korea Ltd. | Deposition apparatus |
US8107800B2 (en) * | 2008-01-08 | 2012-01-31 | International Business Machines Corporation | Method and structure to control thermal gradients in semiconductor wafers during rapid thermal processing |
US20090181553A1 (en) | 2008-01-11 | 2009-07-16 | Blake Koelmel | Apparatus and method of aligning and positioning a cold substrate on a hot surface |
US8198567B2 (en) | 2008-01-15 | 2012-06-12 | Applied Materials, Inc. | High temperature vacuum chuck assembly |
US20090280248A1 (en) * | 2008-05-06 | 2009-11-12 | Asm America, Inc. | Porous substrate holder with thinned portions |
US8053036B2 (en) * | 2008-06-02 | 2011-11-08 | Asm Japan K.K. | Method for designing shower plate for plasma CVD apparatus |
US8394229B2 (en) * | 2008-08-07 | 2013-03-12 | Asm America, Inc. | Susceptor ring |
US20100101491A1 (en) * | 2008-10-29 | 2010-04-29 | Asm Japan K.K. | Wafer lift pins suspended and supported at underside of susceptor |
US20100107974A1 (en) * | 2008-11-06 | 2010-05-06 | Asm America, Inc. | Substrate holder with varying density |
US8209833B2 (en) * | 2008-11-07 | 2012-07-03 | Tokyo Electron Limited | Thermal processing system and method of using |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US20100203242A1 (en) * | 2009-02-06 | 2010-08-12 | Applied Materials, Inc. | self-cleaning susceptor for solar cell processing |
CN102308381B (zh) | 2009-02-11 | 2014-08-13 | 应用材料公司 | 非接触性基板处理 |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
JP5902085B2 (ja) | 2009-08-07 | 2016-04-13 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 処理チャンバ内で基板を位置決めするための装置及び処理チャンバ内で基板をセンタリングするための方法 |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US20110052159A1 (en) * | 2009-09-03 | 2011-03-03 | Chiung-Chieh Su | Apparatus for uniform thermal processing |
US8367528B2 (en) * | 2009-11-17 | 2013-02-05 | Asm America, Inc. | Cyclical epitaxial deposition and etch |
US20110127252A1 (en) * | 2009-11-27 | 2011-06-02 | Tangteck Equipment Inc. | Heating device having a function of dynamical temperature-control |
WO2011106064A1 (en) | 2010-02-24 | 2011-09-01 | Veeco Instruments Inc. | Processing methods and apparatus with temperature distribution control |
US9240513B2 (en) * | 2010-05-14 | 2016-01-19 | Solarcity Corporation | Dynamic support system for quartz process chamber |
US9441295B2 (en) | 2010-05-14 | 2016-09-13 | Solarcity Corporation | Multi-channel gas-delivery system |
US8591700B2 (en) * | 2010-08-19 | 2013-11-26 | Stmicroelectronics Pte Ltd. | Susceptor support system |
JP5787526B2 (ja) * | 2011-01-17 | 2015-09-30 | イビデン株式会社 | 電子部品位置決め用治具 |
DE102011007682A1 (de) * | 2011-04-19 | 2012-10-25 | Siltronic Ag | Suszeptor zum Abstützen einer Halbleiterscheibe und Verfahren zum Abscheiden einer Schicht auf einer Vorderseite einer Halbleiterscheibe |
US8951350B2 (en) * | 2011-05-03 | 2015-02-10 | United Technologies Corporation | Coating methods and apparatus |
US9623527B2 (en) * | 2011-05-06 | 2017-04-18 | Osram Opto Semiconductors Gmbh | Component carrier assembly having a trench structure which separates component carrier regions, and method for producing a plurality of component carrier regions |
JP5712782B2 (ja) * | 2011-05-13 | 2015-05-07 | 株式会社Sumco | エピタキシャルウェーハ成長装置用サセプタサポートシャフトおよびエピタキシャル成長装置 |
US8809170B2 (en) | 2011-05-19 | 2014-08-19 | Asm America Inc. | High throughput cyclical epitaxial deposition and etch process |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
CN103035559B (zh) * | 2011-09-29 | 2015-04-22 | 中芯国际集成电路制造(北京)有限公司 | 弹性固定轮及包含其的晶圆适配器 |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9816184B2 (en) | 2012-03-20 | 2017-11-14 | Veeco Instruments Inc. | Keyed wafer carrier |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US9159597B2 (en) * | 2012-05-15 | 2015-10-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Real-time calibration for wafer processing chamber lamp modules |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9589818B2 (en) * | 2012-12-20 | 2017-03-07 | Lam Research Ag | Apparatus for liquid treatment of wafer shaped articles and liquid control ring for use in same |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9543186B2 (en) | 2013-02-01 | 2017-01-10 | Applied Materials, Inc. | Substrate support with controlled sealing gap |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9991153B2 (en) * | 2013-03-14 | 2018-06-05 | Applied Materials, Inc. | Substrate support bushing |
US9273413B2 (en) | 2013-03-14 | 2016-03-01 | Veeco Instruments Inc. | Wafer carrier with temperature distribution control |
KR101586181B1 (ko) * | 2013-03-28 | 2016-01-15 | 시바우라 메카트로닉스 가부시끼가이샤 | 적재대 및 플라즈마 처리 장치 |
DE102013106461B4 (de) * | 2013-06-20 | 2016-10-27 | Kgt Graphit Technologie Gmbh | Haltestifte zum Halten von Wafern in Waferbooten und Verfahren zum Herstellen solcher Haltestifte |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
DE102013012082A1 (de) * | 2013-07-22 | 2015-01-22 | Aixtron Se | Vorrichtung zum thermischen Behandeln eines Halbleitersubstrates, insbesondere zum Aufbringen einer Beschichtung |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
KR102173114B1 (ko) * | 2013-10-04 | 2020-11-03 | 엘지이노텍 주식회사 | 서셉터 |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US20150194326A1 (en) * | 2014-01-07 | 2015-07-09 | Applied Materials, Inc. | Pecvd ceramic heater with wide range of operating temperatures |
US10032601B2 (en) * | 2014-02-21 | 2018-07-24 | Varian Semiconductor Equipment Associates, Inc. | Platen support structure |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9517539B2 (en) | 2014-08-28 | 2016-12-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Wafer susceptor with improved thermal characteristics |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
CN107112267B (zh) | 2015-01-12 | 2020-09-22 | 应用材料公司 | 用于基板背侧变色控制的支撑组件 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
WO2016131190A1 (en) | 2015-02-17 | 2016-08-25 | Solarcity Corporation | Method and system for improving solar cell manufacturing yield |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US9972740B2 (en) | 2015-06-07 | 2018-05-15 | Tesla, Inc. | Chemical vapor deposition tool and process for fabrication of photovoltaic structures |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
DE102015113956B4 (de) | 2015-08-24 | 2024-03-07 | Meyer Burger (Germany) Gmbh | Substratträger |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
JP6635871B2 (ja) * | 2016-05-11 | 2020-01-29 | 東京エレクトロン株式会社 | 成膜装置 |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US9748434B1 (en) | 2016-05-24 | 2017-08-29 | Tesla, Inc. | Systems, method and apparatus for curing conductive paste |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
JP6736404B2 (ja) * | 2016-07-26 | 2020-08-05 | 株式会社ディスコ | 研削装置 |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9954136B2 (en) | 2016-08-03 | 2018-04-24 | Tesla, Inc. | Cassette optimized for an inline annealing system |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10115856B2 (en) | 2016-10-31 | 2018-10-30 | Tesla, Inc. | System and method for curing conductive paste using induction heating |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US11702748B2 (en) * | 2017-03-03 | 2023-07-18 | Lam Research Corporation | Wafer level uniformity control in remote plasma film deposition |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
US10829866B2 (en) * | 2017-04-03 | 2020-11-10 | Infineon Technologies Americas Corp. | Wafer carrier and method |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10872803B2 (en) | 2017-11-03 | 2020-12-22 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
US10872804B2 (en) | 2017-11-03 | 2020-12-22 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
TWI844567B (zh) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | 基材保持裝置、含有此裝置之系統及其使用之方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
JP7023826B2 (ja) * | 2018-12-07 | 2022-02-22 | 株式会社ニューフレアテクノロジー | 連続成膜方法、連続成膜装置、サセプタユニット、及びサセプタユニットに用いられるスペーサセット |
DE102018131987A1 (de) * | 2018-12-12 | 2020-06-18 | Aixtron Se | Substrathalter zur Verwendung in einem CVD-Reaktor |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
USD914620S1 (en) | 2019-01-17 | 2021-03-30 | Asm Ip Holding B.V. | Vented susceptor |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
USD920936S1 (en) | 2019-01-17 | 2021-06-01 | Asm Ip Holding B.V. | Higher temperature vented susceptor |
CN111446185A (zh) | 2019-01-17 | 2020-07-24 | Asm Ip 控股有限公司 | 通风基座 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
TWI845682B (zh) | 2019-05-22 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 工件基座主體 |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
US11415463B2 (en) * | 2019-06-04 | 2022-08-16 | Applied Materials, Inc. | Contactless workpiece temperature sensor |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR102697878B1 (ko) * | 2019-07-25 | 2024-08-23 | 에피크루 가부시키가이샤 | 에피택셜 성장 장치의 프로세스 챔버 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
US11764101B2 (en) | 2019-10-24 | 2023-09-19 | ASM IP Holding, B.V. | Susceptor for semiconductor substrate processing |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
WO2021119900A1 (zh) * | 2019-12-16 | 2021-06-24 | 东莞市中镓半导体科技有限公司 | 用于GaN材料生长的气动托盘 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
TWM596345U (zh) * | 2020-03-05 | 2020-06-01 | 晶元光電股份有限公司 | 氣體感測器的量測設備 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
USD1031676S1 (en) | 2020-12-04 | 2024-06-18 | Asm Ip Holding B.V. | Combined susceptor, support, and lift system |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
WO2022240726A1 (en) * | 2021-05-13 | 2022-11-17 | Globalwafers Co., Ltd. | Methods for etching a semiconductor structure and for conditioning a processing reactor |
US11495487B1 (en) | 2021-05-13 | 2022-11-08 | Globalwafers Co., Ltd. | Methods for conditioning a processing reactor |
USD1028913S1 (en) | 2021-06-30 | 2024-05-28 | Asm Ip Holding B.V. | Semiconductor deposition reactor ring |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US20240175133A1 (en) * | 2022-11-28 | 2024-05-30 | Veeco Instruments Inc. | Multi-disc chemical vapor deposition system with cross flow gas injection |
Family Cites Families (120)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3304908A (en) * | 1963-08-14 | 1967-02-21 | Merck & Co Inc | Epitaxial reactor including mask-work support |
US3627590A (en) * | 1968-12-02 | 1971-12-14 | Western Electric Co | Method for heat treatment of workpieces |
US4537835A (en) * | 1977-02-18 | 1985-08-27 | Battelle Memorial Institute | Oxidation of hydrogen halides to elemental halogens |
US4261762A (en) * | 1979-09-14 | 1981-04-14 | Eaton Corporation | Method for conducting heat to or from an article being treated under vacuum |
US4313783A (en) * | 1980-05-19 | 1982-02-02 | Branson International Plasma Corporation | Computer controlled system for processing semiconductor wafers |
US4403567A (en) * | 1980-08-21 | 1983-09-13 | Commonwealth Scientific Corporation | Workpiece holder |
US4512391A (en) * | 1982-01-29 | 1985-04-23 | Varian Associates, Inc. | Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet |
US4537244A (en) * | 1982-05-25 | 1985-08-27 | Varian Associates, Inc. | Method for optimum conductive heat transfer with a thin flexible workpiece |
US4458746A (en) * | 1982-05-25 | 1984-07-10 | Varian Associates, Inc. | Optimum surface contour for conductive heat transfer with a thin flexible workpiece |
US4535835A (en) * | 1982-05-25 | 1985-08-20 | Varian Associates, Inc. | Optimum surface contour for conductive heat transfer with a thin flexible workpiece |
US4457359A (en) * | 1982-05-25 | 1984-07-03 | Varian Associates, Inc. | Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer |
US4508161A (en) * | 1982-05-25 | 1985-04-02 | Varian Associates, Inc. | Method for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer |
US4542298A (en) * | 1983-06-09 | 1985-09-17 | Varian Associates, Inc. | Methods and apparatus for gas-assisted thermal transfer with a semiconductor wafer |
JPS6060060A (ja) * | 1983-09-12 | 1985-04-06 | 株式会社日立製作所 | 鉄道車両の扉開閉装置 |
US4522697A (en) * | 1983-12-22 | 1985-06-11 | Sputtered Films, Inc. | Wafer processing machine |
US4523985A (en) * | 1983-12-22 | 1985-06-18 | Sputtered Films, Inc. | Wafer processing machine |
FR2559241B1 (fr) | 1984-02-06 | 1986-12-12 | Dietrich Sa | Perfectionnement permettant la mise et le maintien en depression du moufle d'un four electrodomestique a reacteur catalytique |
US4603466A (en) * | 1984-02-17 | 1986-08-05 | Gca Corporation | Wafer chuck |
US4567938A (en) * | 1984-05-02 | 1986-02-04 | Varian Associates, Inc. | Method and apparatus for controlling thermal transfer in a cyclic vacuum processing system |
US4527620A (en) * | 1984-05-02 | 1985-07-09 | Varian Associates, Inc. | Apparatus for controlling thermal transfer in a cyclic vacuum processing system |
US4535834A (en) * | 1984-05-02 | 1985-08-20 | Varian Associates, Inc. | Method and apparatus for controlling thermal transfer in a cyclic vacuum processing system |
JPS6220308A (ja) * | 1985-07-19 | 1987-01-28 | Hitachi Ltd | 熱処理方法および装置 |
US4789771A (en) * | 1985-10-07 | 1988-12-06 | Epsilon Limited Partnership | Method and apparatus for substrate heating in an axially symmetric epitaxial deposition apparatus |
NL8602356A (nl) * | 1985-10-07 | 1987-05-04 | Epsilon Ltd Partnership | Inrichting en werkwijze voor een axiaal symmetrische reactor voor het chemische uit damp neerslaan. |
JPH0444216Y2 (ja) * | 1985-10-07 | 1992-10-19 | ||
US4654509A (en) * | 1985-10-07 | 1987-03-31 | Epsilon Limited Partnership | Method and apparatus for substrate heating in an axially symmetric epitaxial deposition apparatus |
KR910002596B1 (ko) * | 1985-11-21 | 1991-04-27 | 다이닛뽕 스크린 세이조오 가부시기가이샤 | 온도제어방법 및 그 장치 |
US4709655A (en) * | 1985-12-03 | 1987-12-01 | Varian Associates, Inc. | Chemical vapor deposition apparatus |
FR2596070A1 (fr) * | 1986-03-21 | 1987-09-25 | Labo Electronique Physique | Dispositif comprenant un suscepteur plan tournant parallelement a un plan de reference autour d'un axe perpendiculaire a ce plan |
US4724621A (en) * | 1986-04-17 | 1988-02-16 | Varian Associates, Inc. | Wafer processing chuck using slanted clamping pins |
JPS6384017A (ja) * | 1986-09-26 | 1988-04-14 | Toshiba Mach Co Ltd | 気相成長方法 |
US5484011A (en) * | 1986-12-19 | 1996-01-16 | Applied Materials, Inc. | Method of heating and cooling a wafer during semiconductor processing |
US5292393A (en) * | 1986-12-19 | 1994-03-08 | Applied Materials, Inc. | Multichamber integrated process system |
US5215619A (en) * | 1986-12-19 | 1993-06-01 | Applied Materials, Inc. | Magnetic field-enhanced plasma etch reactor |
US4821674A (en) * | 1987-03-31 | 1989-04-18 | Deboer Wiebe B | Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment |
JPS63285925A (ja) * | 1987-05-18 | 1988-11-22 | Nec Kyushu Ltd | 半導体集積回路装置の製造装置 |
US4975561A (en) * | 1987-06-18 | 1990-12-04 | Epsilon Technology Inc. | Heating system for substrates |
US4911812A (en) * | 1987-10-21 | 1990-03-27 | Hitachi, Ltd. | Plasma treating method and apparatus therefor |
JPH0623935B2 (ja) * | 1988-02-09 | 1994-03-30 | 大日本スクリーン製造株式会社 | 再現性を高めた熱処理制御方法 |
FR2628985B1 (fr) * | 1988-03-22 | 1990-12-28 | Labo Electronique Physique | Reacteur d'epitaxie a paroi protegee contre les depots |
US4949783A (en) * | 1988-05-18 | 1990-08-21 | Veeco Instruments, Inc. | Substrate transport and cooling apparatus and method for same |
EP0343530B1 (de) * | 1988-05-24 | 2001-11-14 | Unaxis Balzers Aktiengesellschaft | Vakuumanlage |
KR0155545B1 (ko) * | 1988-06-27 | 1998-12-01 | 고다까 토시오 | 기판의 열처리 장치 |
US4923584A (en) * | 1988-10-31 | 1990-05-08 | Eaton Corporation | Sealing apparatus for a vacuum processing system |
JP2731855B2 (ja) * | 1989-02-14 | 1998-03-25 | アネルバ株式会社 | 減圧気相成長装置 |
JP2721006B2 (ja) * | 1989-03-15 | 1998-03-04 | 株式会社ダイヘン | 電気機器ケースの電着塗装装置 |
ES2054357T3 (es) | 1989-05-08 | 1994-08-01 | Philips Nv | Aparato y metodo para tratar substratos planos bajo una presion reducida. |
US5180000A (en) * | 1989-05-08 | 1993-01-19 | Balzers Aktiengesellschaft | Workpiece carrier with suction slot for a disk-shaped workpiece |
DE3943478C2 (de) * | 1989-05-08 | 1995-11-16 | Philips Electronics Nv | Werkstückträger für ein scheibenförmiges Werkstück, sowie Vakuumbehandlungsanlage |
US5248370A (en) * | 1989-05-08 | 1993-09-28 | Applied Materials, Inc. | Apparatus for heating and cooling semiconductor wafers in semiconductor wafer processing equipment |
US5156820A (en) * | 1989-05-15 | 1992-10-20 | Rapro Technology, Inc. | Reaction chamber with controlled radiant energy heating and distributed reactant flow |
US5155337A (en) * | 1989-12-21 | 1992-10-13 | North Carolina State University | Method and apparatus for controlling rapid thermal processing systems |
US5108792A (en) * | 1990-03-09 | 1992-04-28 | Applied Materials, Inc. | Double-dome reactor for semiconductor processing |
DE69126724T2 (de) * | 1990-03-19 | 1998-01-15 | Toshiba Kawasaki Kk | Vorrichtung zur Dampfphasenabscheidung |
US5160544A (en) * | 1990-03-20 | 1992-11-03 | Diamonex Incorporated | Hot filament chemical vapor deposition reactor |
US5080929A (en) * | 1990-04-02 | 1992-01-14 | Delco Electronics Corporation | Method and apparatus for through hole substrate printing |
NO177475C (no) | 1990-04-14 | 1995-09-20 | Sel Alcatel Ag | Fremgangsmåte og apparat ved antenne |
ES2086429T3 (es) * | 1990-04-20 | 1996-07-01 | Applied Materials Inc | Mecanismo de sujecion para la deposicion en fase de vapor por proceso fisico. |
US5094885A (en) * | 1990-10-12 | 1992-03-10 | Genus, Inc. | Differential pressure cvd chuck |
US5096536A (en) * | 1990-06-12 | 1992-03-17 | Micron Technology, Inc. | Method and apparatus useful in the plasma etching of semiconductor materials |
KR0165898B1 (ko) * | 1990-07-02 | 1999-02-01 | 미다 가쓰시게 | 진공처리방법 및 장치 |
US5230741A (en) * | 1990-07-16 | 1993-07-27 | Novellus Systems, Inc. | Gas-based backside protection during substrate processing |
US5133284A (en) * | 1990-07-16 | 1992-07-28 | National Semiconductor Corp. | Gas-based backside protection during substrate processing |
US5238499A (en) * | 1990-07-16 | 1993-08-24 | Novellus Systems, Inc. | Gas-based substrate protection during processing |
US5221403A (en) * | 1990-07-20 | 1993-06-22 | Tokyo Electron Limited | Support table for plate-like body and processing apparatus using the table |
US5298465A (en) * | 1990-08-16 | 1994-03-29 | Applied Materials, Inc. | Plasma etching system |
JP2780866B2 (ja) * | 1990-10-11 | 1998-07-30 | 大日本スクリーン製造 株式会社 | 光照射加熱基板の温度測定装置 |
US5148714A (en) * | 1990-10-24 | 1992-09-22 | Ag Processing Technology, Inc. | Rotary/linear actuator for closed chamber, and reaction chamber utilizing same |
JPH04196528A (ja) * | 1990-11-28 | 1992-07-16 | Toshiba Corp | マグネトロンエッチング装置 |
US5155062A (en) * | 1990-12-20 | 1992-10-13 | Cree Research, Inc. | Method for silicon carbide chemical vapor deposition using levitated wafer system |
US5446825A (en) * | 1991-04-24 | 1995-08-29 | Texas Instruments Incorporated | High performance multi-zone illuminator module for semiconductor wafer processing |
US5199483A (en) * | 1991-05-15 | 1993-04-06 | Applied Materials, Inc. | Method and apparatus for cooling wafers |
US5267607A (en) * | 1991-05-28 | 1993-12-07 | Tokyo Electron Limited | Substrate processing apparatus |
JP3086970B2 (ja) * | 1991-07-03 | 2000-09-11 | 東京エレクトロン株式会社 | 基板処理装置 |
US5393349A (en) * | 1991-08-16 | 1995-02-28 | Tokyo Electron Sagami Kabushiki Kaisha | Semiconductor wafer processing apparatus |
US5181556A (en) * | 1991-09-20 | 1993-01-26 | Intevac, Inc. | System for substrate cooling in an evacuated environment |
US5446824A (en) * | 1991-10-11 | 1995-08-29 | Texas Instruments | Lamp-heated chuck for uniform wafer processing |
US5332442A (en) * | 1991-11-15 | 1994-07-26 | Tokyo Electron Kabushiki Kaisha | Surface processing apparatus |
US5370739A (en) * | 1992-06-15 | 1994-12-06 | Materials Research Corporation | Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD |
US5356476A (en) * | 1992-06-15 | 1994-10-18 | Materials Research Corporation | Semiconductor wafer processing method and apparatus with heat and gas flow control |
US5308645A (en) * | 1992-08-07 | 1994-05-03 | Delco Electronics Corporation | Method and apparatus for through hole substrate printing |
US5387289A (en) * | 1992-09-22 | 1995-02-07 | Genus, Inc. | Film uniformity by selective pressure gradient control |
US5803977A (en) * | 1992-09-30 | 1998-09-08 | Applied Materials, Inc. | Apparatus for full wafer deposition |
US5343012A (en) * | 1992-10-06 | 1994-08-30 | Hardy Walter N | Differentially pumped temperature controller for low pressure thin film fabrication process |
JPH06158361A (ja) * | 1992-11-20 | 1994-06-07 | Hitachi Ltd | プラズマ処理装置 |
US5350479A (en) * | 1992-12-02 | 1994-09-27 | Applied Materials, Inc. | Electrostatic chuck for high power plasma processing |
KR100238629B1 (ko) * | 1992-12-17 | 2000-01-15 | 히가시 데쓰로 | 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치 |
US5343938A (en) * | 1992-12-24 | 1994-09-06 | Vlsi Technology, Inc. | Method and apparatus for thermally insulating a wafer support |
US5352294A (en) * | 1993-01-28 | 1994-10-04 | White John M | Alignment of a shadow frame and large flat substrates on a support |
KR960006956B1 (ko) * | 1993-02-06 | 1996-05-25 | 현대전자산업주식회사 | 이시알(ecr) 장비 |
US5421893A (en) * | 1993-02-26 | 1995-06-06 | Applied Materials, Inc. | Susceptor drive and wafer displacement mechanism |
NL9300389A (nl) * | 1993-03-04 | 1994-10-03 | Xycarb Bv | Substraatdrager. |
US5738165A (en) * | 1993-05-07 | 1998-04-14 | Nikon Corporation | Substrate holding apparatus |
JP2934565B2 (ja) * | 1993-05-21 | 1999-08-16 | 三菱電機株式会社 | 半導体製造装置及び半導体製造方法 |
JPH0711446A (ja) * | 1993-05-27 | 1995-01-13 | Applied Materials Inc | 気相成長用サセプタ装置 |
JP3165938B2 (ja) * | 1993-06-24 | 2001-05-14 | 東京エレクトロン株式会社 | ガス処理装置 |
EP0635870A1 (en) * | 1993-07-20 | 1995-01-25 | Applied Materials, Inc. | An electrostatic chuck having a grooved surface |
US5650082A (en) * | 1993-10-29 | 1997-07-22 | Applied Materials, Inc. | Profiled substrate heating |
US5676205A (en) * | 1993-10-29 | 1997-10-14 | Applied Materials, Inc. | Quasi-infinite heat source/sink |
DE657918T1 (de) * | 1993-11-12 | 1996-02-29 | Semiconductor Systems Inc | Verfahren und Vorrichtung zum Einbrennen und Kühlen von Halbleiterscheiben und dergleichen. |
US5467220A (en) * | 1994-02-18 | 1995-11-14 | Applied Materials, Inc. | Method and apparatus for improving semiconductor wafer surface temperature uniformity |
US5645646A (en) * | 1994-02-25 | 1997-07-08 | Applied Materials, Inc. | Susceptor for deposition apparatus |
US5552131A (en) * | 1994-06-30 | 1996-09-03 | Arco Chemical Technology, L.P. | Oxidation of secondary alcohols |
US5685906A (en) * | 1995-03-23 | 1997-11-11 | Seh America, Inc. | Method and apparatus for configuring an epitaxial reactor for reduced set-up time and improved layer quality |
US5551985A (en) * | 1995-08-18 | 1996-09-03 | Torrex Equipment Corporation | Method and apparatus for cold wall chemical vapor deposition |
US6053982A (en) * | 1995-09-01 | 2000-04-25 | Asm America, Inc. | Wafer support system |
US6113702A (en) | 1995-09-01 | 2000-09-05 | Asm America, Inc. | Wafer support system |
US5809211A (en) * | 1995-12-11 | 1998-09-15 | Applied Materials, Inc. | Ramping susceptor-wafer temperature using a single temperature input |
JP3956057B2 (ja) * | 1996-01-31 | 2007-08-08 | エイエスエム アメリカ インコーポレイテッド | 熱処理のモデル規範型予測制御 |
US5656093A (en) * | 1996-03-08 | 1997-08-12 | Applied Materials, Inc. | Wafer spacing mask for a substrate support chuck and method of fabricating same |
US5693063A (en) * | 1996-04-10 | 1997-12-02 | Bristol-Myers Squibb Company | Process for shaping and sharpening a rotatable surgical shaver blade |
US5846332A (en) * | 1996-07-12 | 1998-12-08 | Applied Materials, Inc. | Thermally floating pedestal collar in a chemical vapor deposition chamber |
US5985033A (en) | 1997-07-11 | 1999-11-16 | Applied Materials, Inc. | Apparatus and method for delivering a gas |
KR20010031714A (ko) * | 1997-11-03 | 2001-04-16 | 러셀 엔. 페어뱅크스, 쥬니어 | 수명이 긴 고온 공정 챔버 |
JP2001522142A (ja) * | 1997-11-03 | 2001-11-13 | エーエスエム アメリカ インコーポレイテッド | 改良された低質量ウェハ支持システム |
WO1999023690A1 (en) * | 1997-11-03 | 1999-05-14 | Asm America, Inc. | Method of processing wafers with low mass support |
US6064799A (en) * | 1998-04-30 | 2000-05-16 | Applied Materials, Inc. | Method and apparatus for controlling the radial temperature gradient of a wafer while ramping the wafer temperature |
JP2001142037A (ja) * | 1999-11-17 | 2001-05-25 | Oki Electric Ind Co Ltd | 電界効果型光変調器および半導体光素子の製造方法 |
US6444027B1 (en) * | 2000-05-08 | 2002-09-03 | Memc Electronic Materials, Inc. | Modified susceptor for use in chemical vapor deposition process |
US20030168174A1 (en) * | 2002-03-08 | 2003-09-11 | Foree Michael Todd | Gas cushion susceptor system |
-
1997
- 1997-09-04 US US08/923,241 patent/US6113702A/en not_active Expired - Lifetime
-
1998
- 1998-01-23 EP EP01129575A patent/EP1209251B1/en not_active Expired - Lifetime
- 1998-01-23 AU AU60404/98A patent/AU6040498A/en not_active Abandoned
- 1998-01-23 KR KR10-2005-7004417A patent/KR100539343B1/ko not_active IP Right Cessation
- 1998-01-23 DE DE69835105T patent/DE69835105T2/de not_active Expired - Fee Related
- 1998-01-23 DE DE69806578T patent/DE69806578T2/de not_active Expired - Fee Related
- 1998-01-23 JP JP53217298A patent/JP4114016B2/ja not_active Expired - Lifetime
- 1998-01-23 KR KR1019997006635A patent/KR100549998B1/ko not_active IP Right Cessation
- 1998-01-23 WO PCT/US1998/001385 patent/WO1998032893A2/en active IP Right Grant
- 1998-01-23 EP EP98903702A patent/EP0963459B1/en not_active Expired - Lifetime
-
2000
- 2000-06-27 US US09/605,094 patent/US6343183B1/en not_active Expired - Lifetime
- 2000-07-10 US US09/614,481 patent/US6454866B1/en not_active Expired - Fee Related
-
2001
- 2001-08-17 US US09/932,795 patent/US6491757B2/en not_active Expired - Lifetime
-
2002
- 2002-09-13 US US10/243,579 patent/US6692576B2/en not_active Expired - Fee Related
-
2003
- 2003-08-18 US US10/642,799 patent/US7186298B2/en not_active Expired - Fee Related
-
2007
- 2007-01-29 US US11/668,409 patent/US7655093B2/en not_active Expired - Fee Related
Cited By (25)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2002141397A (ja) * | 2000-10-31 | 2002-05-17 | Yamagata Shinetsu Sekiei:Kk | 石英ガラス製ウェーハ支持治具及びその製造方法 |
JP2003273037A (ja) * | 2002-03-14 | 2003-09-26 | Wacker Siltronic Ag | Cvd反応器中で半導体ウェーハの表側をエピタキシャル被覆する方法、この種の被覆された半導体ウェーハおよびcvd反応器用サセプタ |
JP4644676B2 (ja) * | 2003-10-06 | 2011-03-02 | アプライド マテリアルズ インコーポレイテッド | フェイスアップウェット処理用のウェーハ温度均一性を改善する装置 |
JP2007509231A (ja) * | 2003-10-06 | 2007-04-12 | アプライド マテリアルズ インコーポレイテッド | フェイスアップウェット処理用のウェーハ温度均一性を改善する装置 |
KR101109299B1 (ko) * | 2003-10-06 | 2012-01-31 | 어플라이드 머티어리얼스, 인코포레이티드 | 페이스-업 습식 프로세싱을 위해 웨이퍼 온도 균일성을강화시키는 장치 |
JP2007522681A (ja) * | 2004-02-13 | 2007-08-09 | エーエスエム アメリカ インコーポレイテッド | オートドーピングおよび裏面堆積を減少させるための基板支持システム |
US8088225B2 (en) | 2004-02-13 | 2012-01-03 | Asm America, Inc. | Substrate support system for reduced autodoping and backside deposition |
US7922813B2 (en) | 2005-09-22 | 2011-04-12 | Siltronic Ag | Epitaxially coated silicon wafer and method for producing epitaxially coated silicon wafers |
JP4589283B2 (ja) * | 2005-09-22 | 2010-12-01 | ジルトロニック アクチエンゲゼルシャフト | エピタキシャルシリコンウェハの製造方法 |
JP2007088469A (ja) * | 2005-09-22 | 2007-04-05 | Siltronic Ag | エピタキシャルシリコンウェハおよびエピタキシャルシリコンウェハの製造方法 |
USRE43837E1 (en) | 2005-12-27 | 2012-12-04 | Nhk Spring Co., Ltd. | Substrate supporting apparatus |
US7503980B2 (en) | 2005-12-27 | 2009-03-17 | Nhk Spring Co., Ltd. | Substrate supporting apparatus |
JP2010129709A (ja) * | 2008-11-27 | 2010-06-10 | Kyocera Corp | 試料支持具および加熱装置 |
WO2012133493A1 (ja) * | 2011-03-28 | 2012-10-04 | 株式会社小松製作所 | 加熱装置 |
JP2012204825A (ja) * | 2011-03-28 | 2012-10-22 | Komatsu Ltd | 加熱装置 |
KR101479052B1 (ko) * | 2011-03-28 | 2015-01-05 | 가부시키가이샤 고마쓰 세이사쿠쇼 | 가열 장치 |
WO2012172920A1 (ja) * | 2011-06-14 | 2012-12-20 | シャープ株式会社 | 基板支持装置及び気相成長装置 |
JP2013004593A (ja) * | 2011-06-14 | 2013-01-07 | Sharp Corp | 基板支持装置及び気相成長装置 |
JP2015517204A (ja) * | 2012-04-04 | 2015-06-18 | ジルトロニック アクチエンゲゼルシャフトSiltronic AG | 蒸着法によって半導体ウエハ上に層を堆積させる装置 |
KR101482424B1 (ko) * | 2012-07-24 | 2015-01-15 | 오씨아이 주식회사 | 실리콘 웨이퍼 재결정 장치 및 실리콘 웨이퍼 재결정 방법 |
JP2014138056A (ja) * | 2013-01-16 | 2014-07-28 | Shin Etsu Handotai Co Ltd | 枚葉式エピタキシャルウェーハ製造装置およびそれを用いたエピタキシャルウェーハの製造方法 |
JP2019511841A (ja) * | 2016-03-28 | 2019-04-25 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | サセプタ支持体 |
JP7175766B2 (ja) | 2016-03-28 | 2022-11-21 | アプライド マテリアルズ インコーポレイテッド | サセプタ支持体 |
US10204819B2 (en) | 2016-10-25 | 2019-02-12 | Nuflare Technology, Inc. | Vapor phase growth apparatus and ring-shaped holder having a curved mounting surface with convex and concave regions |
JP2019012030A (ja) * | 2017-06-30 | 2019-01-24 | 京セラ株式会社 | 分光器 |
Also Published As
Publication number | Publication date |
---|---|
US6491757B2 (en) | 2002-12-10 |
EP1209251A3 (en) | 2002-06-26 |
EP1209251B1 (en) | 2006-06-28 |
JP4114016B2 (ja) | 2008-07-09 |
WO1998032893A2 (en) | 1998-07-30 |
US6113702A (en) | 2000-09-05 |
US20010054390A1 (en) | 2001-12-27 |
US20070131173A1 (en) | 2007-06-14 |
WO1998032893A3 (en) | 1998-11-12 |
DE69835105D1 (de) | 2006-08-10 |
US20030075274A1 (en) | 2003-04-24 |
US20040198153A1 (en) | 2004-10-07 |
DE69835105T2 (de) | 2006-12-07 |
KR20000070401A (ko) | 2000-11-25 |
US6692576B2 (en) | 2004-02-17 |
KR100539343B1 (ko) | 2005-12-28 |
US6454866B1 (en) | 2002-09-24 |
EP0963459A2 (en) | 1999-12-15 |
KR20050053664A (ko) | 2005-06-08 |
US7186298B2 (en) | 2007-03-06 |
KR100549998B1 (ko) | 2006-02-08 |
AU6040498A (en) | 1998-08-18 |
US7655093B2 (en) | 2010-02-02 |
DE69806578D1 (de) | 2002-08-22 |
US6343183B1 (en) | 2002-01-29 |
DE69806578T2 (de) | 2003-02-27 |
EP1209251A2 (en) | 2002-05-29 |
EP0963459B1 (en) | 2002-07-17 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2001508599A (ja) | ウェハ支持システム | |
US6053982A (en) | Wafer support system | |
US7648579B2 (en) | Substrate support system for reduced autodoping and backside deposition | |
US6301434B1 (en) | Apparatus and method for CVD and thermal processing of semiconductor substrates | |
WO1998032893A9 (en) | Wafer support system | |
US7601224B2 (en) | Method of supporting a substrate in a gas cushion susceptor system | |
KR100893909B1 (ko) | 기판 홀더의 제조 방법 | |
CN112053991B (zh) | 热处理基座 | |
US20090280248A1 (en) | Porous substrate holder with thinned portions | |
US6086680A (en) | Low-mass susceptor | |
TW201500579A (zh) | 具有熱一致性改善特色的晶圓舟盒 | |
KR20120131194A (ko) | 온도 분포 제어를 이용한 처리 방법 및 장치 | |
US6861321B2 (en) | Method of loading a wafer onto a wafer holder to reduce thermal shock | |
WO2021120189A1 (zh) | 一种晶圆承载盘及化学气相淀积设备 | |
TWM632542U (zh) | 晶圓托盤及化學氣相沉積設備 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20041224 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20061024 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20070124 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20070828 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20071122 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20080311 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20080401 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20110425 Year of fee payment: 3 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20110425 Year of fee payment: 3 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20120425 Year of fee payment: 4 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20120425 Year of fee payment: 4 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130425 Year of fee payment: 5 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130425 Year of fee payment: 5 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20140425 Year of fee payment: 6 |
|
S802 | Written request for registration of partial abandonment of right |
Free format text: JAPANESE INTERMEDIATE CODE: R311802 |
|
R350 | Written notification of registration of transfer |
Free format text: JAPANESE INTERMEDIATE CODE: R350 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
EXPY | Cancellation because of completion of term |