JP2681055B2 - ウェーハ移送方法及び装置 - Google Patents

ウェーハ移送方法及び装置

Info

Publication number
JP2681055B2
JP2681055B2 JP62117197A JP11719787A JP2681055B2 JP 2681055 B2 JP2681055 B2 JP 2681055B2 JP 62117197 A JP62117197 A JP 62117197A JP 11719787 A JP11719787 A JP 11719787A JP 2681055 B2 JP2681055 B2 JP 2681055B2
Authority
JP
Japan
Prior art keywords
boat
wafer
paddle
cassette
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP62117197A
Other languages
English (en)
Other versions
JPS6324615A (ja
Inventor
ジーン・ベノイト・ヒューガス
リン・ウェーバー
ジェームズ・イー・ハーリンガー
カツヒト・ニシカワ
ドナルド・エル・シューマン
ギャリー・ダブリュ・イー
Original Assignee
シリコン・バレイ・グル−プ・インコ−ポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=25342478&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2681055(B2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by シリコン・バレイ・グル−プ・インコ−ポレイテッド filed Critical シリコン・バレイ・グル−プ・インコ−ポレイテッド
Publication of JPS6324615A publication Critical patent/JPS6324615A/ja
Application granted granted Critical
Publication of JP2681055B2 publication Critical patent/JP2681055B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/136Associated with semiconductor wafer handling including wafer orienting means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49764Method of mechanical manufacture with testing or indicating
    • Y10T29/49769Using optical instrument [excludes mere human eyeballing]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/5313Means to assemble electrical device
    • Y10T29/53261Means to align and advance work part

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

【発明の詳細な説明】 発明の背景 本発明は、ウエーハの加熱及び/又は処理用ファーネ
ス(furnace)装置、特に、ファーネス装置で使用され
る、ウエーハを収容するためのカセットと、ウエーハ運
搬用ボートとの間で半導体製造に使用されるウエーハを
移送するためのウエーハ移送方法及び装置に関する。 本発明はまた、垂直型ファーネスの内外部に出し入れ
される、ウエーハを積載したボートの移送方法及び装置
に関する。 ウエーハは、比較的壊れやすい基板材料(例えば、シ
リコン)から成る。ファーネスの加熱及び/又は処理に
用いるチャンバの内部でウエーハを支持するためのボー
トも、通常、比較的壊れやすい材料(例えば、石英)か
ら成る。また、ウエーハ及びボート上のコーティング
は、非常に壊れやすく、容易に破損する。よって、これ
ら材料は、カセットとボートとの間でウエーハを移送す
る過程でカセット又はボートにウエーハのエッジが衝突
してしまったとき、欠損したり剥離する。 ボートとカセットとの間でのウエーハの移送は、ウエ
ーハのエッジとカセット又はボートとの間での欠損や剥
離の原因となる接触を回避するために高い精度で行なわ
れなければならない。 上述のような接触があったり、いずれの材料がウエー
ハ又はボートから欠損しても、ウエーハ上の電気回路は
損傷を受け得る。この回路は、ともに密に集積され、超
小型の伝導性の配線又は経路を有し、シリコン、石英又
はコーティングの粒子のようなあらゆる異物を許容でき
ない。ボートに挿入され、ファーネスで加熱されるウエ
ーハは、カセットのスロットに積載されてファーネス挿
入装置に供給される。ウエーハは、ファーネスの加熱チ
ャンバ内にボートを配置する前にカセットからボートへ
移送され、ウエーハは、ファーネス内で加熱及び/又は
処理サイクルを受けた後に、ボートから取り出されカセ
ットへ戻される。 カセットとボートとの間でウエーハを移送する場合、
手動を介在させることなく清潔な環境内で動作できる自
動機構によって移送を行うことが望ましい。 また、通常、各処理サイクルにはかなりの時間が必要
とされるため、1つのボートでウエーハを保持した多数
のカセットを取扱うことのできるほど大型であることが
一般に望ましい。1度に多くのウエーハを処理すること
は、生産速度を高める。非常に多くのウエーハを多数の
別々のカセットから1つのボート内へ入れることを可能
にするためには、挿入機構は、送り元(カセット又はボ
ート)からウエーハを拾い上げ、送り先(ボート又はカ
セット)へウエーハを移送する過程で、円弧状に垂直か
つ半径方向にウエーハを移動させることができなければ
ならない。 このため、ウエーハの移送機構は、精密な構成を有
し、精密に動作しなければならない。 装置に固有の寸法許容誤差から生じるウエーハ中心の
予測位置又は校正位置からの実際のウエーハ中心の位置
の変化又は差を調節することもまた、装置は可能でなけ
ればならない。ウエーハ中心の変化又は「ずれ」は、計
測されて有効に補正されなければならず、これにより、
ウエーハとボート及びカセットとの間で起こり得る上述
のようなエッジの接触が移送動作中に除かれるべきであ
る。 発明の概要 本発明の第1の目的は、ボート又はカセットとウエー
ハのエッジとが全く接触せずにウエーハ移送機構全体に
わたってウエーハを移動させることである。 本発明の第2の目的は、移送される様々な外径をもつ
ウエーハに起因する一貫しないウエーハの取扱いによる
影響を取り除くことである。この目的は、装置内の送り
元と送り先との間でのウエーハの移送経路の間にウエー
ハの円弧にわたる両エッジの境界を検査することによっ
て達成される。ウエーハの外径の変化による影響は、ウ
エーハを保持するパドルの中心に関するウエーハの中心
の実際の「ずれ」を測定することによってなくなり、パ
ドルはこの測定された「ずれ」を補償するために必要な
横方向量(又は角回転)だけ位置を変えられる。これ
は、送り先へウエーハを連続的に移送するのに必要とさ
れる真の中心位置上に、パドルではなくウエーハを配置
する。 装置の種類やウエーハの直径が様々であるため、機構
的な変位誤差がパドルで拾い上げたときに生じ得る。パ
ドルの中心に対するウエーハの中心は、実際の「ずれ」
を測定することによって決定できる。パドルは、この測
定/校正(calibration)の「ずれ」を補償するために
必要な横方向量(又は角回転)だけ位置を変える。これ
は、ウエーハを拾い上げている間に発生する全ての誤差
をなくし、非常に正確にウエーハを送り出すための許容
誤差を装置が維持できる。これが、本発明の重要な目的
である。 本発明の第3の目的は、一方のボートがウエーハ積載
位置でウエーハを受け、他方のボートが垂直チャンバ側
ボート位置でボートを受け取るか又は垂直チャンバ内に
上昇されるように、ボートを運搬するための手段(ボー
ト交換機構)を提供することである。この目的は、回転
テーブルを使用することによって達成される。 本発明の特定的な実施例では、ウエーハ加熱及び/又
は処理用のファーネス装置が、ウエーハ移送機構付近に
多数のカセット及びボートを配置するように構成される
ウエーハ移送モジュールから成る。カセットは、カセッ
ト内の個々のスロットに個々のウエーハを保持し、ボー
トは、ボートが処理チャンバに入れられるときに、処理
されるウエーハを保持するための多数のスロットを有す
る。 ボートは、ウエーハがカセットのスロットとボートの
スロットとの間で移送される間、ウエーハ積載位置の真
上で保持される。ウエーハ移送機構は、送り元(カセッ
ト又はボート)で個々のウエーハを拾い上げるパドルを
有し、送り先(ボート又はカセット)へウエーハを運搬
する。ウエーハは、上面に開口を有するパドル上に真空
装置によって保持される。パドル上面の開口は、ウエー
ハの下面で覆われるか又は封じられる。パドルは垂直方
向に移動して、ウエーハを持上げたり、配置したりでき
る。また、カセット内外及びボート内外へと半径方向に
移動できる。パドルはまた、移送行程において円弧状に
移動できる。 ウエーハ移送機構は、それぞれ垂直方向、半径方向及
び回転方向にパドルを移動させるための垂直、半径方向
及び回転モータ駆動部を含む。 制御装置が、この垂直、半径方向及び回転モータの動
作を制御する。 ウエーハ移送機構は、パドルの半径方向の移動量を検
出するための半径方向センサを含む。その移動は、カセ
ット又はボートからウエーハを引き出す工程に含まれ
る。 パドルの真空装置は、上述のようなパドル上でのウエ
ーハの保持の他に、ボート又はカセット内のスロットに
あるウエーハの垂直位置を検出するための垂直方向セン
サ信号を与える。 また、θセンサが、パドル上に保持したウエーハの中
心に対するパドルの中心のあらゆる「ずれ」(offset)
の量の検出及び測定のために使用される。 3つのセンサが制御装置と連動して、各カセットのス
ロット及び各ボートのスロットにおけるウエーハの予測
中心を(3座標系で行われる校正手順によって)確立す
る。 θセンサはまた、制御装置と連動して、ウエーハの実
際の移送中に生じるようなウエーハの中心に対するパド
ルの中心のあらゆる横方向の「ずれ」を検出し、補償す
る。制御装置はこの「ずれ」を解消するために必要な回
転量だけ横方向にパドルの位置を変え、これにより、各
ウエーハは、送り先へウエーハを連続して移送するため
に要求される真の中心の位置上で、パドルではなくウエ
ーハの実際の中心をもつスロット位置へ送られる。従っ
て、ウエーハは、ウエーハのエッジとスロットのエッジ
との間に所望の間隔をもったスロット内に入る。 「ずれ」の量は、パドル上の各ウエーハの2つの向き
合うエッジを検査することによって測定される。 2つのエッジの位置をみつけることで、ウエーハ移送
機構がパドルの未修正位置に関してではなく移送機構で
のウエーハの実際の位置に関してウエーハを移動させる
ができる。 これは、ウエーハの側部エッジの位置におけるウエー
ハ移送装置に固有の大きな変化も有効になくすことがで
きる。 パドル上にウエーハの真の中心を位置することによっ
て、ウエーハ移送装置は、送り先の構造とウエーハのエ
ッジとを全く接触させずに、高精度でその送り先に各ウ
エーハを挿入することができる。 上述の特徴を組み入れ且つ上述のように機能するウエ
ーハ移送装置及び方法は、本発明の他の特定の目的を成
す。 本発明の他の目的は、以下の説明及び特許請求の範囲
から明らかになり、図面で説明される。図面は、例とし
て本発明の好適実施例及びその本質並びにこれらの本質
を適用するため意図される最良の形態と現在考えられる
ものを示す。同一又は均等の本質を実施する本発明の他
の実施例が用いられてもよく、構造上の変更が本発明及
び特許請求の範囲を逸脱することなく当業者によって所
望されるように行なわれ得る。 好適実施例の説明 本発明の実施例に従って構成されるファーネス装置
が、第1図に符号21で示される。 ファーネス装置21は、垂直ファーネス23、ボート交換
ユニット25、カセットホルダ装置27、ウエーハ移送モジ
ュール機構29、及び制御装置31から成る。 ウエーハ移送モジュール機構29の構成の詳細を第2図
に示す。 ウエーハ移送モジュール機構は、パドル33を含む。パ
ドル33は、以下で詳説さるように、カセット37内のスロ
ットとボート39内のスロットとの間でウエーハを移送す
る過程でウエーハ35を拾い上げ、保持するために使用さ
れる。 このような移送の過程でウエーハ35を拾い上げ、保持
する場合、パドル33は、第1図でそれぞれZ、R及びθ
の文字で示す垂直(Z)方向、半径(R)方向及び回転
(θ)方向に移動できる。 ウエーハ移送モジュール機構29は、カセット37からボ
ート39ヘ、又は、ボート39からカセット37への両方向に
ウエーハを移送するために使用される。つまり、垂直フ
ァーネス23内で加熱されるべきウエーハがカセットから
ボートへ移送され、このウエーハとともにボートが運ば
れる。また、垂直ファーネス23内で加熱及び/又は処理
サイクルを受けたウエーハをボート39からカセット37へ
と移送する。 第1図では、ボート39が、ウエーハ積載位置上に示さ
れている。支柱40上のボート39は、回転式テーブル41に
よってこのウエーハ積載位置に支持されている。この回
転式テーブルは、駆動モータ43、及びそれに連結した滑
車及び駆動ベルトによって回転させることができ、(第
1図で太い矢印によって示すように)180度だけ回転し
てウエーハを積載したボート39を垂直チャンバ23の直下
のチャンバ側ボート位置43へ移送する。 昇降器45が、リフトアーム47を含む。このリフトアー
ム47が、チャンバ側ボート位置43でボート39を支持する
支柱の下方フランジ49と係合し、第1図に示するよう
に、垂直チャンバ23の下方端へとボート39を上昇させ
る。昇降器45は、昇降アーム47を上下移動させるための
昇降器駆動モータ51及び回転可能な昇降ねじ53を含む。 垂直チャンバ23は、第1図に示す実施例では、垂直軸
線方向にのびたほぼ管状の部材55内に形成された容器か
ら成る。この部材55は、第1図に示す実施例では、石英
から成る。 チャンバ包囲部57が、容器、及び容器内でボート39の
スロットに保持されているウエーハ35を加熱する。 加熱が終了すると、ボート39が、垂直チャンバ23から
チャンバ側ボート位置43の回転式テーブル41へと昇降器
45によって下降される。 以上のような回転式テーブル41から成るボート交換機
構25は、1つのボート39が垂直チャンバ23内で加熱され
ている間に別のボート39ではウエーハ35を積載したり、
取り出したりできる、という連続的な動作を可能にす
る。 本発明の特定の実施例においても、ボート39は、石英
から成る。 上述のとおり、石英及び、石英表面のコーティング又
は蒸着物が、ウエーハ35のエッジと衝突したとき、それ
は容易に欠損したり、剥離する。また、シリコンウエー
ハ及びそのコーティングも、ウエーハのエッジがボート
又はカセットと衝突したとき、容易に破損する。 ウエーハ35上に形成される電子回路の小型化及び高精
度化に際し、発生する異物(例えば、石英、シリコン又
はコーティングの微粒子)により、良好な回路を所望の
生産量だけ得ようとすることが困難である、という問題
がある。このことから、このような微粒子の発生を防止
しなければならない。 ウエーハ35のエッジとカセット又は石英製ボート39内
のウエーハ支持スロットの側部との間の接触を無くすこ
とは、カセット37とボート39との間でのウエーハの移送
を行う場合に伴う装置の許容誤差に対して重要な問題で
ある。この許容誤差は、特定の送り元にあるウエーハの
実際の中心がその特定の送り元でのウエーハの予測又は
測定した中心から「ずれ」るという結果を生じることが
ある。この「ずれ」の量は、「ずれ」が検出されてその
ウエーハをその送り元からその送り先へ移送する行程で
パドルの位置を適切に調節することによって有効に解消
されなければ、ウエーハのエッジが送り先のエッジに衝
突してしまう。 上述のとおり、パドル33は、各ウエーハの移送のため
3座標方向に移動可能である。 第1図に示すように、垂直チャンバ23内で加熱を行う
以前、ボート39に積載されるウエーハ35は、カセット保
持装置27の多数のカセット37内に収容されている。カセ
ット37の各々は、カセット支持棚61上に支持される。多
数の棚61が、棒63によって支持される。棒63の各々は、
駆動機構65によって(第1図で太い矢印によって図示す
るように)所定の角度だけ回転される。これにより、カ
セット37が、カセット保持装置27の内外へ容易に出し入
れできる。 カセット37の各々は、第1図に示すように、カセット
内の個々のスロットに多数のウエーハ35を保持すること
ができる。カセットのスロットは垂直方向に整列し、ス
ロットの間隔の大きさの許容誤差は非常に小さいもので
あるが、特定のカセット37内の個々のウエーハの予測位
置を校正する場合、例えば最上部にあるスロットのよう
な、単一のスロットの単一カセットの中心位置を決定す
ることは十分に可能である。 ウエーハ35は、後側に平坦面を有するカセットに挿入
された後、カセット37がカセット保持装置27内に入れら
れる。 石英製ボート39は、図示のとおり、4本の石英棒71を
有する。変形的に、石英製ボートが、4本以上の棒を有
してもよい。第4図に示すとおり、各々の棒71には、ウ
エーハ35を受け取って保持するための多数のスロット73
が垂直方向に間隔をあけて形成されている。 ウエーハ35をスロット73に挿入する場合、ウエーハ
は、ウエーハ35のエッジとスロット73の側部との間で接
触せずにスロット内に移動されなければならない。この
ため、ウエーハは、適切な垂直方向の高さZ及び半径方
向距離Rで挿入されなければならず、パドル33の半径方
向の移動Rの方向でウエーハをボート内に入れるとき、
パドル33上にあるウエーハ35の実際の中心が、ウエーハ
の所望の中心と実質的に直線的に整合しなければならな
い。 ウエーハ35がスロット73内に挿入されると、ウエーハ
は、スロットの下方面がウエーハ35の下側と係合、支持
するまで、ゆっくりと下げられる。 ボート39のスロット73の垂直方向の配列とスロット同
士の間隔は、比較的小さい許容誤差に維持される。しか
し、個々のボートはスロットの位置で幾らか変化した
り、支柱又は回転式テーブル上のボートの位置はボート
が取り付けられ又は交換されるとき変化したり、また、
ボートが受ける加熱及び冷却サイクルのためボートが幾
らかの焼結ひずみを受けることがあり、スロット73の位
置を校正する場合、最上部のスロット、ボートの中間部
付近のスロット、及び最下部のスロットの位置を校正す
ることが望ましい。 第3図は、ボート39の最上部、中間及び最下部のスロ
ットでのウエーハの中心位置の校正について示す。ボー
ト内の他のスロットの位置は、挿間(interpolation)
によって決定される。 次に、第2図を参照して、ウエーハ移送モジュール機
構29の構成及び動作態様について説明する。 真空ライン81がパドル33及びパドル33の上面にあるポ
ート83に接続され、パドル33がウエーハ35の下面に係合
すると、ウエーハ35がポート83に吸引されてパドル33上
に確実に保持される。また、この吸引が作用する点は、
ある特定位置でウエーハの垂直方向の位置の指示を与
え、この情報は、第1図で説明した垂直方向センサの情
報として制御装置31に供給される。制御装置では、この
信号情報に選択した増分が加えられ、この位置へウエー
ハを逆に挿入するときに使用される垂直方向のレベルの
基準を生成する。このことから、ウエーハに適した最下
部及び最上部の間隔をもったスロット構造を与えること
ができる。 レール84に沿ったパドル33の半径方向の移動は、R駆
動モータ85及びこれに連結する駆動ベルト87によってな
される。モータ85は、支持板89に取り付けられている。 この支持板89は、シャフト91によってθ方向(第2図
で太い矢印によって示す)に軸線92に関して回転可能で
ある。シャフト91の上端部が支持板89に接続され、円筒
管93内の上部及び底部付近にあるベアリング95によっ
て、円筒管93内で回転できるように取り付けられてい
る。ベアリング95は、円筒管93の下方端に図示される
(第2図を参照)。 シャフト91は、第2図で示すように、θ駆動モータ97
及びこれに連結した滑車及び駆動ベルトによって回転さ
れる。 円筒管93はまた、リフトアーム101に接続されてい
る。リフトアーム101は、駆動ねじ105によって上下方向
に駆動され、レール103上を滑動する。駆動ねじ105は、
第2図で示すように、Z駆動モータ107及びこれに連結
した滑車及びベルトによって回転される。 本発明の特定の実施例で、Z駆動モータ107、θ駆動
モータ97及びR駆動モータ85は、ステッパモータであ
る。 パドル33及びこのパドルに吸着したウエーハ35のエッ
ジが、ボートやカセットと接触せずに装置21内で三次元
座標系のすべての方向にわたって移動できる、というこ
とが本発明の重要な特徴である。 本発明は、センサを使用することによって達成され
る。センサは、まず各送り元でウエーハの中心の予測位
置を校正するために使用され、次に各ウエーハ移送の行
程でパドル33上でウエーハの中心の実際の位置を決定す
るために使用される。 パドルの中心に対するパドル上のウエーハの中心のあ
らゆる横方向の「ずれ」を測定し、次に、この「ずれ」
を効果的に無くすためにパドル33の位置を変えることの
ためにセンサを使用する、ということが本発明の特に重
要な特徴である。次に、パドル33は、送り先へウエーハ
を連続的に移送するために必要な真の中心位置上にウエ
ーハの実際の中心を位置して送り先(ボート又はカセッ
ト内のスロット)へウエーハ35を挿入できる。ウエーハ
の取り上げ中に発生するあらゆる誤差が無くなり、装置
は、ウエーハの移送に必要な許容誤差を維持することが
できる。 第1図、第2図及び第3図に示すように、ウエーハ移
送モジュール機構29は、Rセンサ111を含む。このRセ
ンサ111は、パドル83がウエーハ35を移動させたときに
Rセンサ111の垂直方向の線上でパドル33の後方エッジ
をとらえるたびにRセンサ111が始動されるような支持
板89上の位置に取り付けられる。よって、このRセンサ
111によって、カセット内のスロット又はボート内のス
ロットのいずれか一方にあるウエーハの中心の半径方向
距離が、円筒管93及びその内部の回転可能なシャフト91
の垂直方向の軸線に関して決定される。この距離がわか
っているとき、制御装置31は、次にR駆動モータ85を制
御して関連のスロット内にウエーハを挿入し又はそこか
らウエーハを取り出す場合に、この半径方向距離だけウ
エーハを移動することができる。 第1図、第2図、第5A−5C図及び第6A−6C図に示すよ
うに、ウエーハ移送モジュール機構29は、θセンサ113
を含む。 θセンサ113は、パドル33の側部エッジ(第5A−5C図
参照)及びパドル33に保持されるウエーハ35の側部エッ
ジ(第6A−6C図参照)の両方を検出することができる固
定位置に取り付けられる。 第2図で示すように、θセンサ113は、円筒管93に接
続されたアーム115上に取り付けることができる。 校正中に、θセンサは、θ方向におけるパドル33の回
転軸92に対してパドル33の中心線を校正するために(第
5A−5C図で示すように)使用される。 第5A図に示すように、パドル33が反時計回り方向に回
転し、パドル33の左手側のエッジがセンサ113上を通過
するとき、この左手側のエッジの位置が、センサ113に
よって検出される。 第5C図で示すようにパドル33が時計回り方向に回転
し、パドル33の右手側のエッジがセンサ113上を通過す
るとき、この右手側のエッジの位置は、センサ113によ
って検出される。 パドルの側部エッジ位置は(図で示すように)それぞ
れ角度θ及びθとして表わされ、パドル33の中心線
の位置は従って角度θとθとの間の中間にある。 当然に、第5A−5C図を参照して、パドル33がパドルの
中心線とウエーハの実際の中心とを完全に整列させて各
ウエーハを取り上げることが望ましい。しかし、実用
上、ウエーハの中心はパドル33の中心線から横方向に外
れることがあり、この横方向の「ずれ」の量が、上述し
たように、コーティング等の物質を欠損したり剥離する
力で1箇所以上のスロット表面にウエーハのエッジを衝
突させる、という問題を発生させる。従って、この横方
向の「ずれ」を測定して、上述のような接触(又は衝
突)を防止するために、「ずれ」を補償しなければなら
ない。パドルの中心に関する実際のウエーハの中心の横
方向の「ずれ」を測定し且つ補償することは、ウエーハ
のボートからカセットへの移送において重要なことであ
る。本発明は、また、このウエハ移送動作における「ず
れ」を補償することを特徴とする。 横方向の「ずれ」は、2回測定される。 第1の測定は、カセット内のウエーハの予測位置(上
述のとおり、通常は単に最上部スロット)及びボートの
最上部、最下部及び中間部スロット内のウエーハの予測
位置(上述のとおり)を校正する過程における動作より
以前に行なわれる。 第2の測定は横方向の「ずれ」に関し、送り元から送
り先への各ウエーハの各移送に関連した動作中に行なわ
れる。 第6A図から第6C図までを参照して、最初に、θセンサ
113がウエーハ35の左手側のエッジを検出するまで(第6
A図で示すように)反時計回りのθ方向にパドルを回転
させる。このとき、センサが角度θを指示する。 次に、第6C図で示すように、パドル33を時計回りの方
向に回転し、ウエーハ35の右手側のエッジを感知させ、
角度θを測定する。 次に、ウエーハの実際の中心は、2つの検出された角
度の間の中間点となる。ウエーハのこの中心線の角度が
パドル33の中心線の予め計算された角度と異なる場合、
制御装置31は次に、適切な角度方向にパドル33を回転さ
せてパドルの中心とパドル上に保持されたウエーハの中
心との間の横方向「ずれ」を無くす。これにより、ウエ
ーハは、上述のような接触もなくボート39又はカセット
37内のスロットに移送される。 要約すると、最初の校正が、各カセット及び各ボート
に対して行なわれ、カセット又はボートのスロット内の
各ウエーハの中心の予測位置を決定する。カセットを校
正する場合は、通常、カセットの最上部スロットでのウ
エーハの中心の位置だけを校正するだけで十分である。
ボートを校正する場合は、一般に、上述のとおり、第3
図で示すように、ボートの最上部スロット、中間部スロ
ット、及び最下部スロットを校正することが望ましい。 次に、θセンサ113は、校正した中心からのパドル33
上のウエーハの実際の中心のあらゆる横方向の「ずれ」
を検出し測定するために使用され、θ駆動ユニットは、
ウエーハ35がボート又はカセット内のスロットに挿入さ
れる以前にこのような横方向の「ずれ」を補償するため
に適切な方向に駆動される。 本発明の好適実施例を図示して説明してきたが、これ
らの変更及び変形が可能であり、従って詳細な説明に制
限されるものではなく、特許請求の範囲内でそのような
変更及び変形を行なえることを理解されたい。
【図面の簡単な説明】 第1図は、本発明の実施例に従って構成されるファーネ
ス装置の詳細な構成を示す。 第2図は、第1図のファーネス装置のウエーハ移送モジ
ュール機構の詳細な構成を示す。 第3図は、第1図のファーネス装置内で使用されるボー
トの校正中にウエーハがボートのスロットに挿入し又は
取り出す方法を詳細に示す説明図である。 第4図は、第3図の4−4線部分拡大図である。 第5A図〜第5C図は、第1図のウエーハ移送モジュール機
構のパドルがパドルの中心を校正する行程でθセンサよ
り上で円弧を成して移動される方法を示す部分拡大平面
図である。 第6A図〜第6C図は、θセンサがパドル上で保持されるウ
エーハの実際の中心を見つけるために用いられる方法を
示す部分拡大平面図(第5A図〜第5C図に対応する)であ
る。次に、ウエーハの中心に対するパドルの中心のあら
ゆる横方向「ずれ」が、第1図で示すウエーハ移送モジ
ュール機構によって補償されて除去される。 主要符号 21……ファーネス装置 23……垂直型チャンバ 25……ボート交換ユニット(機構) 27……カセット保持装置 29……ウエーハ移送モジュール機構 31……制御装置 33……パドル 35……ウエーハ 37……カセット 39……ボート 41……回転式テーブル 43……チャンバ側ボート位置 45……昇降器 47……リフトアーム 53……リフトねじ 65……駆動機構 71……石英製棒 73……スロット 83……ポート 85……R駆動モータ 89……支持板 91……シャフト 92……軸線 97……θ駆動モータ 101……リフトアーム 103……レール 107……Z駆動モータ 111……Rセンサ 113……θセンサ
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ジェームズ・イー・ハーリンガー アメリカ合衆国カリフォルニア州パロ・ アルト、パーキンソン1131 (72)発明者 カツヒト・ニシカワ アメリカ合衆国カリフォルニア州サン・ ホセ、ポーツウッド・ドライブ776 (72)発明者 ドナルド・エル・シューマン アメリカ合衆国カリフォルニア州サラト ガ、ブロックトン・レイン19500 (72)発明者 ギャリー・ダブリュ・イー アメリカ合衆国カリフォルニア州サン タ・クララ、リリック・ドライブ3700 (56)参考文献 特開 昭60−258459(JP,A) 特開 昭59−100549(JP,A) 特開 昭61−90887(JP,A) 特開 昭61−184841(JP,A)

Claims (1)

  1. (57)【特許請求の範囲】 1.垂直チャンバ;ウエーハを保持するためのスロット
    を有するカセット;前記垂直チャンバで処理される多数
    のウエーハを保持するためのスロットを有するボートで
    あって、前記垂直チャンバの下方端を通じて前記垂直チ
    ャンバの内部及び外部へ出し入れできる形状を有するボ
    ート;及びチャンバ側ボート位置に位置した前記ボート
    を前記垂直チャンバの内部へと上昇し、前記ボートを前
    記垂直チャンバの内部から下降させるための昇降器手段
    を有するファーネス装置に具備したウエーハ移送装置で
    あって、 当該ウエーハ移送装置が、 ウエーハ積載位置に位置する前記ボートと前記カセット
    との間でウエーハを移送するための手段と、 前記ウエーハ積載位置と前記チャンバ側ボート位置との
    間で前記ボートを運搬するための手段とから成り、 前記ウエーハ積載位置と前記チャンバ側ボート位置との
    間で前記ボートを運搬するための前記手段が、前記ボー
    トを支持するための少なくとも1個のボート支持部を有
    する回転可能な回転式テーブルから成り、前記ボートを
    前記ボート支持部に支持し、前記回転式テーブルを回転
    させて、前記ボートを前記ウエーハ積載位置と前記チャ
    ンバ側ボート位置との間で運搬することを特徴とする、 ところのウエーハ移送装置。 2.特許請求の範囲第1項記載のウエーハ移送装置であ
    って、 前記ボート支持部が、前記回転式テーブル上の第1の位
    置と第2の位置に設けられ、前記第1の位置で第1の前
    記ボートを支持し、前記第1の位置とは異なった前記回
    転式テーブルの第2の位置で第2の前記ボートを支持す
    ることができ、これにより、前記第1の前記ボートが前
    記ウエーハ積載位置にあるとき、前記第1の前記ボート
    内にウエーハを積載し又は前記第1の前記ボートからウ
    エーハを取り出すことができ、前記チャンバ側ボート位
    置にある前記第2の前記ボートは、前記回転式テーブル
    の前記第2の位置から取り外されて前記昇降器手段によ
    って前記垂直チャンバの内部へ上昇され又は前記垂直チ
    ャンバから前記昇降器手段によって下降された前記ボー
    トを前記回転式テーブルの前記第2の位置で支持するこ
    とができる、 ところのウエーハ移送装置。 3.垂直チャンバ;ウエーハを保持するためのスロット
    を有するカセット;前記垂直チャンバで処理される多数
    のウエーハを保持するためのスロットを有するボートで
    あって、前記垂直チャンバの下方端を通じて前記垂直チ
    ャンバの内部及び外部へ出し入れできる形状を有するボ
    ート;ウエーハ積載位置に位置する前記ボートと前記カ
    セットとの間でウエーハを移送するための手段;前記ウ
    エーハ積載位置とチャンバ側ボート位置との間で前記ボ
    ートを運搬するための手段;及び前記チャンバ側ボート
    位置に位置した前記ボートを前記垂直チャンバの内部へ
    と上昇し、前記ボートを前記垂直チャンバの内部から下
    降させるための昇降器手段、から成るファーネス装置に
    おいて、ウエーハを移送するためのウエーハ移送方法で
    あって、 前記カセットの前記スロットと、前記ボートの前記スロ
    ットとの間でウエーハを移送する工程;及び 前記ウエーハ積載位置と前記チャンバ側ボート位置との
    間で前記ボートを運搬する工程、 から成り、 前記ボートを運搬する前記工程が、回転式テーブルに設
    けた少なくとも1個のボート支持部に前記ボートを支持
    し、前記回転式テーブルを回転することによって行われ
    ることを特徴とする、 ウエーハ移送方法。 4.特許請求の範囲第3項記載のウエーハ移送方法であ
    って、 前記ボート支持部が、前記回転式テーブル上の第1の位
    置と第2の位置に設けられ、前記第1の位置で第1の前
    記ボートを支持し、前記第1の位置とは異なった前記回
    転式テーブルの第2の位置で第2の前記ボートを支持す
    ることができ、これにより、前記第1の前記ボートが前
    記ウエーハ積載位置にあるとき、前記第1の前記ボート
    内にウエーハを積載し又は前記第1の前記ボートからウ
    エーハを取り出すことができ、前記チャンバ側ボート位
    置にある前記第2の前記ボートは、前記回転式テーブル
    の前記第2の位置から取り外されて前記昇降器手段によ
    って前記垂直チャンバの内部へ上昇され又は前記垂直チ
    ャンバから前記昇降器手段によって下降された前記ボー
    トを前記回転式テーブルの前記第2の位置で支持するこ
    とができる、 ところのウエーハ移送方法。 5.垂直チャンバ;ウエーハを保持するためのスロット
    を有するカセット;前記垂直チャンバで処理される多数
    のウエーハを保持するためのスロットを有するボートで
    あって、前記垂直チャンバの下方端を通じて前記垂直チ
    ャンバの内部及び外部へ出し入れできる形状を有するボ
    ート;及びチャンバ側ボート位置に位置した前記ボート
    を前記垂直チャンバの内部へと上昇し、前記ボートを前
    記垂直チャンバの内部から下降させるための昇降器手段
    を有するファーネス装置に具備したウエーハ移送装置で
    あって、 当該ウエーハ移送装置が、 ウエーハ積載位置に位置する前記ボートと前記カセット
    との間でウエーハを移送するための手段と、 前記ウエーハ積載位置と前記チャンバ側ボート位置との
    間で前記ボートを運搬するための手段とから成り、 前記ウエーハ積載位置に位置する前記ボートと前記カセ
    ットとの間でウエーハを移送するための前記手段が: 前記カセットの前記スロットにおけるウエーハの予測中
    心位置と、前記ボートの前記スロットにおけるウエーハ
    の予測中心位置とが予めわかっており、 (1)円弧状に回動可能で半径方向に移動可能な、ウエ
    ーハを支持するためのパドル、 (2)前記カセットの前記スロットにあるウエーハを支
    持した前記パドルを半径方向に移動して、前記カセット
    の前記スロットにおけるウエーハの予測中心位置と、前
    記パドルに支持した前記ウエーハの実際の中心位置との
    間の半径方向のズレを検出するための手段、 (3)前記ウエーハを支持した前記パドルを左右に回動
    し、前記パドル上に支持した前記ウエーハ周縁の2カ所
    の位置を感知して、予めわかっている前記パドルの回転
    方向の中心位置と、前記パドルに支持した前記ウエーハ
    の実際の中心位置との間の回転方向のズレを検出するた
    めの手段、及び (4)前記半径方向のズレ及び前記回転方向のズレを補
    償して、前記パドルに支持した前記ウエーハの実際の中
    心位置を前記ボートの前記スロットにおけるウエーハの
    予測中心位置に一致させるように、前記ウエーハを支持
    した前記パドルを前記ボートの前記スロットへと移動さ
    せるための制御手段、 から成る、 ところのウエーハ移送装置。 6.垂直チャンバ;ウエーハを保持するためのスロット
    を有するカセット;前記垂直チャンバで処理される多数
    のウエーハを保持するためのスロットを有するボートで
    あって、前記垂直チャンバの下方端を通じて前記垂直チ
    ャンバの内部及び外部へ出し入れできる形状を有するボ
    ート;及びチャンバ側ボート位置に位置した前記ボート
    を前記垂直チャンバの内部へと上昇し、前記ボートを前
    記垂直チャンバの内部から下降させるための昇降器手段
    を有するファーネス装置に具備したウエーハ移送装置で
    あって、 当該ウエーハ移送装置が、 ウエーハ積載位置に位置する前記ボートと前記カセット
    との間でウエーハを移送するための手段と、 前記ウエーハ積載位置と前記チャンバ側ボート位置との
    間で前記ボートを運搬するための手段とから成り、 前記ウエーハ積載位置に位置する前記ボートと前記カセ
    ットとの間でウエーハを移送するための前記手段が: 前記カセットの前記スロットにおけるウエーハの予測中
    心位置と、前記ボートの前記スロットにおけるウエーハ
    の予測中心位置とが予めわかっており、 (1)円弧状に回動可能で半径方向に移動可能な、ウエ
    ーハを支持するためのパドル、 (2)前記ボートの前記スロットにあるウエーハを支持
    した前記パドルを半径方向に移動して、前記ボートの前
    記スロットにおけるウエーハの予測中心位置と、前記パ
    ドルに支持した前記ウエーハの実際の中心位置との間の
    半径方向のズレを検出するための手段、 (3)前記ウエーハを支持した前記パドルを左右に回動
    し、前記パドル上に支持した前記ウエーハ周縁の2カ所
    の位置を感知して、予めわかっている前記パドルの回転
    方向の中心位置と、前記パドルに支持した前記ウエーハ
    の実際の中心位置との間の回転方向のズレを検出するた
    めの手段、及び (4)前記半径方向のズレ及び前記回転方向のズレを補
    償して、前記パドルに支持した前記ウエーハの実際の中
    心位置を前記カセットの前記スロットにおけるウエーハ
    の予測中心位置に一致させるように、前記ウエーハを支
    持した前記パドルを前記カセットの前記スロットへと移
    動させるための制御手段、 から成る、 ところのウエーハ移送装置。 7.垂直チャンバ;ウエーハを保持するためのスロット
    を有するカセット;前記垂直チャンバで処理される多数
    のウエーハを保持するためのスロットを有するボートで
    あって、前記垂直チャンバの下方端を通じて前記垂直チ
    ャンバの内部及び外部へ出し入れできる形状を有するボ
    ート;ウエーハ積載位置に位置する前記ボートと前記カ
    セットとの間でウエーハを移送するための手段;前記ウ
    エーハ積載位置とチャンバ側ボート位置との間で前記ボ
    ートを運搬するための手段;及び前記チャンバ側ボート
    位置に位置した前記ボートを前記垂直チャンバの内部へ
    と上昇し、前記ボートを前記垂直チャンバの内部から下
    降させるための昇降器手段、から成るファーネス装置に
    おいて、ウエーハを移送するためのウエーハ移送方法で
    あって、 前記カセットと前記ウエーハ積載位置に位置する前記ボ
    ートとの間でウエーハを移送する工程;及び 前記ウエーハ積載位置と前記チャンバ側ボート位置との
    間で前記ボートを運搬する工程、 から成り、 前記カセットと前記ウエーハ積載位置に位置する前記ボ
    ートとの間でウエーハを移送する前記工程が: 前記カセットの前記スロットにおけるウエーハの予測中
    心位置と、前記ボートの前記スロットにおけるウエーハ
    の予測中心位置とが予めわかっており、 (1)前記カセットの前記スロットにあるウエーハをパ
    ドルで支持し、前記パドルを半径方向に移動して、前記
    カセットの前記スロットにおけるウエーハの予測中心位
    置と、前記パドルに支持した前記ウエーハの実際の中心
    位置との間の半径方向のズレを検出する工程、 (2)前記ウエーハを支持した前記パドルを左右に回動
    し、前記パドル上に支持した前記ウエーハ周縁の2カ所
    の位置を感知して、予めわかっている前記パドルの回転
    方向の中心位置と、前記パドルに支持した前記ウエーハ
    の実際の中心位置との間の回転方向のズレを検出する工
    程、及び (3)前記半径方向のズレ及び前記回転方向のズレを補
    償して、前記パドルに支持した前記ウエーハの実際の中
    心位置を前記ボートの前記スロットにおけるウエーハの
    予測中心位置に一致させるように、前記ウエーハを支持
    した前記パドルを前記ボートへと移動させる工程、 から成る、 ところのウエーハ移送方法。 8.垂直チャンバ;ウエーハを保持するためのスロット
    を有するカセット;前記垂直チャンバで処理される多数
    のウエーハを保持するためのスロットを有するボートで
    あって、前記垂直チャンバの下方端を通じて前記垂直チ
    ャンバの内部及び外部へ出し入れできる形状を有するボ
    ート;ウエーハ積載位置に位置する前記ボートと前記カ
    セットとの間でウエーハを移送するための手段;前記ウ
    エーハ積載位置とチャンバ側ボート位置との間で前記ボ
    ートを運搬するための手段;及び前記チャンバ側ボート
    位置に位置した前記ボートを前記垂直チャンバの内部へ
    と上昇し、前記ボートを前記垂直チャンバの内部から下
    降させるための昇降器手段、から成るファーネス装置に
    おいて、ウエーハを移送するための方法であって、 前記カセットと前記ウエーハ積載位置に位置する前記ボ
    ートとの間でウエーハを移送する工程;及び 前記ウエーハ積載位置と前記チャンバ側ボート位置との
    間で前記ボートを運搬する工程、 から成り、 前記カセットと前記ウエーハ積載位置に位置する前記ボ
    ートとの間でウエーハを移送する前記工程が: 前記カセットの前記スロットにおけるウエーハの予測中
    心位置と、前記ボートの前記スロットにおけるウエーハ
    の予測中心位置とが予めわかっており、 (1)前記ボートの前記スロットにあるウエーハをパド
    ルで支持し、前記パドルを半径方向に移動して、前記ボ
    ートの前記スロットにおけるウエーハの予測中心位置
    と、前記パドルに支持した前記ウエーハの実際の中心位
    置との間の半径方向のズレを検出する工程、 (2)前記ウエーハを支持した前記パドルを左右に回動
    し、前記パドル上に支持した前記ウエーハ周縁の2カ所
    の位置を感知して、予めわかっている前記パドルの回転
    方向の中心位置と、前記パドルに支持した前記ウエーハ
    の実際の中心位置との間の回転方向のズレを検出する工
    程、及び (3)前記半径方向のズレ及び前記回転方向のズレを補
    償して、前記パドルに支持した前記ウエーハの実際の中
    心位置を前記カセットの前記スロットにおけるウエーハ
    の予測中心位置に一致させるように、前記ウエーハを支
    持した前記パドルを前記カセットの前記スロットへと移
    動させる工程、 から成る、 ところのウエーハ移送方法。
JP62117197A 1986-05-16 1987-05-15 ウェーハ移送方法及び装置 Expired - Lifetime JP2681055B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US06/864,077 US4770590A (en) 1986-05-16 1986-05-16 Method and apparatus for transferring wafers between cassettes and a boat
US864077 1986-05-16

Publications (2)

Publication Number Publication Date
JPS6324615A JPS6324615A (ja) 1988-02-02
JP2681055B2 true JP2681055B2 (ja) 1997-11-19

Family

ID=25342478

Family Applications (1)

Application Number Title Priority Date Filing Date
JP62117197A Expired - Lifetime JP2681055B2 (ja) 1986-05-16 1987-05-15 ウェーハ移送方法及び装置

Country Status (7)

Country Link
US (1) US4770590A (ja)
JP (1) JP2681055B2 (ja)
KR (1) KR870011667A (ja)
DE (1) DE3715601C2 (ja)
FR (2) FR2598793B1 (ja)
GB (2) GB2190345B (ja)
IT (1) IT1206283B (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7198447B2 (en) 2002-09-12 2007-04-03 Hitachi Kokusai Electric Inc. Semiconductor device producing apparatus and producing method of semiconductor device
US7416405B2 (en) 2004-06-30 2008-08-26 Tokyo Electron Limited Vertical type of thermal processing apparatus and method of using the same
JP2011018908A (ja) * 2010-07-15 2011-01-27 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
KR20150092110A (ko) * 2012-12-04 2015-08-12 고쿠리츠켄큐카이하츠호진 상교기쥬츠 소고켄큐쇼 기판 반송 전실 기구
US20200381281A1 (en) * 2019-05-29 2020-12-03 Tokyo Electron Limited Transfer method and transfer system

Families Citing this family (431)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5259881A (en) * 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US5013385A (en) * 1986-04-18 1991-05-07 General Signal Corporation Quad processor
US6103055A (en) * 1986-04-18 2000-08-15 Applied Materials, Inc. System for processing substrates
US5308431A (en) * 1986-04-18 1994-05-03 General Signal Corporation System providing multiple processing of substrates
US5102495A (en) * 1986-04-18 1992-04-07 General Signal Corporation Method providing multiple-processing of substrates
EP0246453A3 (en) * 1986-04-18 1989-09-06 General Signal Corporation Novel multiple-processing and contamination-free plasma etching system
JPH0666376B2 (ja) * 1987-06-17 1994-08-24 国際電気株式会社 縦形半導体製造装置におけるウェ−ハ搬送方法
KR960001161B1 (ko) * 1987-09-29 1996-01-19 도오교오 에레구토론 사가미 가부시끼가이샤 열처리장치
JPH0620097B2 (ja) * 1987-10-20 1994-03-16 富士通株式会社 ウエハ位置決め装置
JPH0617295Y2 (ja) * 1987-11-27 1994-05-02 大日本スクリーン製造株式会社 基板受け渡し装置
US4955775A (en) * 1987-12-12 1990-09-11 Tel Sagami Limited Semiconductor wafer treating apparatus
JP2539472B2 (ja) * 1987-12-23 1996-10-02 株式会社日立製作所 被処理物収納部支持機構
JP2570756Y2 (ja) * 1988-02-12 1998-05-13 国際電気株式会社 縦型cvd・拡散装置
DE3805321C1 (ja) * 1988-02-20 1989-03-02 W.C. Heraeus Gmbh, 6450 Hanau, De
JP2502661B2 (ja) * 1988-03-04 1996-05-29 松下電器産業株式会社 気相成長装置
US5069591A (en) * 1988-03-24 1991-12-03 Tel Sagami Limited Semiconductor wafer-processing apparatus
US5064337A (en) * 1988-07-19 1991-11-12 Tokyo Electron Limited Handling apparatus for transferring carriers and a method of transferring carriers
US5277539A (en) * 1988-09-30 1994-01-11 Canon Kabushiki Kaisha Substrate conveying apparatus
JP2784436B2 (ja) * 1988-10-17 1998-08-06 東京エレクトロン株式会社 基板の熱処理方法
US4952299A (en) * 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
JP2688604B2 (ja) * 1988-11-11 1997-12-10 東京エレクトロン株式会社 処理装置
US5076205A (en) * 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
JP2683675B2 (ja) * 1989-01-26 1997-12-03 東京エレクトロン株式会社 搬送装置
JPH07105357B2 (ja) * 1989-01-28 1995-11-13 国際電気株式会社 縦型cvd拡散装置に於けるウェーハ移載方法及び装置
US5217340A (en) * 1989-01-28 1993-06-08 Kokusai Electric Co., Ltd. Wafer transfer mechanism in vertical CVD diffusion apparatus
NL8900544A (nl) * 1989-03-06 1990-10-01 Asm Europ Behandelingsstelsel, behandelingsvat en werkwijze voor het behandelen van een substraat.
FR2644237B1 (fr) * 1989-03-07 1991-06-14 Doeuvre Jean Pierre Appareil automatique de controle dimensionnel de nacelles porte-plaquettes
JP2639435B2 (ja) * 1989-03-20 1997-08-13 東京エレクトロン株式会社 熱処理装置
DE3912296C2 (de) * 1989-04-14 1996-07-18 Leybold Ag Vorrichtung zur Aufnahme und Halterung von Substraten
DE3912295C2 (de) * 1989-04-14 1997-05-28 Leybold Ag Katodenzerstäubungsanlage
DE3912297C2 (de) * 1989-04-14 1996-07-18 Leybold Ag Katodenzerstäubungsanlage
JP2979230B2 (ja) * 1989-06-16 1999-11-15 東京エレクトロン株式会社 縦型熱処理装置
US5044752A (en) * 1989-06-30 1991-09-03 General Signal Corporation Apparatus and process for positioning wafers in receiving devices
US5110248A (en) * 1989-07-17 1992-05-05 Tokyo Electron Sagami Limited Vertical heat-treatment apparatus having a wafer transfer mechanism
JP2639436B2 (ja) * 1989-07-17 1997-08-13 東京エレクトロン株式会社 熱処理装置
US5254170A (en) * 1989-08-07 1993-10-19 Asm Vt, Inc. Enhanced vertical thermal reactor system
JPH03125453A (ja) * 1989-10-09 1991-05-28 Toshiba Corp 半導体ウエハ移送装置
US4994666A (en) * 1989-12-21 1991-02-19 Disctronics Manufacturing, Inc. Optical disc counter
FR2656598B1 (fr) * 1989-12-29 1992-03-27 Commissariat Energie Atomique Dispositif de chargement et de dechargement d'objets plats dans une cassette de rangement.
US5021675A (en) * 1990-02-05 1991-06-04 Dresser Industries, Inc. Photoelectric mensuration device and method for determining PDC cutter wear
JPH0797564B2 (ja) * 1990-02-21 1995-10-18 国際電気株式会社 縦型半導体製造装置
US5135349A (en) * 1990-05-17 1992-08-04 Cybeq Systems, Inc. Robotic handling system
JP2704309B2 (ja) * 1990-06-12 1998-01-26 大日本スクリーン製造株式会社 基板処理装置及び基板の熱処理方法
DE4024973C2 (de) * 1990-08-07 1994-11-03 Ibm Anordnung zum Lagern, Transportieren und Einschleusen von Substraten
KR0147387B1 (ko) * 1990-09-25 1998-11-02 이노우에 다케시 종형 열처리 장치
US5310339A (en) * 1990-09-26 1994-05-10 Tokyo Electron Limited Heat treatment apparatus having a wafer boat
JP3058901B2 (ja) * 1990-09-26 2000-07-04 東京エレクトロン株式会社 熱処理装置
US5320680A (en) * 1991-04-25 1994-06-14 Silicon Valley Group, Inc. Primary flow CVD apparatus comprising gas preheater and means for substantially eddy-free gas flow
JP2697364B2 (ja) * 1991-04-30 1998-01-14 株式会社村田製作所 熱処理システム
US5275521A (en) * 1991-07-03 1994-01-04 Tokyo Electron Sagami Limited Wafer transfer device
JP2532533Y2 (ja) * 1991-10-08 1997-04-16 三菱自動車工業株式会社 ワーク搬送系のインターロック装置
US5387265A (en) * 1991-10-29 1995-02-07 Kokusai Electric Co., Ltd. Semiconductor wafer reaction furnace with wafer transfer means
JP2947380B2 (ja) * 1992-01-22 1999-09-13 東京応化工業株式会社 プラズマ処理装置
US5271702A (en) * 1992-02-03 1993-12-21 Environmental Research Institute Of Michigan Robotic substrate manipulator
US6473157B2 (en) * 1992-02-07 2002-10-29 Nikon Corporation Method of manufacturing exposure apparatus and method for exposing a pattern on a mask onto a substrate
US6048655A (en) * 1992-02-07 2000-04-11 Nikon Corporation Method of carrying and aligning a substrate
US5445486A (en) * 1992-03-29 1995-08-29 Tokyo Electron Sagami Limited Substrate transferring apparatus
US5299901A (en) * 1992-04-16 1994-04-05 Texas Instruments Incorporated Wafer transfer machine
US5409348A (en) * 1992-05-15 1995-04-25 Tokyo Electron Limited Substrate transfer method
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
KR940006241A (ko) * 1992-06-05 1994-03-23 이노우에 아키라 기판이재장치 및 이재방법
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
JP3177035B2 (ja) * 1992-11-26 2001-06-18 東京エレクトロン株式会社 縦型熱処理装置
FR2698683B1 (fr) * 1992-12-01 1995-02-17 Europ Propulsion Dispositif de chargement de four spatial automatique.
DE4304301A1 (de) * 1993-02-12 1994-08-18 Suess Kg Karl Transportsystem und -verfahren für zueinander auszurichtende Objekte
US5314662A (en) * 1993-03-08 1994-05-24 Leco Corporation Sample autoloader for use with an analytical combustion furnace
JP2913439B2 (ja) * 1993-03-18 1999-06-28 東京エレクトロン株式会社 移載装置及び移載方法
DE4309092C2 (de) * 1993-03-22 1998-11-12 Joachim Dr Scheerer Verfahren und Vorrichtung zur Handhabung und zum Transport von Wafern in Reinst-Räumen
US5563798A (en) * 1994-04-05 1996-10-08 Applied Materials, Inc. Wafer positioning system
DE4430844C1 (de) * 1994-08-31 1996-02-22 Jenoptik Technologie Gmbh Beschickungseinrichtung für Halbleiterbearbeitungsanlagen
DE4430846C2 (de) * 1994-08-31 1997-04-10 Jenoptik Jena Gmbh Einrichtung zur Umsetzung eines Transportobjektes zwischen zwei Endlagen
JP3530986B2 (ja) * 1995-06-22 2004-05-24 東京エレクトロン株式会社 移載アームのティーチング方法及び熱処理装置
KR100315007B1 (ko) * 1995-11-22 2002-02-28 이시다 아키라 카세트내의 기판 검출 및 반송장치와 그 방법
US5768125A (en) * 1995-12-08 1998-06-16 Asm International N.V. Apparatus for transferring a substantially circular article
US5746565A (en) * 1996-01-22 1998-05-05 Integrated Solutions, Inc. Robotic wafer handler
US6121743A (en) * 1996-03-22 2000-09-19 Genmark Automation, Inc. Dual robotic arm end effectors having independent yaw motion
US5789890A (en) * 1996-03-22 1998-08-04 Genmark Automation Robot having multiple degrees of freedom
US5810549A (en) * 1996-04-17 1998-09-22 Applied Materials, Inc. Independent linear dual-blade robot and method for transferring wafers
JP3287768B2 (ja) * 1996-05-24 2002-06-04 株式会社新川 マガジン用エレベータ装置の上下動作データ設定方法
US5674039A (en) * 1996-07-12 1997-10-07 Fusion Systems Corporation System for transferring articles between controlled environments
US6749391B2 (en) 1996-07-15 2004-06-15 Semitool, Inc. Microelectronic workpiece transfer devices and methods of using such devices in the processing of microelectronic workpieces
WO2000002808A1 (en) * 1998-07-11 2000-01-20 Semitool, Inc. Robots for microelectronic workpiece handling
US6322119B1 (en) 1999-07-09 2001-11-27 Semitool, Inc. Robots for microelectronic workpiece handling
US5980194A (en) * 1996-07-15 1999-11-09 Applied Materials, Inc. Wafer position error detection and correction system
US6749390B2 (en) 1997-12-15 2004-06-15 Semitool, Inc. Integrated tools with transfer devices for handling microelectronic workpieces
US6921467B2 (en) * 1996-07-15 2005-07-26 Semitool, Inc. Processing tools, components of processing tools, and method of making and using same for electrochemical processing of microelectronic workpieces
US6318951B1 (en) 1999-07-09 2001-11-20 Semitool, Inc. Robots for microelectronic workpiece handling
US6752584B2 (en) * 1996-07-15 2004-06-22 Semitool, Inc. Transfer devices for handling microelectronic workpieces within an environment of a processing machine and methods of manufacturing and using such devices in the processing of microelectronic workpieces
KR100203782B1 (ko) * 1996-09-05 1999-06-15 윤종용 반도체 웨이퍼 열처리장치
US5769184A (en) * 1996-09-27 1998-06-23 Brooks Automation, Inc. Coaxial drive elevator
JPH10112490A (ja) * 1996-10-03 1998-04-28 Nidek Co Ltd 半導体ウェハ搬送装置
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US6082950A (en) * 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
JPH10230398A (ja) * 1997-02-20 1998-09-02 Minster Mach Co:The プレス生産モニタシステムおよび方法
JPH10233426A (ja) * 1997-02-20 1998-09-02 Tokyo Electron Ltd 自動ティ−チング方法
NL1005410C2 (nl) 1997-02-28 1998-08-31 Advanced Semiconductor Mat Stelsel voor het laden, behandelen en ontladen van op een drager aangebrachte substraten.
US6432203B1 (en) * 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
US5944476A (en) * 1997-03-26 1999-08-31 Kensington Laboratories, Inc. Unitary specimen prealigner and continuously rotatable multiple link robot arm mechanism
US6005225A (en) * 1997-03-28 1999-12-21 Silicon Valley Group, Inc. Thermal processing apparatus
US6354791B1 (en) 1997-04-11 2002-03-12 Applied Materials, Inc. Water lift mechanism with electrostatic pickup and method for transferring a workpiece
JP2001509643A (ja) * 1997-07-11 2001-07-24 ジェンマーク・オートメーション 複数ポイント位置走査システム
JPH1140694A (ja) 1997-07-16 1999-02-12 Oki Electric Ind Co Ltd 半導体パッケージおよび半導体装置とその製造方法
US6890796B1 (en) 1997-07-16 2005-05-10 Oki Electric Industry Co., Ltd. Method of manufacturing a semiconductor package having semiconductor decice mounted thereon and elongate opening through which electodes and patterns are connected
US5993148A (en) * 1997-07-22 1999-11-30 Micron Technology, Inc. Article transfer methods
JPH1154588A (ja) * 1997-07-30 1999-02-26 Tokyo Electron Ltd 基板搬送装置およびそれを用いた基板処理装置
US6126380A (en) * 1997-08-04 2000-10-03 Creative Design Corporation Robot having a centering and flat finding means
US6183186B1 (en) 1997-08-29 2001-02-06 Daitron, Inc. Wafer handling system and method
US5915910A (en) * 1997-08-29 1999-06-29 Daitron, Inc. Semiconductor wafer transfer method and apparatus
TW398024B (en) * 1997-09-01 2000-07-11 United Microelectronics Corp Method to prevent stepper fetch arm from scratching the wafer back and its control device
US6002840A (en) * 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
US6205870B1 (en) 1997-10-10 2001-03-27 Applied Komatsu Technology, Inc. Automated substrate processing systems and methods
US6312312B1 (en) * 1997-10-20 2001-11-06 Ebara Corporation Polishing apparatus
US5948986A (en) * 1997-12-26 1999-09-07 Applied Materials, Inc. Monitoring of wafer presence and position in semiconductor processing operations
US6059567A (en) * 1998-02-10 2000-05-09 Silicon Valley Group, Inc. Semiconductor thermal processor with recirculating heater exhaust cooling system
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6065128A (en) * 1998-04-09 2000-05-16 Cypress Semiconductor Corp. Anti-wafer breakage detection system
US5952670A (en) * 1998-04-09 1999-09-14 Cypress Semiconductor Corp. Anti-wafer breakage detection system
WO1999064207A1 (en) * 1998-06-08 1999-12-16 Genmark Automation, Inc. Prealigner for substrates in a robotic system
US6497801B1 (en) 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
US6444974B1 (en) * 1998-07-17 2002-09-03 Asahi Glass Company Ltd. Method for transferring a dummy wafer
US6489741B1 (en) 1998-08-25 2002-12-03 Genmark Automation, Inc. Robot motion compensation system
US6298280B1 (en) * 1998-09-28 2001-10-02 Asyst Technologies, Inc. Method for in-cassette wafer center determination
NL1010317C2 (nl) * 1998-10-14 2000-05-01 Asm Int Sorteer/opslaginrichting voor wafers en werkwijze voor het hanteren daarvan.
JP3664897B2 (ja) 1998-11-18 2005-06-29 東京エレクトロン株式会社 縦型熱処理装置
US7020537B2 (en) 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7160421B2 (en) * 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7264698B2 (en) 1999-04-13 2007-09-04 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US7351314B2 (en) 2003-12-05 2008-04-01 Semitool, Inc. Chambers, systems, and methods for electrochemically processing microfeature workpieces
US7351315B2 (en) 2003-12-05 2008-04-01 Semitool, Inc. Chambers, systems, and methods for electrochemically processing microfeature workpieces
US6916412B2 (en) 1999-04-13 2005-07-12 Semitool, Inc. Adaptable electrochemical processing chamber
TW527444B (en) 1999-04-13 2003-04-11 Semitool Inc System for electrochemically processing a workpiece
US7438788B2 (en) 1999-04-13 2008-10-21 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US7189318B2 (en) 1999-04-13 2007-03-13 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7585398B2 (en) 1999-04-13 2009-09-08 Semitool, Inc. Chambers, systems, and methods for electrochemically processing microfeature workpieces
US6763281B2 (en) 1999-04-19 2004-07-13 Applied Materials, Inc Apparatus for alignment of automated workpiece handling systems
TW469483B (en) * 1999-04-19 2001-12-21 Applied Materials Inc Method and apparatus for aligning a cassette
US6239863B1 (en) 1999-10-08 2001-05-29 Silicon Valley Group, Inc. Removable cover for protecting a reticle, system including and method of using the same
US6467827B1 (en) 1999-10-30 2002-10-22 Frank J. Ardezzone IC wafer handling apparatus incorporating edge-gripping and pressure or vacuum driven end-effectors
US6949143B1 (en) 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
JP2001284276A (ja) * 2000-03-30 2001-10-12 Hitachi Kokusai Electric Inc 基板処理装置
KR100558570B1 (ko) * 2000-04-03 2006-03-13 삼성전자주식회사 반도체 제조설비에서 보트내 웨이퍼 배치용량 증가방법
US6689257B2 (en) * 2000-05-26 2004-02-10 Ebara Corporation Substrate processing apparatus and substrate plating apparatus
US6355577B1 (en) * 2000-05-30 2002-03-12 Lsi Logice Corporation System to reduce particulate contamination
US7074640B2 (en) 2000-06-06 2006-07-11 Simon Fraser University Method of making barrier layers
US6696363B2 (en) 2000-06-06 2004-02-24 Ekc Technology, Inc. Method of and apparatus for substrate pre-treatment
US7067346B2 (en) * 2000-06-06 2006-06-27 Simon Foster University Titanium carboxylate films for use in semiconductor processing
US7176114B2 (en) * 2000-06-06 2007-02-13 Simon Fraser University Method of depositing patterned films of materials using a positive imaging process
US7427529B2 (en) * 2000-06-06 2008-09-23 Simon Fraser University Deposition of permanent polymer structures for OLED fabrication
CN1268177C (zh) 2000-06-06 2006-08-02 西蒙弗雷泽大学 硬掩模的形成方法
US6468022B1 (en) * 2000-07-05 2002-10-22 Integrated Dynamics Engineering, Inc. Edge-gripping pre-aligner
KR20020019414A (ko) * 2000-09-05 2002-03-12 엔도 마코토 기판 처리 장치 및 기판 처리 장치를 이용한 반도체디바이스 제조 방법
JP2004523880A (ja) * 2000-09-15 2004-08-05 アプライド マテリアルズ インコーポレイテッド 処理装置用ダブル二重スロット式ロードロック
US6435807B1 (en) * 2000-12-14 2002-08-20 Genmark Automation Integrated edge gripper
KR100597035B1 (ko) * 2001-03-01 2006-07-04 에이에스엠엘 네델란즈 비.브이. 마스크핸들링방법, 마스크, 그를 위한 그리퍼를 포함하는기구 또는 장치, 디바이스 제조방법 및 그 디바이스
US7008802B2 (en) * 2001-05-29 2006-03-07 Asm America, Inc. Method and apparatus to correct water drift
US7281741B2 (en) * 2001-07-13 2007-10-16 Semitool, Inc. End-effectors for handling microelectronic workpieces
US7334826B2 (en) * 2001-07-13 2008-02-26 Semitool, Inc. End-effectors for handling microelectronic wafers
DE10134780A1 (de) * 2001-07-17 2003-02-06 Hauni Maschinenbau Ag Transportvorrichtung
JP4731755B2 (ja) * 2001-07-26 2011-07-27 東京エレクトロン株式会社 移載装置の制御方法および熱処理方法並びに熱処理装置
US7090751B2 (en) 2001-08-31 2006-08-15 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
CN1996552B (zh) * 2001-08-31 2012-09-05 克罗辛自动化公司 晶片机
US7066707B1 (en) * 2001-08-31 2006-06-27 Asyst Technologies, Inc. Wafer engine
US7316966B2 (en) 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
US7304720B2 (en) * 2002-02-22 2007-12-04 Asml Holding N.V. System for using a two part cover for protecting a reticle
US20030159921A1 (en) * 2002-02-22 2003-08-28 Randy Harris Apparatus with processing stations for manually and automatically processing microelectronic workpieces
US6991710B2 (en) 2002-02-22 2006-01-31 Semitool, Inc. Apparatus for manually and automatically processing microelectronic workpieces
US6835039B2 (en) * 2002-03-15 2004-12-28 Asm International N.V. Method and apparatus for batch processing of wafers in a furnace
US6900877B2 (en) * 2002-06-12 2005-05-31 Asm American, Inc. Semiconductor wafer position shift measurement and correction
US20060043750A1 (en) * 2004-07-09 2006-03-02 Paul Wirth End-effectors for handling microfeature workpieces
US20070014656A1 (en) * 2002-07-11 2007-01-18 Harris Randy A End-effectors and associated control and guidance systems and methods
US6976822B2 (en) * 2002-07-16 2005-12-20 Semitool, Inc. End-effectors and transfer devices for handling microelectronic workpieces
US7256375B2 (en) * 2002-08-30 2007-08-14 Asm International N.V. Susceptor plate for high temperature heat treatment
DE10312359A1 (de) * 2003-03-20 2004-09-30 ELTRA Entwicklungs- und Vertriebsgesellschaft von elektronischen und physikalischen Geräten mbH Feststoffprobengeber für analytische Zwecke
US7033126B2 (en) * 2003-04-02 2006-04-25 Asm International N.V. Method and apparatus for loading a batch of wafers into a wafer boat
JP4028814B2 (ja) * 2003-04-21 2007-12-26 川崎重工業株式会社 マッピング装置
JP4667376B2 (ja) * 2003-07-02 2011-04-13 クック インコーポレイテッド 小ゲージ針カテーテル挿入器具
US7181132B2 (en) 2003-08-20 2007-02-20 Asm International N.V. Method and system for loading substrate supports into a substrate holder
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7230702B2 (en) * 2003-11-13 2007-06-12 Applied Materials, Inc. Monitoring of smart pin transition timing
US20050111944A1 (en) * 2003-11-25 2005-05-26 Marc Aho Compact wafer handling system with single axis robotic arm and prealigner-cassette elevator
JP4047826B2 (ja) 2004-03-25 2008-02-13 東京エレクトロン株式会社 縦型熱処理装置及び移載機構の自動教示方法
US7497414B2 (en) 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
US20070020080A1 (en) * 2004-07-09 2007-01-25 Paul Wirth Transfer devices and methods for handling microfeature workpieces within an environment of a processing machine
US20060060145A1 (en) * 2004-09-17 2006-03-23 Van Den Berg Jannes R Susceptor with surface roughness for high temperature substrate processing
US20060065634A1 (en) * 2004-09-17 2006-03-30 Van Den Berg Jannes R Low temperature susceptor cleaning
JP4266197B2 (ja) * 2004-10-19 2009-05-20 東京エレクトロン株式会社 縦型熱処理装置
US7748542B2 (en) * 2005-08-31 2010-07-06 Applied Materials, Inc. Batch deposition tool and compressed boat
US7933685B1 (en) * 2006-01-10 2011-04-26 National Semiconductor Corporation System and method for calibrating a wafer handling robot and a wafer cassette
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
JP4769105B2 (ja) * 2006-03-24 2011-09-07 株式会社日立ハイテクノロジーズ ディスクの表面欠陥検査方法および検査装置
US7717661B1 (en) 2006-05-25 2010-05-18 N&K Technology, Inc. Compact multiple diameters wafer handling system with on-chuck wafer calibration and integrated cassette-chuck transfer
US7665951B2 (en) 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7880155B2 (en) * 2006-06-15 2011-02-01 Brooks Automation, Inc. Substrate alignment apparatus comprising a controller to measure alignment during transport
US7833351B2 (en) * 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US8124907B2 (en) 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US8747052B2 (en) * 2006-11-22 2014-06-10 Beijing Sevenstar Electronics Co., Ltd. Automation for high throughput semiconductor batch-wafer processing equipment
JP4313824B2 (ja) * 2007-03-23 2009-08-12 東京エレクトロン株式会社 基板移載装置及び基板移載方法並びに記憶媒体
US8041450B2 (en) * 2007-10-04 2011-10-18 Asm Japan K.K. Position sensor system for substrate transfer robot
KR101489963B1 (ko) * 2007-12-13 2015-02-04 한국에이에스엠지니텍 주식회사 박막 증착 장치 및 이를 이용한 증착 방법
JP4863985B2 (ja) * 2007-12-20 2012-01-25 大日本スクリーン製造株式会社 基板処理装置
US8273178B2 (en) * 2008-02-28 2012-09-25 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
US7963736B2 (en) 2008-04-03 2011-06-21 Asm Japan K.K. Wafer processing apparatus with wafer alignment device
US8759084B2 (en) * 2010-01-22 2014-06-24 Michael J. Nichols Self-sterilizing automated incubator
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9196518B1 (en) 2013-03-15 2015-11-24 Persimmon Technologies, Corp. Adaptive placement system and method
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6754771B2 (ja) 2014-11-18 2020-09-16 パーシモン テクノロジーズ コーポレイションPersimmon Technologies, Corp. エンドエフェクタ位置推定を実行するロボット適応型配置システム
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN106710442B (zh) * 2015-10-21 2021-01-22 京东方科技集团股份有限公司 背光源分离设备
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
CN206052147U (zh) * 2016-08-25 2017-03-29 深圳市捷佳伟创新能源装备股份有限公司 一种用于pecvd设备内的石墨舟传送机构
CN206076214U (zh) * 2016-08-25 2017-04-05 深圳市捷佳伟创新能源装备股份有限公司 一种用于扩散炉内的石英舟传送机构
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CN107283402B (zh) * 2017-08-04 2024-02-06 深圳市捷佳伟创新能源装备股份有限公司 可精确控制伸缩量的机械手及多管反应室上舟系统
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11667581B2 (en) * 2018-08-31 2023-06-06 Nutech Ventures, Inc. Systems for and methods for improving mechanical properties of ceramic material
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) * 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112928040A (zh) * 2021-02-10 2021-06-08 北京北方华创微电子装备有限公司 偏移状态检测方法及偏移状态检测装置
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP1722253S (ja) * 2022-02-03 2022-08-12 半導体ウエハ収納カセット用把持機

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3834555A (en) * 1972-12-04 1974-09-10 Budd Co Article transfer apparatus
US3874525A (en) * 1973-06-29 1975-04-01 Ibm Method and apparatus for handling workpieces
US3968885A (en) * 1973-06-29 1976-07-13 International Business Machines Corporation Method and apparatus for handling workpieces
CH648262A5 (de) * 1980-08-15 1985-03-15 Ferag Ag Vorrichtung zum bilden von stapeln aus kontinuierlich, insbesondere in einem schuppenstrom, anfallenden flaechigen erzeugnissen, vorzugsweise druckprodukten.
IT1138808B (it) * 1981-06-23 1986-09-17 Rockwell Rimoldi Spa Dispositivo prelevatore e posizionatore di pezzi di tessuto impilati
US4550239A (en) * 1981-10-05 1985-10-29 Tokyo Denshi Kagaku Kabushiki Kaisha Automatic plasma processing device and heat treatment device
US4457664A (en) * 1982-03-22 1984-07-03 Ade Corporation Wafer alignment station
DE3218450C2 (de) * 1982-05-15 1986-10-23 Audi AG, 8070 Ingolstadt Automatische Abstapelanlage für Blechpressteile
DE3219502C2 (de) * 1982-05-25 1990-04-19 Ernst Leitz Wetzlar Gmbh, 6330 Wetzlar Vorrichtung zum automatischen Transport scheibenförmiger Objekte
JPS59100549A (ja) * 1982-11-30 1984-06-09 Nichiden Mach Ltd ウエハ−移し替え装置
US4502829A (en) * 1983-04-01 1985-03-05 Usm Corporation Electronic component sensing system
DE3502359A1 (de) * 1984-02-07 1985-08-14 Volkswagenwerk Ag, 3180 Wolfsburg Einrichtung zum transport stapelbarer flacher teile, insbesondere kraftfahrzeug-karosserieteile
JPS60258459A (ja) * 1984-06-04 1985-12-20 Deisuko Saiyaa Japan:Kk 縦型熱処理装置
JPS6190887A (ja) * 1984-09-17 1986-05-09 キヤノン株式会社 ウエハ搬送装置
US4598456A (en) * 1984-10-19 1986-07-08 Westinghouse Electric Corp. Assembly system for electronic circuit boards
DE3447728A1 (de) * 1984-12-21 1986-06-26 Siemens AG, 1000 Berlin und 8000 München Verfahren zur drehlageerkennung und positionierung von werkstuecken mit gering ausgepraegten merkmalen
JPS61184841A (ja) * 1985-02-13 1986-08-18 Canon Inc ウエハの位置決め方法および装置

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7198447B2 (en) 2002-09-12 2007-04-03 Hitachi Kokusai Electric Inc. Semiconductor device producing apparatus and producing method of semiconductor device
US7416405B2 (en) 2004-06-30 2008-08-26 Tokyo Electron Limited Vertical type of thermal processing apparatus and method of using the same
JP2011018908A (ja) * 2010-07-15 2011-01-27 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
KR20150092110A (ko) * 2012-12-04 2015-08-12 고쿠리츠켄큐카이하츠호진 상교기쥬츠 소고켄큐쇼 기판 반송 전실 기구
KR101725472B1 (ko) * 2012-12-04 2017-04-10 고쿠리츠켄큐카이하츠호진 상교기쥬츠 소고켄큐쇼 기판 반송 전실 기구
US20200381281A1 (en) * 2019-05-29 2020-12-03 Tokyo Electron Limited Transfer method and transfer system
US11908717B2 (en) * 2019-05-29 2024-02-20 Tokyo Electron Limited Transfer method and transfer system for transferring substrate between transfer device and substrate stage

Also Published As

Publication number Publication date
GB2190345A (en) 1987-11-18
KR870011667A (ko) 1987-12-26
IT8747930A0 (it) 1987-05-14
FR2606499A1 (fr) 1988-05-13
GB8922730D0 (en) 1989-11-22
GB2223470A (en) 1990-04-11
GB2190345B (en) 1990-08-15
FR2598793B1 (fr) 1992-08-21
FR2598793A1 (fr) 1987-11-20
GB2223470B (en) 1990-08-15
FR2606499B1 (fr) 1992-08-21
US4770590A (en) 1988-09-13
DE3715601A1 (de) 1987-11-19
GB8711230D0 (en) 1987-06-17
DE3715601C2 (de) 2000-07-06
IT1206283B (it) 1989-04-14
JPS6324615A (ja) 1988-02-02

Similar Documents

Publication Publication Date Title
JP2681055B2 (ja) ウェーハ移送方法及び装置
US6678581B2 (en) Method of calibrating a wafer edge gripping end effector
JP4376116B2 (ja) 基板受け渡し位置の調整方法
US5162047A (en) Vertical heat treatment apparatus having wafer transfer mechanism and method for transferring wafers
JP5943914B2 (ja) ウェハ搬送ロボットを較正する装置および方法
US5988971A (en) Wafer transfer robot
TWI375293B (en) Method to position a wafer
JP5236852B2 (ja) 自己教示ロボット・ウェハ・ハンドリング装置
CA2302794C (en) Automatic calibration system for wafer transfer robot
US4833790A (en) Method and system for locating and positioning circular workpieces
US4907931A (en) Apparatus for handling semiconductor wafers
JPH0372649A (ja) 処理装置
JPS61184841A (ja) ウエハの位置決め方法および装置
US6249342B1 (en) Method and apparatus for handling and testing wafers
KR20180088576A (ko) 기판 반송 장치, 검출 위치 교정 방법 및 기판 처리 장치
WO2010053924A2 (en) In-line wafer thickness sensing
TW200301534A (en) Cassette and workpiece handler characterization tool
JP2000354962A (ja) 研削装置におけるチャックテーブルの修正方法および修正装置
JP4024961B2 (ja) 研削装置における研削ユニットの原点位置セットアップ方法
WO1999064207A1 (en) Prealigner for substrates in a robotic system
JP2935060B2 (ja) 半導体製造装置
JPH0771929A (ja) ウェーハ位置検知方法及び縦型拡散・cvd装置
JP4127979B2 (ja) 半導体パッケージのボール端子平坦度調整方法及び装置
JPH11150172A (ja) 搬送装置
JP2002305224A (ja) ウエハ収納ユニット

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20070808

Year of fee payment: 10