JP2003502449A5 - - Google Patents

Download PDF

Info

Publication number
JP2003502449A5
JP2003502449A5 JP2001503571A JP2001503571A JP2003502449A5 JP 2003502449 A5 JP2003502449 A5 JP 2003502449A5 JP 2001503571 A JP2001503571 A JP 2001503571A JP 2001503571 A JP2001503571 A JP 2001503571A JP 2003502449 A5 JP2003502449 A5 JP 2003502449A5
Authority
JP
Japan
Prior art keywords
group
composition
spin
polymer
organic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001503571A
Other languages
English (en)
Other versions
JP2003502449A (ja
Filing date
Publication date
Priority claimed from US09/330,248 external-priority patent/US6268457B1/en
Application filed filed Critical
Priority claimed from PCT/US2000/015772 external-priority patent/WO2000077575A1/en
Publication of JP2003502449A publication Critical patent/JP2003502449A/ja
Publication of JP2003502449A5 publication Critical patent/JP2003502449A5/ja
Pending legal-status Critical Current

Links

Description

【特許請求の範囲】
【請求項1】 シロキサンポリマーと、約375nmより短い波長範囲における少なくともおよそ10nm幅の波長範囲にわたって光を強く吸収する配合可能な有機吸収化合物とを含んでなる吸収スピンオンガラス組成物。
【請求項2】 範囲が約260nmより短い波長にある、請求項1に記載の組成物。
【請求項3】 有機吸収化合物が、1から3個のベンゼン環と、ヒドロキシル基、アミン基、カルボン酸基、ならびにアルコキシ基およびハロゲン原子からなる群から選択される少なくとも一つの置換基に結合したケイ素を有する置換シリル基からなる群から選択される反応性基とを含んでなる、請求項1に記載の組成物。
【請求項4】 有機吸収化合物が、シリコンエトキシ、シリコンジエトキシ、シリコントリエトキシ、シリコンメトキシ、シリコンジメトキシ、シリコントリメトキシ、クロロシリル、ジクロロシリル、およびトリクロロシリル基からなる群から選択される反応性基を含んでなる、請求項3に記載の組成物。
【請求項5】 有機吸収化合物が、シリコントリエトキシ反応性基を含んでなる、請求項3に記載の組成物。
【請求項6】 反応性基がベンゼン環に直接結合している、請求項3に記載の組成物。
【請求項7】 反応性基が炭化水素ブリッジを介してベンゼン環に結合している、請求項3に記載の組成物。
【請求項8】 有機吸収化合物がアゾ基をさらに含んでなる、請求項3に記載の組成物。
【請求項9】 有機吸収化合物が、アントラフラビン酸、9−アントラセンカルボン酸、9−アントラセンメタノール、アリザリン、キニザリン、プリムリン、2−ヒドロキシ−4(3−トリエトキシシリルプロポキシ)−ジフェニルケトン、ロゾール酸、トリエトキシシリルプロピル−1,8−ナフタルイミド、9−アントラセンカルボキシ−メチルトリエトキシシラン、フェニルトリエトキシシラン、4−フェニルアゾフェノール、およびそれらの混合物からなる群から選択される吸収化合物を含んでなる、請求項8に記載の組成物。
【請求項10】 有機吸収化合物が、9−アントラセンメタノール、アリザリン、キニザリン、2−ヒドロキシ−4(3−トリエトキシシリルプロポキシ)−ジフェニルケトン、ロゾール酸、9−アントラセンカルボキシ−メチルトリエトキシシラン、フェニルトリエトキシシラン、およびそれらの混合物からなる群から選択される吸収化合物を含んでなる、請求項3に記載の組成物。
【請求項11】 有機吸収化合物が9−アントラセンカルボキシ−メチルトリエトキシシランを含んでなる、請求項10に記載の組成物。
【請求項12】 有機吸収化合物がフェニルトリエトキシシランを含んでなる、請求項10に記載の組成物。
【請求項13】 シロキサンポリマーが、メチルシロキサン、メチルシルセスキオキサン、フェニルシロキサン、フェニルシルセスキオキサン、メチルフェニルシロキサン、メチルフェニルシルセスキオキサン、およびシリケートポリマーからなる群から選択されるポリマーである、請求項1に記載の組成物。
【請求項14】 シロキサンポリマーが、メチルシロキサン、メチルシルセスキオキサン、フェニルシロキサン、フェニルシルセスキオキサン、メチルフェニルシロキサン、メチルフェニルシルセスキオキサン、およびシリケートポリマーからなる群から選択されるポリマーである、請求項11に記載の組成物。
【請求項15】 シロキサンポリマーが、ハイドロジェンシロキサン、ハイドロジェンシルセスキオキサン、オルガノヒドリドシロキサン、オルガノヒドリドシルセスキオキサンポリマー、及び、ハイドロジェンシルセスキオキサンとアルコキシヒドリドシロキサン又はヒドロキシヒドリドシロキサンとのコポリマーからなる群から選択されるポリマーである、請求項1に記載の組成物。
【請求項16】 シロキサンポリマーが、(H0〜1.0SiO1.5〜2.0(式中、xは、約8より大きい)、および(H0〜1.0SiO1.5〜2.0(R0〜1.0SiO1.5〜2.0(式中、mは、0より大きく、nとmの合計は、約8から約5000であり、Rは、C〜C20アルキル基またはC〜C12アリール基である)からなる群から選択される一般式のポリマーである、請求項15に記載の組成物。
【請求項17】 請求項1に記載の吸収スピンオンガラス組成物と、溶媒または溶媒混合物とを含んでなるコーティング溶液。
【請求項18】 溶液が、約0.5重量%と約20重量%の間の吸収スピンオンガラス組成物である、請求項17に記載のコーティング溶液。
【請求項19】 溶媒が、エチルラクテートおよびプロピレングリコールプロピルエーテルからなる群から選択される、請求項18に記載のコーティング溶液。
【請求項20】 請求項1に記載の吸収スピンオンガラス組成物を含んでなるフィルム。
【請求項21】 範囲が約260nmより短い波長にある、請求項20に記載のフィルム。
【請求項22】 有機吸収化合物が、1から3個のベンゼン環と、ヒドロキシル基、アミン基、カルボン酸基、ならびにアルコキシ基およびハロゲン原子からなる群から選択される少なくとも一つの置換基に結合したケイ素を有する置換シリル基からなる群から選択される反応性基とを含んでなる、請求項20に記載のフィルム。
【請求項23】 請求項1に記載の吸収スピンオンガラス組成物を含んでなる集積回路装置。
【請求項24】 範囲が約260nmより短い波長にある、請求項23に記載の装置。
【請求項25】 有機吸収化合物が、1から3個のベンゼン環と、ヒドロキシル基、アミン基、カルボン酸基、ならびにアルコキシ基およびハロゲン原子からなる群から選択される少なくとも一つの置換基に結合したケイ素を有する置換シリル基からなる群から選択される反応性基とを含んでなる、請求項23に記載の装置。
【請求項26】 アルコキシシランおよびハロシランからなる群から選択される一つ以上のシラン反応体、一つ以上の配合可能な有機吸収化合物、酸/水混合物、および一種以上の溶媒を混合して、反応混合物を作ること;および
反応混合物を還流して、吸収スピンオンガラス組成物を生成すること
を含んでなる吸収スピンオンガラス組成物を製造する方法であって、該吸収化合物が、スピンオンガラス組成物に間入する形で取り込まれているか、あるいは、スピンオンガラス組成物上の接触可能な反応性基を介してスピンオンガラス組成物に化学的に結合している、吸収スピンオンガラス組成物を製造する方法。
【請求項27】 一つ以上の有機吸収化合物が、1から3個のベンゼン環と、ヒドロキシル基、アミン基、カルボン酸基、ならびにアルコキシ基およびハロゲン原子からなる群から選択される少なくとも一つの置換基に結合したケイ素を有する置換シリル基からなる群から選択される反応性基とを含んでなる、請求項26に記載の方法。
【請求項28】 一つ以上の有機吸収化合物がアゾ基をさらに含んでなる、請求項27に記載の方法。
【請求項29】 一つ以上の有機吸収化合物が、アントラフラビン酸、9−アントラセンカルボン酸、9−アントラセンメタノール、アリザリン、キニザリン、プリムリン、2−ヒドロキシ−4(3−トリエトキシシリルプロポキシ)−ジフェニルケトン、ロゾール酸、トリエトキシシリルプロピル−1,8−ナフタルイミド、9−アントラセンカルボキシ−メチルトリエトキシシラン、フェニルトリエトキシシラン、4−フェニルアゾフェノール、およびそれらの混合物からなる群から選択される吸収化合物を含んでなる、請求項28に記載の方法。
【請求項30】 一つ以上のシラン反応体が、トリエトキシシラン、テトラエトキシシラン、メチルトリエトキシシラン、ジメチルジエトキシシラン、テトラメトキシシラン、メチルトリメトキシシラン、トリメトキシシラン、ジメチルジメトキシシラン、フェニルトリエトキシシラン、フェニルトリメトキシシラン、ジフェニルジエトキシシラン、およびジフェニルジメトキシシラン、トリクロロシラン、メチルトリクロロシラン、エチルトリクロロシラン、フェニルトリクロロシラン、テトラクロロシラン、クロロトリエトキシシラン、クロロトリメトキシシラン、クロロメチルトリエトキシシラン、クロロエチルトリエトキシシラン、クロロフェニルトリエトキシシラン、クロロメチルトリメトキシシラン、クロロエチルトリメトキシシラン、およびクロロフェニルトリメトキシシランからなる群から選択される、請求項26に記載の方法。
【請求項31】 一つ以上のシラン反応体がテトラエトキシシランおよびメチルトリエトキシシランである、請求項30に記載の方法。
【請求項32】 酸/水混合物が硝酸/水混合物である、請求項26に記載の方法。
【請求項33】 一つ以上のアルコキシシラン、または一つ以上のハロシラン;一つ以上の配合可能な有機吸収化合物;および一種以上の溶媒を混合して、第一反応混合物を作ること;
第一反応混合物を還流すること;
第一反応混合物に酸/水混合物を添加して、第二反応混合物を作ること;
第二反応混合物を還流して、吸収スピンオンガラス組成物を生成すること
を含んでなる、吸収スピンオンガラス組成物を製造する方法。
【請求項34】 一つ以上のアルコキシシラン、または一つ以上のハロシラン;一つ以上の配合可能な有機吸収化合物;酸/水混合物;および一種以上の溶媒を混合して、反応混合物を作ること;
反応混合物を還流して、吸収スピンオンガラスポリマーを生成すること
を含んでなる、請求項1に記載の吸収スピンオンガラスポリマーを含有するコーティング溶液を製造する方法。
【請求項35】 一種以上の希釈溶媒を吸収スピンオンガラス組成物に添加して、コーティング溶液を製造することを更に含んでなる、請求項34に記載の方法。
【請求項36】 コーティング溶液が約0.5%と約20%の間の吸収スピンオンガラスポリマーである、請求項35に記載の方法。
【請求項37】 極性溶媒、非極性溶媒および相間移動触媒を混合して、第一反応混合物を作ること;
オルガノトリハロシラン、ヒドリドハロシラン、および一つ以上の配合可能な有機吸収化合物を第一反応混合物に添加して、第二反応混合物を作ること;および
第二反応混合物を反応させて、吸収スピンオンガラス組成物を生成すること
を含んでなる、吸収スピンオンガラス組成物を製造する方法。
【請求項38】 化学組成物9−アントラセンカルボキシ−メチルトリエトキシシランを含んでなる有機吸収化合物。
【請求項39】 9−アントラセンカルボン酸、クロロメチルトリエトキシシラン、トリエチルアミンおよび溶媒を混合して、反応混合物を作ること;
反応混合物を還流すること;
還流した反応混合物を冷却して、沈殿と残りの溶液にすること;
残りの溶液を濾過して、液体9−アントラセンカルボキシ−メチルトリエトキシシランを生じること
を含んでなる、請求項11に記載の9−アントラセンカルボキシ−メチルトリエトキシシランを製造する方法。
【請求項40】 残りの溶液を濾過することが、
残りの溶液を回転蒸発させること;
回転蒸発させた溶液をシリカゲルカラムに通すこと;および
シリカゲルカラムを通した溶液を回転蒸発させること
を含んでなる、請求項39に記載の方法。
【請求項41】 ケイ素含有部分、及び、約260nmより短い波長範囲における少なくともおよそ10nm幅の波長範囲にわたって光を強く吸収する配合可能な有機染料とからなる、染色化(dyed)スピンオンガラス組成物からなる層状材料(layered material)。
【請求項42】 有機染料が少なくとも1つのベンゼン環と、ヒドロキシル基、アミン基、カルボン酸基、ならびにシリコントリエトキシ基からなる群から選択される反応性基とを含んでなる、請求項41に記載の層状材料。
【請求項43】 有機染料が、アントラフラビン酸、9−アントラセンカルボン酸、9−アントラセンメタノール、アリザリン、キニザリン、プリムリン、2−ヒドロキシ−4(3−トリエトキシシリルプロポキシ)−ジフェニルケトン、ロゾール酸、トリエトキシシリルプロピル−1,8−ナフタルイミド、9−アントラセンカルボキシ−メチルトリエトキシシラン、及びそれらの混合物からなる群から選択される染料からなる、請求項42に記載の層状材料。
【請求項44】 有機染料が、9−アントラセンメタノール、アリザリン、キニザリン、プリムリン、2−ヒドロキシ−4(3−トリエトキシシリルプロポキシ)−ジフェニルケトン、ロゾール酸、9−アントラセンカルボキシ−メチルトリエトキシシラン、およびそれらの混合物からなる群から選択される染料からなる、請求項42に記載の層状材料。
【請求項45】 有機染料が9−アントラセンカルボキシ−メチルトリエトキシシランからなる、請求項42に記載の層状材料。
【請求項46】 ケイ素含有部分がシロキサンポリマーからなる、請求項41に記載の層状材料。
【請求項47】 シロキサンポリマーが、メチルシロキサン、メチルシルセスキオキサン、メチルフェニルシロキサン、フェニルシロキサン、メチルフェニルシルセスキオキサン、およびシリケートポリマーを含んでなるポリマーである、請求項46に記載の層状材料。
【請求項48】 シロキサンポリマーが、メチルシロキサン、メチルシルセスキオキサン、メチルフェニルシロキサン、フェニルシロキサン、メチルフェニルシルセスキオキサン、およびシリケートポリマーを含んでなるポリマーである、請求項44に記載の層状材料。
【請求項49】 請求項41に記載の染色化(dyed)スピンオンガラス組成物及び溶媒を含んでなるコーティング溶液からなる層状材料。
【請求項50】 コーティング溶液が約1〜20重量%の染色化(dyed)スピンオンガラス組成物を含んでなる、請求項49に記載の層状材料。
【請求項51】 少なくとも該スピンオンガラス組成物の部分が選択的に除去され得る、請求項41に記載の層状材料。
【請求項52】 少なくとも1つのベンゼン環が2ないし3のベンゼン縮合環からなる、請求項42に記載の層状材料。
【請求項53】 ケイ素含有部分、及び、約260nmより短い波長範囲における少なくともおよそ10nm幅の波長範囲にわたって光を強く吸収する配合可能な有機染料とからなる、染色化(dyed)スピンオンガラス組成物を含む半導体デバイス。
【請求項54】 有機染料が、少なくとも1つのベンゼン環と、ヒドロキシル基、アミン基、カルボン酸基、ならびにシリコントリエトキシ基からなる群から選択される反応性基とを含んでなる、請求項53に記載の半導体デバイス。
【請求項55】 有機染料が、アントラフラビン酸、9−アントラセンカルボン酸、9−アントラセンメタノール、アリザリン、キニザリン、プリムリン、2−ヒドロキシ−4(3−トリエトキシシリルプロポキシ)−ジフェニルケトン、ロゾール酸、トリエトキシシリルプロピル−1,8−ナフタルイミド、9−アントラセンカルボキシ−メチルトリエトキシシラン、及びそれらの混合物からなる群から選択される染料からなる、請求項54に記載の半導体デバイス。
【請求項56】 有機染料が、9−アントラセンメタノール、アリザリン、キニザリン、プリムリン、2−ヒドロキシ−4(3−トリエトキシシリルプロポキシ)−ジフェニルケトン、ロゾール酸、9−アントラセンカルボキシ−メチルトリエトキシシラン、およびそれらの混合物からなる群から選択される染料からなる、請求項54に記載の半導体デバイス。
【請求項57】 有機染料が、9−アントラセンカルボキシ−メチルトリエトキシシランからなる、請求項54に記載の半導体デバイス。
【請求項58】 ケイ素含有部分がシロキサンポリマーからなる、請求項53に記載の半導体デバイス。
【請求項59】 シロキサンポリマーが、メチルシロキサン、メチルシルセスキオキサン、メチルフェニルシロキサン、フェニルシロキサン、メチルフェニルシルセスキオキサン、およびシリケートポリマーを含んでなるポリマーである、請求項58に記載の半導体デバイス。
【請求項60】 シロキサンポリマーが、メチルシロキサン、メチルシルセスキオキサン、メチルフェニルシロキサン、フェニルシロキサン、メチルフェニルシルセスキオキサン、およびシリケートポリマーを含んでなるポリマーである、請求項56に記載の半導体デバイス。
【請求項61】 請求項53に記載の染色化(dyed)スピンオンガラス組成物及び溶媒を含んでなるコーティング溶液を含む半導体デバイス。
【請求項62】 コーティング溶液が約1〜20重量%の染色化(dyed)スピンオンガラス組成物を含んでなる、請求項61に記載の半導体デバイス。
【請求項63】 少なくとも該スピンオンガラス組成物の部分が選択的に除去され得る、請求項53に記載の半導体デバイス。
【請求項64】 該少なくとも1つのベンゼン環が2ないし3のベンゼン縮合環からなる、請求項54に記載の半導体デバイス。
【請求項65】 シロキサンポリマーと、少なくとも1つのベンゼン環および少なくとも1つのシリコントリエトキシ基を有する配合可能な有機化合物とを含んでなる吸収スピンオン組成物。
【請求項66】 配合可能な有機化合物がフェニルトリエトキシシランを含んでなる、請求項65に記載の吸収組成物。
【請求項67】 シロキサンポリマーがフェニルトリエトキシシランと反応した産物を含んでなる吸収スピンオン組成物。
JP2001503571A 1999-06-10 2000-06-08 フォトリソグラフィ用スピンオンガラス反射防止コーティング Pending JP2003502449A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US09/330,248 1999-06-10
US09/330,248 US6268457B1 (en) 1999-06-10 1999-06-10 Spin-on glass anti-reflective coatings for photolithography
US09/491,166 US6506497B1 (en) 1999-06-10 2000-01-26 Spin-on-glass anti-reflective coatings for photolithography
US09/491,166 2000-01-26
PCT/US2000/015772 WO2000077575A1 (en) 1999-06-10 2000-06-08 Spin-on-glass anti-reflective coatings for photolithography

Publications (2)

Publication Number Publication Date
JP2003502449A JP2003502449A (ja) 2003-01-21
JP2003502449A5 true JP2003502449A5 (ja) 2007-08-02

Family

ID=26987186

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001503571A Pending JP2003502449A (ja) 1999-06-10 2000-06-08 フォトリソグラフィ用スピンオンガラス反射防止コーティング

Country Status (7)

Country Link
US (3) US6956097B2 (ja)
EP (1) EP1190277B1 (ja)
JP (1) JP2003502449A (ja)
KR (1) KR100804873B1 (ja)
AU (1) AU5600200A (ja)
CA (1) CA2374944A1 (ja)
WO (1) WO2000077575A1 (ja)

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6824879B2 (en) * 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
US6890448B2 (en) 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
US20050003215A1 (en) * 2000-02-16 2005-01-06 Nigel Hacker Synthesis of siloxane resins
EP1197998A3 (en) 2000-10-10 2005-12-21 Shipley Company LLC Antireflective porogens
TW538319B (en) * 2000-10-10 2003-06-21 Shipley Co Llc Antireflective composition, method for forming antireflective coating layer, and method for manufacturing electronic device
WO2003044600A1 (en) * 2001-11-15 2003-05-30 Honeywell International Inc. Spin-on anti-reflective coatings for photolithography
AU2002359387A1 (en) * 2001-11-15 2003-06-10 Honeywell International Inc. Anti-reflective coatings for photolithography and methods of preparation thereof
KR100818678B1 (ko) * 2001-11-16 2008-04-01 허니웰 인터내셔널 인코포레이티드 포토리소그라피용 스핀온 유리 반사 방지 피막
EP1478648B1 (en) 2002-02-01 2014-04-30 ARIAD Pharmaceuticals, Inc. Phosphorus-containing compounds and uses thereof
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
DE10227807A1 (de) * 2002-06-21 2004-01-22 Honeywell Specialty Chemicals Seelze Gmbh Silylalkylester von Anthracen- und Phenanthrencarbonsäuren
US6740469B2 (en) * 2002-06-25 2004-05-25 Brewer Science Inc. Developer-soluble metal alkoxide coatings for microelectronic applications
AU2002329596A1 (en) * 2002-07-11 2004-02-02 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
FR2842533B1 (fr) * 2002-07-18 2006-11-24 Hynix Semiconductor Inc Composition de revetement organique antireflet, procede de formation de motifs de photoresist a l'aide de cette composition et dispositif a semi-conducteur fabrique grace a ce procede
WO2004051376A1 (ja) * 2002-12-02 2004-06-17 Tokyo Ohka Kogyo Co., Ltd. 反射防止膜形成用組成物
US7368173B2 (en) 2003-05-23 2008-05-06 Dow Corning Corporation Siloxane resin-based anti-reflective coating composition having high wet etch rate
US7303785B2 (en) 2003-06-03 2007-12-04 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
KR100857967B1 (ko) 2003-06-03 2008-09-10 신에쓰 가가꾸 고교 가부시끼가이샤 반사 방지막 재료, 이것을 이용한 반사 방지막 및 패턴형성 방법
JP4700929B2 (ja) * 2003-06-03 2011-06-15 信越化学工業株式会社 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法
JP4430986B2 (ja) * 2003-06-03 2010-03-10 信越化学工業株式会社 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法
US8101015B2 (en) * 2003-10-07 2012-01-24 Honeywell International Inc. Coatings and hard mask compositions for integrated circuit applications methods of production and uses thereof
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
JP4563076B2 (ja) * 2004-05-26 2010-10-13 東京応化工業株式会社 反射防止膜形成用組成物、該反射防止膜形成用組成物からなる反射防止膜、および該反射防止膜形成用組成物を用いたレジストパターン形成方法
JP4553113B2 (ja) 2004-06-10 2010-09-29 信越化学工業株式会社 多孔質膜形成用組成物、パターン形成方法、及び多孔質犠性膜
JP4379596B2 (ja) 2004-06-10 2009-12-09 信越化学工業株式会社 犠牲膜形成用組成物、パターン形成方法、犠牲膜及びその除去方法
JP4491283B2 (ja) 2004-06-10 2010-06-30 信越化学工業株式会社 反射防止膜形成用組成物を用いたパターン形成方法
JP4367636B2 (ja) 2004-06-10 2009-11-18 信越化学工業株式会社 犠牲膜形成用組成物、パターン形成方法、犠牲膜及びその除去方法
KR100632473B1 (ko) * 2004-08-03 2006-10-09 삼성전자주식회사 염기성 물질 확산 장벽막을 사용하는 미세 전자 소자의듀얼 다마신 배선의 제조 방법
US8901268B2 (en) 2004-08-03 2014-12-02 Ahila Krishnamoorthy Compositions, layers and films for optoelectronic devices, methods of production and uses thereof
US7833696B2 (en) 2004-12-17 2010-11-16 Dow Corning Corporation Method for forming anti-reflective coating
US7838615B2 (en) 2004-12-17 2010-11-23 Dow Corning Corporation Siloxane resin coating
KR101247546B1 (ko) 2004-12-17 2013-03-26 다우 코닝 코포레이션 반사 방지 막의 형성방법
US7867779B2 (en) 2005-02-03 2011-01-11 Air Products And Chemicals, Inc. System and method comprising same for measurement and/or analysis of particles in gas stream
EP1762895B1 (en) 2005-08-29 2016-02-24 Rohm and Haas Electronic Materials, L.L.C. Antireflective Hard Mask Compositions
WO2007053396A2 (en) * 2005-10-28 2007-05-10 Dow Global Technologies Inc. Silsesquioxane-titania hybrid polymers
KR100713231B1 (ko) * 2005-12-26 2007-05-02 제일모직주식회사 레지스트 하층막용 하드마스크 조성물 및 이를 이용한반도체 집적회로 디바이스의 제조방법
JP4881396B2 (ja) 2006-02-13 2012-02-22 ダウ・コーニング・コーポレイション 反射防止膜材料
WO2007094849A2 (en) * 2006-02-13 2007-08-23 Dow Corning Corporation Antireflective coating material
US7550249B2 (en) 2006-03-10 2009-06-23 Az Electronic Materials Usa Corp. Base soluble polymers for photoresist compositions
US20070212886A1 (en) * 2006-03-13 2007-09-13 Dong Seon Uh Organosilane polymers, hardmask compositions including the same and methods of producing semiconductor devices using organosilane hardmask compositions
US9284455B2 (en) * 2006-06-13 2016-03-15 Braggone Oy Hybrid inorganic-organic polymer compositions for anti-reflective coatings
WO2007144453A1 (en) * 2006-06-13 2007-12-21 Braggone Oy Carbosilane polymer compositions for anti-reflective coatings
US7704670B2 (en) * 2006-06-22 2010-04-27 Az Electronic Materials Usa Corp. High silicon-content thin film thermosets
US20070298349A1 (en) * 2006-06-22 2007-12-27 Ruzhi Zhang Antireflective Coating Compositions Comprising Siloxane Polymer
US8158981B2 (en) * 2006-09-25 2012-04-17 Hitachi Chemical Company, Ltd. Radiation-sensitive composition, method of forming silica-based coating film, silica-based coating film, apparatus and member having silica-based coating film and photosensitizing agent for insulating film
EP2094241A4 (en) 2006-11-14 2013-04-17 Ariad Pharma Inc ORAL FORMULATIONS
US7759046B2 (en) 2006-12-20 2010-07-20 Az Electronic Materials Usa Corp. Antireflective coating compositions
KR101296551B1 (ko) 2007-02-09 2013-08-13 가부시키가이샤 닛폰 쇼쿠바이 실란 화합물, 그 제조 방법 및 실란 화합물을 포함하는 수지 조성물
JP5035770B2 (ja) * 2007-02-16 2012-09-26 東レ・ファインケミカル株式会社 縮合多環式炭化水素基を有するシリコーン共重合体、及び、その製造方法
JP4808646B2 (ja) * 2007-02-16 2011-11-02 東京応化工業株式会社 レジスト下層膜形成用組成物、及びこれを用いたレジスト下層膜
US7736837B2 (en) * 2007-02-20 2010-06-15 Az Electronic Materials Usa Corp. Antireflective coating composition based on silicon polymer
US8026040B2 (en) 2007-02-20 2011-09-27 Az Electronic Materials Usa Corp. Silicone coating composition
WO2008104874A1 (en) * 2007-02-26 2008-09-04 Az Electronic Materials Usa Corp. Process for making siloxane polymers
US8642246B2 (en) 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
WO2008104881A1 (en) 2007-02-27 2008-09-04 Az Electronic Materials Usa Corp. Silicon-based antifrelective coating compositions
TWI439494B (zh) 2007-02-27 2014-06-01 Braggone Oy 產生有機矽氧烷聚合物的方法
US8618663B2 (en) * 2007-09-20 2013-12-31 International Business Machines Corporation Patternable dielectric film structure with improved lithography and method of fabricating same
US8084862B2 (en) * 2007-09-20 2011-12-27 International Business Machines Corporation Interconnect structures with patternable low-k dielectrics and method of fabricating same
US7709370B2 (en) * 2007-09-20 2010-05-04 International Business Machines Corporation Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures
US8318258B2 (en) 2008-01-08 2012-11-27 Dow Corning Toray Co., Ltd. Silsesquioxane resins
KR20100114075A (ko) 2008-01-15 2010-10-22 다우 코닝 코포레이션 실세스퀴옥산 수지
US8304161B2 (en) 2008-03-04 2012-11-06 Dow Corning Corporation Silsesquioxane resins
WO2009111121A2 (en) * 2008-03-05 2009-09-11 Dow Corning Corporation Silsesquioxane resins
KR100917241B1 (ko) * 2008-09-19 2009-09-16 허니웰 인터내셔날 인코포레이티드 포토리소그래피용 스핀-온 무반사 코팅
WO2010068336A1 (en) * 2008-12-10 2010-06-17 Dow Corning Corporation Silsesquioxane resins
JP5632387B2 (ja) * 2008-12-10 2014-11-26 ダウ コーニング コーポレーションDow Corning Corporation 湿式エッチング可能な反射防止膜
DE102009054630B4 (de) * 2008-12-15 2013-02-14 Qimonda Ag Verfahren zum Herstellen eines photovoltaisches Bauelements
JP2009175747A (ja) * 2009-03-24 2009-08-06 Honeywell Internatl Inc フォトリソグラフィー用スピンオン反射防止膜
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
JP2009280822A (ja) * 2009-07-03 2009-12-03 Honeywell Internatl Inc フォトリソグラフィ用のスピンオングラス反射防止性コーティング
US9330974B2 (en) * 2010-10-27 2016-05-03 Infineon Technologies Ag Through level vias and methods of formation thereof
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
JP2011221549A (ja) * 2011-06-09 2011-11-04 Honeywell Internatl Inc フォトリソグラフィー用スピンオン反射防止膜
JP6163770B2 (ja) * 2012-03-07 2017-07-19 Jsr株式会社 レジスト下層膜形成用組成物及びパターン形成方法
US10254649B2 (en) * 2013-10-21 2019-04-09 Tokai University Educational System Method for producing optical waveguide
US9804493B2 (en) 2013-11-22 2017-10-31 Samsung Electronics Co., Ltd. Composition for forming topcoat layer and resist pattern formation method employing the same
US10213993B2 (en) 2013-12-19 2019-02-26 3M Innovative Properties Company Multilayer composite article
JP6238764B2 (ja) * 2014-01-23 2017-11-29 旭化成株式会社 各種フレキシブルデバイス製造過程における剥離方法
US9994676B2 (en) 2014-06-23 2018-06-12 3M Innovative Properties Company Silicon-containing polymer and method of making a silicon-containing polymer
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
WO2016167892A1 (en) 2015-04-13 2016-10-20 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
IT201700053902A1 (it) * 2017-05-18 2018-11-18 Lfoundry Srl Metodo di bonding ibrido per wafer a semiconduttore e relativo dispositivo integrato tridimensionale

Family Cites Families (525)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2783263A (en) * 1954-11-22 1957-02-26 Dow Corning Halocarboxysilanes
US5270285A (en) 1965-02-28 1993-12-14 Dai Nippon Insatsu Kabushiki Kaisha Sheet for heat transference
US3615272A (en) * 1968-11-04 1971-10-26 Dow Corning Condensed soluble hydrogensilsesquioxane resin
CA993709A (en) 1971-01-21 1976-07-27 Leo Roos Composite, mask-forming photohardenable elements
US3784378A (en) 1971-10-18 1974-01-08 Du Pont Double-exposure method for producing reverse images in photopolymers
US3884702A (en) * 1972-12-14 1975-05-20 Unitika Ltd Photosensitive polyamide composition
US3929489A (en) 1973-09-14 1975-12-30 Eastman Kodak Co Lithographic plates having radiation sensitive elements developable with aqueous alcohol
US4043812A (en) 1973-11-19 1977-08-23 Xerox Corporation Electrostatographic imaging member and process using anthracene functional polymers
US3873361A (en) * 1973-11-29 1975-03-25 Ibm Method of depositing thin film utilizing a lift-off mask
US3925077A (en) 1974-03-01 1975-12-09 Horizons Inc Photoresist for holography and laser recording with bleachout dyes
US4191571A (en) 1974-04-26 1980-03-04 Hitachi, Ltd. Method of pattern forming in a photosensitive composition having a reciprocity law failing property
US4018606A (en) 1974-05-03 1977-04-19 Eastman Kodak Company Organic azo pigment sensitizers for photoconductive layers
US4018607A (en) 1974-05-03 1977-04-19 Eastman Kodak Company Crystalline organic pigment sensitizers for photoconductive layers
US4053313A (en) * 1975-06-03 1977-10-11 E. I. Du Pont De Nemours And Company Process for image reproduction using multilayer photosensitive solvent processable elements
US4052367A (en) 1975-10-14 1977-10-04 Eastman Kodak Company Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same
US4048146A (en) 1975-10-14 1977-09-13 Eastman Kodak Company Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same
US4016607A (en) * 1976-07-30 1977-04-12 Eino Pihlaja Artificial hand
US4102683A (en) 1977-02-10 1978-07-25 Rca Corp. Nonreflecting photoresist process
US5239723A (en) 1977-03-17 1993-08-31 Applied Elastomerics, Inc. Gelatinous elastomer swabs
US4618213A (en) 1977-03-17 1986-10-21 Applied Elastomerics, Incorporated Gelatinous elastomeric optical lens, light pipe, comprising a specific block copolymer and an oil plasticizer
US5508334A (en) 1977-03-17 1996-04-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions and articles
US5153254A (en) 1977-03-17 1992-10-06 Applied Elastomerics, Inc. Reusable lint remover
US5324222A (en) 1977-03-17 1994-06-28 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic airfoils
US5655947A (en) 1977-03-17 1997-08-12 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
US5336708A (en) 1977-03-17 1994-08-09 Applied Elastomerics, Inc. Gelatinous elastomer articles
US5262468A (en) 1977-03-17 1993-11-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions
US5334646B1 (en) 1977-03-17 1998-09-08 Applied Elastomerics Inc Thermoplastic elastomer gelatinous articles
US5633286B1 (en) 1977-03-17 2000-10-10 Applied Elastomerics Inc Gelatinous elastomer articles
US4369284A (en) 1977-03-17 1983-01-18 Applied Elastomerics, Incorporated Thermoplastic elastomer gelatinous compositions
US5475890A (en) 1977-03-17 1995-12-19 Applied Elastomerics, Inc. Gelatinous elastomer swabs
US5624294A (en) 1977-03-17 1997-04-29 Applied Elastomerics, Inc. Humdinger, gel spinner
DE2720559A1 (de) 1977-05-07 1978-11-09 Basf Ag Verbesserte photopolymerisierbare massen fuer die herstellung von druckplatten und reliefformen
JPS6058467B2 (ja) 1977-10-22 1985-12-20 株式会社リコー 電子写真用感光体
JPS55165922A (en) * 1979-06-14 1980-12-24 Daicel Chem Ind Ltd Production of thermosetting organopolysiloxane
US4299938A (en) 1979-06-19 1981-11-10 Ciba-Geigy Corporation Photopolymerizable and thermally polymerizable compositions
US4349609A (en) * 1979-06-21 1982-09-14 Fujitsu Limited Electronic device having multilayer wiring structure
JPS5850417B2 (ja) * 1979-07-31 1983-11-10 富士通株式会社 半導体装置の製造方法
US4257826A (en) * 1979-10-11 1981-03-24 Texas Instruments Incorporated Photoresist masking in manufacture of semiconductor device
US4290896A (en) 1980-05-27 1981-09-22 Dow Corning Corporation Dewatering fine coal slurries using organopolysiloxanes
US4935583A (en) 1980-05-30 1990-06-19 Kyle James C Insulated conductor with ceramic-connected elements
US4483107A (en) * 1980-06-17 1984-11-20 Konishiroku Photo Industry Co., Ltd. Polishing method for electrophotographic photoconductive member
DE3173441D1 (en) * 1980-08-26 1986-02-20 Japan Synthetic Rubber Co Ltd Ladder-like lower alkylpolysilsesquioxanes and process for their preparation
JPS5760330A (en) * 1980-09-27 1982-04-12 Fujitsu Ltd Resin composition
US4515828A (en) * 1981-01-02 1985-05-07 International Business Machines Corporation Planarization method
US4423135A (en) * 1981-01-28 1983-12-27 E. I. Du Pont De Nemours & Co. Preparation of photosensitive block copolymer elements
US4413052A (en) * 1981-02-04 1983-11-01 Ciba-Geigy Corporation Photopolymerization process employing compounds containing acryloyl group and anthryl group
US4419437A (en) * 1981-02-11 1983-12-06 Eastman Kodak Company Image-forming compositions and elements containing ionic polyester dispersing agents
US4312970A (en) * 1981-02-20 1982-01-26 Dow Corning Corporation Silazane polymers from {R'3 Si}2 NH and organochlorosilanes
US4362809A (en) 1981-03-30 1982-12-07 Hewlett-Packard Company Multilayer photoresist process utilizing an absorbant dye
US4348471A (en) 1981-06-15 1982-09-07 Polychrome Corporation Positive acting composition yielding pre-development high visibility image after radiation exposure comprising acid free novolak, diazo oxide and acid sensitive dyestuff
DE3278567D1 (en) 1981-10-03 1988-07-07 Japan Synthetic Rubber Co Ltd Solvent-soluble organopolysilsesquioxanes, processes for producing the same, and compositions and semiconductor devices using the same
US4783347A (en) 1981-12-01 1988-11-08 General Electric Company Method for primerless coating of plastics
US4442197A (en) 1982-01-11 1984-04-10 General Electric Company Photocurable compositions
JPS58171416A (ja) * 1982-04-02 1983-10-08 Hitachi Ltd 耐熱性重合体
US4495360A (en) * 1982-04-30 1985-01-22 General Electric Company Ultraviolet light absorbing agents, method for making, compositions and articles containing same
US5670295A (en) 1982-07-30 1997-09-23 Namba; Kenryo Optical recording medium
DE3231147A1 (de) 1982-08-21 1984-02-23 Basf Ag, 6700 Ludwigshafen Positiv arbeitendes verfahren zur herstellung von reliefbildern oder resistmustern
US6194121B1 (en) 1982-09-25 2001-02-27 Tdk Corp. Optical recording medium
US4822718A (en) 1982-09-30 1989-04-18 Brewer Science, Inc. Light absorbing coating
JPH0612452B2 (ja) 1982-09-30 1994-02-16 ブリュ−ワ−・サイエンス・インコ−ポレイテッド 集積回路素子の製造方法
US4910122A (en) * 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4434127A (en) * 1982-12-09 1984-02-28 Dow Corning Corporation Heat curable polydiorganosiloxane compositions having enhanced release upon cure
JPS59109565A (ja) * 1982-12-16 1984-06-25 Fujitsu Ltd コ−テイング樹脂溶液およびその製造方法
JPS59226346A (ja) 1983-06-07 1984-12-19 Fuotopori Ouka Kk プリント回路の製造方法
KR890003903B1 (ko) * 1983-06-29 1989-10-10 가부시끼가이샤 히다찌세이사꾸쇼 패턴 형성 방법
US4430153A (en) 1983-06-30 1984-02-07 International Business Machines Corporation Method of forming an RIE etch barrier by in situ conversion of a silicon containing alkyl polyamide/polyimide
US4617252A (en) * 1983-07-01 1986-10-14 Philip A. Hunt Chemical Corporation Antireflective coatings for use in the manufacture of semi-conductor devices, methods and solutions for making such coatings, and the method for using such coatings to absorb light in ultraviolet photolithography processes
DE3324795A1 (de) 1983-07-09 1985-01-17 Merck Patent Gmbh, 6100 Darmstadt Negativ arbeitende fotoresistzusammensetzungen mit strahlungsabsorbierenden zusaetzen
GB8401016D0 (en) * 1984-01-14 1984-02-15 Hagen Perennatorwerk Organopolysiloxane compositions
DE3561155D1 (ja) 1984-02-10 1988-01-21 Ciba-Geigy Ag
ATE37242T1 (de) 1984-02-10 1988-09-15 Ciba Geigy Ag Verfahren zur herstellung einer schutzschicht oder einer reliefabbildung.
JPS60177029A (ja) * 1984-02-21 1985-09-11 Toray Silicone Co Ltd オルガノポリシロキサン組成物の硬化方法
US4831188A (en) 1984-02-29 1989-05-16 Bowling Green State University Perester photoinitiators
US4752649A (en) 1984-02-29 1988-06-21 Bowling Green State University Perester photoinitiators
US4702990A (en) * 1984-05-14 1987-10-27 Nippon Telegraph And Telephone Corporation Photosensitive resin composition and process for forming photo-resist pattern using the same
DE3574418D1 (en) * 1984-05-30 1989-12-28 Fujitsu Ltd Pattern-forming material and its production and use
US4767571A (en) 1984-06-27 1988-08-30 Fuji Photo Film Co., Ltd. Infrared absorbent
US4763966A (en) 1984-07-16 1988-08-16 Fuji Photo Film Co., Ltd. Infrared absorbent
US4705739A (en) 1984-07-16 1987-11-10 Minnesota Mining And Manufacturing Company Graphic arts imaging constructions using vapor-deposited colorant and metalloid layers with overlying photosensitive resist layer
US4696969A (en) * 1984-07-27 1987-09-29 General Electric Company Emulsion polymerized silicone emulsions having siloxane-bonded UV absorbers
US5674648A (en) 1984-08-06 1997-10-07 Brewer Science, Inc. Anti-reflective coating
US4657965A (en) * 1984-10-22 1987-04-14 Toshiba Silicone Co., Ltd. Silicone elastomer composition
US4594309A (en) 1984-10-31 1986-06-10 Allied Corporation α,β Diketone containing polymers as positive photoresist compositions
US4670299A (en) * 1984-11-01 1987-06-02 Fujitsu Limited Preparation of lower alkyl polysilsesquioxane and formation of insulating layer of silylated polymer on electronic circuit board
US4587138A (en) * 1984-11-09 1986-05-06 Intel Corporation MOS rear end processing
US4620986A (en) * 1984-11-09 1986-11-04 Intel Corporation MOS rear end processing
US4705729A (en) 1984-11-19 1987-11-10 Hewlett-Packard Company Method for photochemically enhancing resolution in photolithography processes
IT1177373B (it) 1984-12-06 1987-08-26 Bioresearch Spa Sali della 5'-metiltio-5'-deossiadenosina con acidi solfonici a lunga catena alchilica
US4708925A (en) 1984-12-11 1987-11-24 Minnesota Mining And Manufacturing Company Photosolubilizable compositions containing novolac phenolic resin
FR2579552B1 (fr) * 1985-03-27 1990-06-08 Honda Motor Co Ltd Dispositif de direction des roues avant et arriere pour vehicule
US4745169A (en) * 1985-05-10 1988-05-17 Hitachi, Ltd. Alkali-soluble siloxane polymer, silmethylene polymer, and polyorganosilsesquioxane polymer
US4663414A (en) * 1985-05-14 1987-05-05 Stauffer Chemical Company Phospho-boro-silanol interlayer dielectric films and preparation
US4676887A (en) * 1985-06-03 1987-06-30 Mobil Oil Corporation Production of high octane gasoline
JPS6289907A (ja) 1985-06-19 1987-04-24 Sumitomo Bakelite Co Ltd 偏光膜一体型透明導電性フイルム
US4595599A (en) * 1985-06-21 1986-06-17 Advanced Chemical Technologies Company Luminescent silane
US4681795A (en) 1985-06-24 1987-07-21 The United States Of America As Represented By The Department Of Energy Planarization of metal films for multilevel interconnects
US4674176A (en) 1985-06-24 1987-06-23 The United States Of America As Represented By The United States Department Of Energy Planarization of metal films for multilevel interconnects by pulsed laser heating
US4814578A (en) 1985-06-24 1989-03-21 The United States Of America As Represented By The Department Of Energy Planarization of metal films for multilevel interconnects
US4609614A (en) 1985-06-24 1986-09-02 Rca Corporation Process of using absorptive layer in optical lithography with overlying photoresist layer to form relief pattern on substrate
US4816049A (en) * 1985-07-12 1989-03-28 Hoya Corporation Process of surface treating laser glass
US5034189A (en) * 1985-08-27 1991-07-23 The Regents Of The University Of California Fluorescent probe for rapid measurement of analyte concentration
EP0217137B1 (en) 1985-08-29 1992-04-08 E.I. Du Pont De Nemours And Company Photopolymerizable composition of acrylic copolymer containing dicyclopentenyl acrylate or methacrylate
US4786569A (en) * 1985-09-04 1988-11-22 Ciba-Geigy Corporation Adhesively bonded photostructurable polyimide film
US4923638A (en) 1985-09-30 1990-05-08 Fuji Photo Film Co., Ltd. Near infrared absorbing composition
US4723978A (en) * 1985-10-31 1988-02-09 International Business Machines Corporation Method for a plasma-treated polysiloxane coating
KR910003169B1 (ko) 1985-11-12 1991-05-20 가부시끼가이샤 한도다이 에네르기 겐뀨소 반도체 장치 제조 방법 및 장치
CA1325354C (en) 1985-12-09 1993-12-21 Yasushi Umeda Photosensitive resin base printing material
US4678835A (en) 1986-01-30 1987-07-07 Ppg Industries, Inc. Coating composition containing an ungelled reaction product as a curative
US4693959A (en) 1986-03-07 1987-09-15 E.I. Du Pont De Nemours And Company Adhesion promotion in photoresist lamination and processing
US4676867A (en) 1986-06-06 1987-06-30 Rockwell International Corporation Planarization process for double metal MOS using spin-on glass as a sacrificial layer
DE3760773D1 (en) * 1986-07-25 1989-11-16 Oki Electric Ind Co Ltd Negative resist material, method for its manufacture and method for using it
JPS63139303A (ja) 1986-08-05 1988-06-11 Fuji Photo Film Co Ltd 赤外線吸収性組成物
US4806504A (en) * 1986-09-11 1989-02-21 Fairchild Semiconductor Corporation Planarization method
US4732858A (en) 1986-09-17 1988-03-22 Brewer Science, Inc. Adhesion promoting product and process for treating an integrated circuit substrate
US4950583A (en) 1986-09-17 1990-08-21 Brewer Science Inc. Adhesion promoting product and process for treating an integrated circuit substrate therewith
US4731264A (en) 1986-10-03 1988-03-15 Ppg Industries, Inc. Sol-gel compositions containing silane and alumina
US4863827A (en) 1986-10-20 1989-09-05 American Hoechst Corporation Postive working multi-level photoresist
US6033283A (en) 1986-10-21 2000-03-07 Applied Elastomerics, Inc. Humdinger, string spinning toy
US4822697A (en) * 1986-12-03 1989-04-18 Dow Corning Corporation Platinum and rhodium catalysis of low temperature formation multilayer ceramics
US4756977A (en) * 1986-12-03 1988-07-12 Dow Corning Corporation Multilayer ceramics from hydrogen silsesquioxane
US4898907A (en) * 1986-12-03 1990-02-06 Dow Corning Corporation Compositions of platinum and rhodium catalyst in combination with hydrogen silsesquioxane resin
US5008320A (en) * 1986-12-04 1991-04-16 Dow Corning Corporation Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides
US4753855A (en) * 1986-12-04 1988-06-28 Dow Corning Corporation Multilayer ceramic coatings from metal oxides for protection of electronic devices
US4911992A (en) * 1986-12-04 1990-03-27 Dow Corning Corporation Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides
US4808653A (en) * 1986-12-04 1989-02-28 Dow Corning Corporation Coating composition containing hydrogen silsesquioxane resin and other metal oxide precursors
JPH0819381B2 (ja) * 1987-01-06 1996-02-28 日本合成ゴム株式会社 コーティング用組成物
US5328976A (en) * 1987-01-09 1994-07-12 Allied-Signal Inc. Carbon-containing black glass monoliths
US5077085A (en) 1987-03-06 1991-12-31 Schnur Joel M High resolution metal patterning of ultra-thin films on solid substrates
US5389496A (en) 1987-03-06 1995-02-14 Rohm And Haas Company Processes and compositions for electroless metallization
US5079600A (en) 1987-03-06 1992-01-07 Schnur Joel M High resolution patterning on solid substrates
DE3810247A1 (de) * 1987-03-26 1988-10-06 Toshiba Kawasaki Kk Lichtempfindliche beschichtungsmasse
US4782009A (en) 1987-04-03 1988-11-01 General Electric Company Method of coating and imaging photopatternable silicone polyamic acid
US4855199A (en) * 1987-04-03 1989-08-08 General Electric Company Photopatterned product of silicone polyamic acid on a transparent substrate
DE3719844A1 (de) 1987-06-13 1988-12-29 Basf Ag Durch photopolymersisation vernetzbares gemisch
JPS63312643A (ja) * 1987-06-16 1988-12-21 Mitsubishi Electric Corp 半導体装置の製造方法
US4839274A (en) 1987-06-30 1989-06-13 Eastman Kodak Company Novel polymethine dyes and UV absorbers containing a triarylborylisocyano group and imaging compositions containing these dyes
EP0301641A1 (en) 1987-07-23 1989-02-01 Koninklijke Philips Electronics N.V. Master disc and method of manufacturing a matrix
US4973510A (en) 1987-09-02 1990-11-27 Teijin Limited Coated sheet material and process for producing same
US5024923A (en) 1987-09-09 1991-06-18 Fuji Photo Film Co., Ltd. Infrared absorbent compositions
DE3735852A1 (de) 1987-10-23 1989-05-03 Hoechst Ag Positiv arbeitendes lichtempfindliches gemisch, enthaltend einen farbstoff, und daraus hergestelltes positiv arbeitendes lichtempfindliches aufzeichnungsmaterial
WO1989004004A1 (en) 1987-10-24 1989-05-05 Ito Optical Industrial Co., Ltd. Processing solution for preventing reflection of optical parts and process for preventing reflection using the solution
US4925772A (en) 1987-11-26 1990-05-15 Siemens Aktiengesellschaft Anti-radiation covering for electronic components
US5272026A (en) 1987-12-18 1993-12-21 Ucb S.A. Negative image process utilizing photosensitive compositions containing aromatic fused polycyclic sulfonic acid and partial ester or phenolic resin with diazoquinone sulfonic acid or diazoquinone carboxylic acid, and associated imaged article
GB8729510D0 (en) 1987-12-18 1988-02-03 Ucb Sa Photosensitive compositions containing phenolic resins & diazoquinone compounds
US4849296A (en) * 1987-12-28 1989-07-18 Dow Corning Corporation Multilayer ceramic coatings from metal oxides and hydrogen silsesquioxane resin ceramified in ammonia
US4847162A (en) * 1987-12-28 1989-07-11 Dow Corning Corporation Multilayer ceramics coatings from the ceramification of hydrogen silsequioxane resin in the presence of ammonia
JPH01185367A (ja) * 1988-01-18 1989-07-24 Toshiba Silicone Co Ltd 表面処理されたポリメチルシルセスキオキサン粉末の製造方法
US6040251A (en) 1988-03-14 2000-03-21 Nextec Applications Inc. Garments of barrier webs
US5194364A (en) 1988-03-16 1993-03-16 Fujitsu Limited Process for formation of resist patterns
US5391463A (en) 1988-04-14 1995-02-21 The United States Of America As Represented By The Secretary Of The Navy Surface modification to create regions resistant to adsorption of biomolecules
US4942083A (en) 1988-05-16 1990-07-17 Smith Novis W Jr Abrasion resistant coatings
EP0345219B1 (de) 1988-05-31 1994-02-02 Ciba-Geigy Ag Wässrige Dispersion von 2-(2'-Hydroxyphenyl-)benzotriazolen
US4921778A (en) * 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US5403680A (en) 1988-08-30 1995-04-04 Osaka Gas Company, Ltd. Photolithographic and electron beam lithographic fabrication of micron and submicron three-dimensional arrays of electronically conductive polymers
US5173368A (en) 1988-09-14 1992-12-22 Pilkington Visioncare Holdings, Inc. Solution-applied antireflective coatings
US4954414A (en) 1988-11-08 1990-09-04 The Mead Corporation Photosensitive composition containing a transition metal coordination complex cation and a borate anion and photosensitive materials employing the same
US5199979A (en) 1988-11-25 1993-04-06 Ppg Industries, Inc. UV resistant, abrasion resistant coatings
US4981530A (en) * 1988-11-28 1991-01-01 International Business Machines Corporation Planarizing ladder-type silsesquioxane polymer insulation layer
US5455145A (en) 1988-12-24 1995-10-03 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing double layer resist pattern and double layer resist structure
US5300402A (en) 1988-12-30 1994-04-05 International Business Machines Corporation Composition for photo imaging
US5026624A (en) 1989-03-03 1991-06-25 International Business Machines Corporation Composition for photo imaging
US4940651A (en) 1988-12-30 1990-07-10 International Business Machines Corporation Method for patterning cationic curable photoresist
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
US6180317B1 (en) 1988-12-30 2001-01-30 International Business Machines Corporation Composition for photoimaging
US5747223A (en) 1988-12-30 1998-05-05 International Business Machines Corporation Composition for photoimaging
EP0388503B1 (en) 1989-02-03 1993-09-01 Mitsubishi Materials Corporation Method for pulling single crystals
US5278010A (en) 1989-03-03 1994-01-11 International Business Machines Corporation Composition for photo imaging
US6210862B1 (en) 1989-03-03 2001-04-03 International Business Machines Corporation Composition for photoimaging
US4885262A (en) * 1989-03-08 1989-12-05 Intel Corporation Chemical modification of spin-on glass for improved performance in IC fabrication
DE69027799T2 (de) 1989-03-14 1997-01-23 Ibm Chemisch amplifizierter Photolack
US5106534A (en) 1989-05-16 1992-04-21 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5250224A (en) 1989-05-16 1993-10-05 J. M. Huber Corporation Foamed products containing endothermic blowing agents and processes
US5137655A (en) 1989-05-16 1992-08-11 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
US5009809A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
US5252618A (en) 1989-05-16 1993-10-12 J. M. Huber Corporation Endothermic blowing agents for strengthening weld lines in molded thermoplastic resins and products
US5009810A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5302455A (en) 1989-05-16 1994-04-12 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5317044A (en) 1989-05-16 1994-05-31 J. M. Huber Corporation Endothermic blowing agents for surface migration of components in foamed products, compositions and applications
SU1712374A1 (ru) * 1989-06-16 1992-02-15 Чебоксарское производственное объединение "Химпром" Полиметилсилоксановые бисантрахиноновые красители дл кремнийорганических полимерных материалов
US5013608A (en) 1989-07-07 1991-05-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US5102695A (en) 1989-07-07 1992-04-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US4999397A (en) * 1989-07-28 1991-03-12 Dow Corning Corporation Metastable silane hydrolyzates and process for their preparation
US5045592A (en) * 1989-07-28 1991-09-03 Dow Corning Corporation Metastable silane hydrolyzates
US5112728A (en) 1989-10-05 1992-05-12 Konica Corporation Silver halide photographic light-sensitive material
US5059512A (en) 1989-10-10 1991-10-22 International Business Machines Corporation Ultraviolet light sensitive photoinitiator compositions, use thereof and radiation sensitive compositions
US5212046A (en) 1989-10-17 1993-05-18 Shipley Company Inc. Near UV photoresist
DE3940401A1 (de) 1989-12-06 1991-07-25 Siemens Ag Verfahren und anordnung zum vermindern des iodgehalts in einer salpetersauren kernbrennstoffloesung
US5252340A (en) 1989-12-14 1993-10-12 Isolyser Company, Inc. Method of producing an absorbent composition
US4973526A (en) * 1990-02-15 1990-11-27 Dow Corning Corporation Method of forming ceramic coatings and resulting articles
US5043789A (en) * 1990-03-15 1991-08-27 International Business Machines Corporation Planarizing silsesquioxane copolymer coating
JPH03272131A (ja) 1990-03-22 1991-12-03 Oki Electric Ind Co Ltd 半導体素子の製造方法
US5104692A (en) 1990-04-20 1992-04-14 Pilkington Visioncare Holdings, Inc. Two-layer antireflective coating applied in solution
US5055372A (en) 1990-04-23 1991-10-08 The Mead Corporation Photohardenable composition containing borate salts and ketone initiators
US5938499A (en) 1993-11-15 1999-08-17 Applied Elastomerics, Inc. Elastic gel toy
US6050871A (en) 1994-04-19 2000-04-18 Applied Elastomerics, Inc. Crystal gel airfoils with improved tear resistance and gel airfoils with profiles capable of exhibiting time delay recovery from deformation
US6333374B1 (en) 1990-05-21 2001-12-25 Applied Elastomerics, Inc. Fluffy, strong, solid elastic gels, articles and method of making same
US5962572A (en) 1994-04-19 1999-10-05 Applied Elastomerics, Inc. Oriented gel and oriented gel articles
US6552109B1 (en) 1994-04-19 2003-04-22 Applied Elastomerics, Inc. Gelatinous elastomer compositions and articles
US6117176A (en) 1993-11-15 2000-09-12 Applied Elastomerics, Inc. Elastic-crystal gel
US5868597A (en) 1990-05-21 1999-02-09 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
US5884639A (en) 1996-03-08 1999-03-23 Applied Elastomerics, Inc. Crystal gels with improved properties
US5760117A (en) 1990-05-21 1998-06-02 Applied Elastomerics, Inc. Gelatinous composition and articles
US6148830A (en) 1994-04-19 2000-11-21 Applied Elastomerics, Inc. Tear resistant, multiblock copolymer gels and articles
DE69101338T2 (de) 1990-05-25 1994-09-01 Matsushita Electric Ind Co Ltd Lichtempfindliche Materialien, die organische photoleitfähige Substanzen enthalten in einem polymeren Bindemittel, das aromatische Ringe umfasst, die mit OH-Gruppen und Bromatomen verbunden sind.
US5262201A (en) * 1990-06-04 1993-11-16 Dow Corning Corporation Low temperature process for converting silica precursor coatings to ceramic silica coatings by exposure to ammonium hydroxide or an environment to which water vapor and ammonia vapor have been added
US5059448A (en) * 1990-06-18 1991-10-22 Dow Corning Corporation Rapid thermal process for obtaining silica coatings
US5126289A (en) 1990-07-20 1992-06-30 At&T Bell Laboratories Semiconductor lithography methods using an arc of organic material
US5472488A (en) * 1990-09-14 1995-12-05 Hyundai Electronics America Coating solution for forming glassy layers
US5302198A (en) 1990-09-14 1994-04-12 Ncr Corporation Coating solution for forming glassy layers
US5152834A (en) 1990-09-14 1992-10-06 Ncr Corporation Spin-on glass composition
JP2999603B2 (ja) * 1990-09-14 2000-01-17 ヒュンダイ エレクトロニクス アメリカ スピンオングラス組成物、ハードマスクおよびハードマスク製造法
US5527872A (en) * 1990-09-14 1996-06-18 At&T Global Information Solutions Company Electronic device with a spin-on glass dielectric layer
US5100503A (en) * 1990-09-14 1992-03-31 Ncr Corporation Silica-based anti-reflective planarizing layer
US5140396A (en) 1990-10-10 1992-08-18 Polaroid Corporation Filter and solid state imager incorporating this filter
US5059500A (en) 1990-10-10 1991-10-22 Polaroid Corporation Process for forming a color filter
EP0482821B1 (en) 1990-10-16 1998-09-30 Mitsui Chemicals, Inc. Use of a highly light-transmitting dust protective film, process for preparation thereof and dust protective member
US5055376A (en) 1990-11-13 1991-10-08 Eastman Kodak Company Curable compositions containing onium salt photoinitiators which have a chromophore linked to the onium salt moiety through the 3-position and method of use
JP3317697B2 (ja) * 1990-11-15 2002-08-26 日立化成工業株式会社 シリカ系被膜形成用塗布液の製造方法、シリカ系被膜形成用塗布液,シリカ系被膜の製造方法,シリカ系被膜およびシリカ系被膜の形成された半導体デバイス
US5063267A (en) * 1990-11-28 1991-11-05 Dow Corning Corporation Hydrogen silsesquioxane resin fractions and their use as coating materials
EP0490819B1 (de) 1990-12-13 1995-09-13 Ciba-Geigy Ag Wässrige Dispersion schwerlöslicher UV-Absorber
US5256510A (en) 1990-12-21 1993-10-26 Eastman Kodak Company Photoelectrographic imaging with near-infrared sensitizing dyes
US5219788A (en) 1991-02-25 1993-06-15 Ibm Corporation Bilayer metallization cap for photolithography
US5520855A (en) * 1991-03-20 1996-05-28 Kabushiki Kaisha Toshiba Coating solution composition for forming glass gel thin film, color glass gel filter, and display device using the same
US5648201A (en) 1991-04-25 1997-07-15 The United Sates Of America As Represented By The Secretary Of The Navy Efficient chemistry for selective modification and metallization of substrates
JPH05202483A (ja) 1991-04-25 1993-08-10 Shipley Co Inc 無電解金属化方法と組成物
US5166093A (en) 1991-07-31 1992-11-24 Micron Technology, Inc. Method to reduce the reflectivity of a semi-conductor metallic surface
JP3272002B2 (ja) * 1991-09-02 2002-04-08 昭和電工株式会社 ポリオルガノシルセスキオキサンの製造方法
DE4132697A1 (de) * 1991-10-01 1993-04-08 Wacker Chemie Gmbh Verfahren zur herstellung von organopolysiloxanharz
US5418136A (en) 1991-10-01 1995-05-23 Biostar, Inc. Devices for detection of an analyte based upon light interference
US5212218A (en) 1991-10-15 1993-05-18 A. B. Chance Company Hydrophobic, erodiable synthetic resin composition for electrical insulators
US6472128B2 (en) 1996-04-30 2002-10-29 Shipley Company, L.L.C. Antihalation compositions
US6528235B2 (en) 1991-11-15 2003-03-04 Shipley Company, L.L.C. Antihalation compositions
US6773864B1 (en) 1991-11-15 2004-08-10 Shipley Company, L.L.C. Antihalation compositions
US6165697A (en) 1991-11-15 2000-12-26 Shipley Company, L.L.C. Antihalation compositions
EP0580857B1 (en) 1992-02-05 1998-05-20 Toray Industries, Inc. Multilayer coated article and use therein of a primer coating
JP2694097B2 (ja) 1992-03-03 1997-12-24 インターナショナル・ビジネス・マシーンズ・コーポレイション 反射防止コーティング組成物
US5457081A (en) 1992-05-15 1995-10-10 Dai Nippon Printing Co., Ltd. Thermal transfer image receiving sheet
JP2753921B2 (ja) 1992-06-04 1998-05-20 富士写真フイルム株式会社 ポジ型フオトレジスト組成物
US5576247A (en) 1992-07-31 1996-11-19 Matsushita Electric Industrial Co., Ltd. Thin layer forming method wherein hydrophobic molecular layers preventing a BPSG layer from absorbing moisture
JPH0656560A (ja) * 1992-08-10 1994-03-01 Sony Corp Sog組成物及びそれを用いた半導体装置の製造方法
US5385804A (en) * 1992-08-20 1995-01-31 International Business Machines Corporation Silicon containing negative resist for DUV, I-line or E-beam lithography comprising an aromatic azide side group in the polysilsesquioxane polymer
US6627275B1 (en) 1994-04-19 2003-09-30 Applied Elastomerics, Incorporated Tear resistant elastic crystal gels suitable for inflatable restraint cushions and other uses
US6794440B2 (en) 1994-04-19 2004-09-21 Applied Elastomerics, Inc. Tear resistant gelatinous elastomer compositions and articles for use as fishing bait
US6420475B1 (en) 1994-04-19 2002-07-16 Applied Elastomerics, Inc. Tear resistant elastic crystal gels gel composites and their uses
US6909220B2 (en) 1994-04-19 2005-06-21 Applied Elastomerics, Inc. High strain tear resistant gels and gel composites for use as artificial muscle actuators
US6324703B1 (en) 1994-04-19 2001-12-04 Applied Elastomerics, Inc. Strong, soft, tear resistant insulating compositions and composites for extreme cold weather use
US6867253B1 (en) 1994-04-19 2005-03-15 Applied Elastomerics, Inc. Tear resistant, crystalline midblock copolymer gels and articles
JPH06333803A (ja) * 1992-09-18 1994-12-02 Sharp Corp 投影型露光装置用フィルター
WO1994006870A1 (en) 1992-09-24 1994-03-31 Kansai Paint Co., Ltd. Finish coating composition and method of forming its coating film
US5873931A (en) 1992-10-06 1999-02-23 Minnesota Mining And Manufacturing Company Coating composition having anti-reflective and anti-fogging properties
CA2107715A1 (en) 1992-10-06 1994-04-07 Hiroyuki Naito Solvent-free organosiloxane composition and its use
JPH06140396A (ja) 1992-10-23 1994-05-20 Yamaha Corp 半導体装置とその製法
US5384357A (en) 1992-11-02 1995-01-24 General Electric Company Infrared radiation curable organopolysiloxane compositions
US5719249A (en) 1993-11-29 1998-02-17 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Reactive silicon group-containing polyoxyalkylene-polysiloxane copolymer
US5395734A (en) 1992-11-30 1995-03-07 Minnesota Mining And Manufacturing Company Shoot and run printing materials
DE4241727A1 (de) 1992-12-10 1994-06-16 Wacker Chemie Gmbh In Wasser selbstdispergierende, Organopolysiloxan anhaltende Zusammensetzungen
US5449712A (en) 1993-01-13 1995-09-12 Thoro System Products, Inc. Organosilicon emulsions for rendering porous substrates water repellent
US5414069A (en) * 1993-02-01 1995-05-09 Polaroid Corporation Electroluminescent polymers, processes for their use, and electroluminescent devices containing these polymers
US5512418A (en) 1993-03-10 1996-04-30 E. I. Du Pont De Nemours And Company Infra-red sensitive aqueous wash-off photoimaging element
US5328975A (en) * 1993-04-02 1994-07-12 Ppg Industries, Inc. Ultraviolet radiation absorbing coating
US5498748A (en) 1993-07-20 1996-03-12 Wako Pure Chemical Industries, Ltd. Anthracene derivatives
US5576359A (en) 1993-07-20 1996-11-19 Wako Pure Chemical Industries, Ltd. Deep ultraviolet absorbent composition
JP3064753B2 (ja) 1993-08-10 2000-07-12 東亞合成株式会社 抗菌性熱可塑性樹脂成形体の製造方法
DE4331162A1 (de) 1993-09-14 1995-03-16 Bayer Ag Verfahren zur Herstellung von Cyaninfarbstoffen
JP3200257B2 (ja) 1993-09-13 2001-08-20 キヤノン株式会社 画像復号装置
US5467626A (en) 1993-10-01 1995-11-21 The Boeing Company Integral forming die system for superplastic metal forming
US5382615A (en) 1993-10-01 1995-01-17 Eastman Chemical Company Modified polyethylene based hot-melt adhesives for use in packaging
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
DE4338360A1 (de) * 1993-11-10 1995-05-11 Inst Neue Mat Gemein Gmbh Verfahren zur Herstellung von funktionellen glasartigen Schichten
EP0675410B1 (en) 1994-03-28 1999-08-04 Wako Pure Chemical Industries Ltd Resist composition for deep ultraviolet light
US6161555A (en) 1994-04-19 2000-12-19 Applied Elastomerics, Inc. Crystal gels useful as dental floss with improved high tear, high tensile, and resistance to high stress rupture properties
US5516286A (en) 1994-05-16 1996-05-14 Kushner; Philip Dental isolation tray particularly suited for use when applying dental sealants and method for its use
JP2791397B2 (ja) 1994-05-19 1998-08-27 東亞合成株式会社 新規な有機ハロシラン化合物
JP3301215B2 (ja) 1994-05-31 2002-07-15 ソニー株式会社 ハーフトーン型位相シフトマスク、ハーフトーン型位相シフトマスクの作製に用いる半透明部形成材料、及びハーフトーン型位相シフトマスクの作製方法
US5759625A (en) 1994-06-03 1998-06-02 E. I. Du Pont De Nemours And Company Fluoropolymer protectant layer for high temperature superconductor film and photo-definition thereof
US5468591A (en) 1994-06-14 1995-11-21 Eastman Kodak Company Barrier layer for laser ablative imaging
JP3209476B2 (ja) 1994-06-17 2001-09-17 フジコピアン株式会社 ファブリックインクリボン
FR2721720B1 (fr) 1994-06-27 1996-09-06 Essilor Int Lentille ophtalmique en verre organique à intercouche anti-chocs et son procédé de fabrication.
US20020034630A1 (en) 1994-06-27 2002-03-21 Jean-Paul Cano Ophthalmic lens made of organic glass with a shockproof intermediate layer, and method for making same
US5705116A (en) * 1994-06-27 1998-01-06 Sitzmann; Eugene Valentine Increasing the useful range of cationic photoinitiators in stereolithography
US5910021A (en) 1994-07-04 1999-06-08 Yamaha Corporation Manufacture of semiconductor device with fine pattens
US5729563A (en) * 1994-07-07 1998-03-17 Hewlett-Packard Company Method and apparatus for optically and thermally isolating surface emitting laser diodes
US5976666A (en) 1994-08-29 1999-11-02 Sri International Electromagnetic radiation absorbing devices and associated methods of manufacture and use
US5498468A (en) 1994-09-23 1996-03-12 Kimberly-Clark Corporation Fabrics composed of ribbon-like fibrous material and method to make the same
US5527562A (en) * 1994-10-21 1996-06-18 Aluminum Company Of America Siloxane coatings for aluminum reflectors
US5449639A (en) 1994-10-24 1995-09-12 Taiwan Semiconductor Manufacturing Company Ltd. Disposable metal anti-reflection coating process used together with metal dry/wet etch
KR0129950B1 (ko) 1994-11-30 1998-04-03 김광호 반사방지막 조성물
US5964917A (en) 1995-01-31 1999-10-12 Latting; John Alvis Free-flowing fertilizer compositions
US5679128A (en) 1995-01-31 1997-10-21 Latting; John Alvis Dry-bonded nonionic adjuvants
US5580819A (en) 1995-03-22 1996-12-03 Ppg Industries, Inc. Coating composition, process for producing antireflective coatings, and coated articles
US5945249A (en) 1995-04-20 1999-08-31 Imation Corp. Laser absorbable photobleachable compositions
US5935758A (en) 1995-04-20 1999-08-10 Imation Corp. Laser induced film transfer system
GB9508031D0 (en) 1995-04-20 1995-06-07 Minnesota Mining & Mfg UV-absorbing media bleachable by IR-radiation
GB9617416D0 (en) 1996-08-20 1996-10-02 Minnesota Mining & Mfg Thermal bleaching of infrared dyes
US5747553A (en) 1995-04-26 1998-05-05 Reinforced Polymer Inc. Low pressure acrylic molding composition with fiber reinforcement
US6103779A (en) 1995-04-26 2000-08-15 Reinforced Polmers, Inc. Method of preparing molding compositions with fiber reinforcement and products obtained therefrom
US6150250A (en) 1995-07-05 2000-11-21 Yamaha Corporation Conductive layer forming method using etching mask with direction <200>
JP3512911B2 (ja) 1995-07-11 2004-03-31 富士写真フイルム株式会社 紫外線吸収剤前駆体化合物、それを含有する感光性樹脂組成物及び画像形成方法
US5693691A (en) * 1995-08-21 1997-12-02 Brewer Science, Inc. Thermosetting anti-reflective coatings compositions
US5583195A (en) * 1995-09-29 1996-12-10 General Electric Company Photocurable epoxy silicones functionalized with fluorescent or photosensitizing marker dyes
JPH09120157A (ja) 1995-10-25 1997-05-06 Fuji Photo Film Co Ltd 湿し水不要感光性平版印刷版
US5663286A (en) 1995-11-09 1997-09-02 H.B. Fuller Licensing And Financing, Inc. Nonwoven web comprising water soluble polyamides and articles constructed therefrom
US5672243A (en) 1995-11-28 1997-09-30 Mosel Vitelic, Inc. Antireflection coating for highly reflective photolithographic layers comprising chromium oxide or chromium suboxide
TW376408B (en) 1995-12-01 1999-12-11 Nissan Chemical Ind Ltd Coating film having water repellency and low refractive index
JP3930591B2 (ja) 1995-12-22 2007-06-13 東陶機器株式会社 光触媒性親水性コーティング組成物、親水性被膜の形成方法および被覆物品
EP0880715A1 (en) 1996-02-13 1998-12-02 Sola International Inc. Color-neutral uv blocking coating for plastic lens
US5756257A (en) 1996-02-14 1998-05-26 Imation Corp. Color proofing article incorporating novel antihalation dye
JP3436843B2 (ja) 1996-04-25 2003-08-18 東京応化工業株式会社 リソグラフィー用下地材及びそれを用いたリソグラフィー用レジスト材料
US6291586B2 (en) 1996-05-03 2001-09-18 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyurethanes and polyureas
US5994431A (en) 1996-05-03 1999-11-30 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyolefins
TW354392B (en) * 1996-07-03 1999-03-11 Du Pont Photomask blanks
TW515926B (en) 1996-07-10 2003-01-01 Matsushita Electric Ind Co Ltd Liquid crystal alignment film and method for producing the same, and liquid crystal display apparatus using the same and method for producing the same
JP3222386B2 (ja) 1996-07-12 2001-10-29 信越化学工業株式会社 コーティング剤組成物及びそのコーティング組成物で処理してなる物品
US6072018A (en) * 1996-09-30 2000-06-06 Virginia Tech Intellectual Properties, Inc. High abrasion resistant coating material
AU4991097A (en) 1996-10-25 1998-05-22 Blue River International, L.L.C. Silicon coating compositions and uses thereof
JPH10161315A (ja) * 1996-12-05 1998-06-19 Nippon Steel Chem Co Ltd アルカリ可溶性感光性樹脂組成物
US5695551A (en) 1996-12-09 1997-12-09 Dow Corning Corporation Water repellent composition
KR100276803B1 (ko) 1996-12-13 2001-01-15 이마이 기요스케 실리콘 에멀젼 코팅재 조성물과 그것의 제조방법
EP0851300B1 (en) * 1996-12-24 2001-10-24 Fuji Photo Film Co., Ltd. Bottom anti-reflective coating material composition and method of forming resist pattern using the same
US5939236A (en) 1997-02-07 1999-08-17 Shipley Company, L.L.C. Antireflective coating compositions comprising photoacid generators
US6174631B1 (en) * 1997-02-10 2001-01-16 E. I. Du Pont De Nemours And Company Attenuating phase shift photomasks
US6491840B1 (en) 2000-02-14 2002-12-10 The Procter & Gamble Company Polymer compositions having specified PH for improved dispensing and improved stability of wrinkle reducing compositions and methods of use
JP3916284B2 (ja) * 1997-02-28 2007-05-16 東京応化工業株式会社 多層配線構造の形成方法
DE19710461A1 (de) 1997-03-13 1998-09-17 Wacker Chemie Gmbh Farbstoffreste aufweisende Organopolysiloxane
US6777092B1 (en) 1997-05-13 2004-08-17 Kirin Beer Kabushiki Kaisha Coating and material for forming vitreous coating film, method of coating with the same, and coater
TW473653B (en) 1997-05-27 2002-01-21 Clariant Japan Kk Composition for anti-reflective film or photo absorption film and compound used therein
US5883011A (en) 1997-06-18 1999-03-16 Vlsi Technology, Inc. Method of removing an inorganic antireflective coating from a semiconductor substrate
JP4012600B2 (ja) 1997-06-23 2007-11-21 富士通株式会社 酸感応性重合体、レジスト組成物、レジストパターン形成方法、および半導体装置の製造方法
JP3473887B2 (ja) * 1997-07-16 2003-12-08 東京応化工業株式会社 反射防止膜形成用組成物及びそれを用いたレジストパターンの形成方法
JP3743134B2 (ja) * 1997-07-25 2006-02-08 Jsr株式会社 反射防止膜形成用組成物
US5962067A (en) * 1997-09-09 1999-10-05 Lucent Technologies Inc. Method for coating an article with a ladder siloxane polymer and coated article
JP4053631B2 (ja) 1997-10-08 2008-02-27 Azエレクトロニックマテリアルズ株式会社 反射防止膜又は光吸収膜用組成物及びこれに用いる重合体
US6057239A (en) * 1997-12-17 2000-05-02 Advanced Micro Devices, Inc. Dual damascene process using sacrificial spin-on materials
US6255671B1 (en) 1998-01-05 2001-07-03 International Business Machines Corporation Metal embedded passivation layer structure for microelectronic interconnect formation, customization and repair
US6190839B1 (en) 1998-01-15 2001-02-20 Shipley Company, L.L.C. High conformality antireflective coating compositions
EP1049442B2 (de) 1998-01-22 2007-03-07 KETTENBACH GmbH &amp; CO. KG Unterfütterung für prothesen und verfahren zur herstellung
US6190955B1 (en) 1998-01-27 2001-02-20 International Business Machines Corporation Fabrication of trench capacitors using disposable hard mask
CA2318881A1 (en) 1998-02-04 1999-08-12 Tina Garyantes Virtual wells for use in high throughput screening assays
DE69914515T2 (de) * 1998-02-06 2004-12-16 Seiwa Kasei Co., Ltd., Higashi-Osaka Mikrokapsel mit spezifischer Wand und Verfahren zur Herstellung
US5972616A (en) 1998-02-20 1999-10-26 The Board Of Trustees Of The University Of Arkansas TADG-15: an extracellular serine protease overexpressed in breast and ovarian carcinomas
US7022821B1 (en) 1998-02-20 2006-04-04 O'brien Timothy J Antibody kit for the detection of TADG-15 protein
US6503586B1 (en) 1998-02-25 2003-01-07 Arteva North America S.A.R.L. Title improved infrared absorbing polyester packaging polymer
US6962727B2 (en) 1998-03-20 2005-11-08 Honeywell International Inc. Organosiloxanes
US5985444A (en) 1998-04-03 1999-11-16 3M Innovative Properties Company Amide functional ultraviolet light absorbers for fluoropolymers
US6344284B1 (en) * 1998-04-10 2002-02-05 Organic Display Technology Organic electroluminescent materials and devices made from such materials
US5986344A (en) 1998-04-14 1999-11-16 Advanced Micro Devices, Inc. Anti-reflective coating layer for semiconductor device
DE19817069A1 (de) 1998-04-17 1999-10-21 Clariant Gmbh Infrarotstrahlung reflektierende Farbmittel
US6576408B2 (en) 1998-04-29 2003-06-10 Brewer Science, Inc. Thermosetting anti-reflective coatings comprising aryl urethanes of hydroxypropyl cellulose
CA2330689A1 (en) 1998-04-29 1999-11-04 Brewer Science, Inc. Fast-etching, thermosetting anti-reflective coatings derived from cellulosic binders
JPH11338129A (ja) * 1998-05-25 1999-12-10 Fujifilm Olin Co Ltd 無機物質含有感光性樹脂組成物、それを用いる感光材料および隔壁形成方法
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
KR20010023776A (ko) 1998-07-10 2001-03-26 잔디해머,한스루돌프하우스 저면 반사 방지막용 조성물 및 이에 사용하기 위한 신규중합체 염료
US6444584B1 (en) 1998-07-16 2002-09-03 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming composite silicon/dielectric/silicon stack layer
US6103456A (en) 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
DE19834745A1 (de) 1998-08-01 2000-02-03 Agfa Gevaert Ag Strahlungsempfindliches Gemisch mit IR-absorbierenden, anionischen Cyaninfarbstoffen und damit hergestelltes Aufzeichnungsmaterial
US6217890B1 (en) 1998-08-25 2001-04-17 Susan Carol Paul Absorbent article which maintains or improves skin health
US6149934A (en) 1999-04-23 2000-11-21 Kimberly-Clark Worldwide, Inc. Absorbent article having a lotionized bodyside liner
US6287286B1 (en) 1998-08-25 2001-09-11 Kimberly-Clark Worldwide, Inc. Absorbent article having a reduced viability of candida albicans
US6497893B1 (en) 1999-06-30 2002-12-24 Kimberly-Clark Worldwide, Inc. Silk protein treatment composition and treated substrate for transfer to skin
US6448464B1 (en) 1999-07-30 2002-09-10 Kimberly-Clark Worldwide, Inc. Absorbent article which maintains skin temperature when wet
US6238379B1 (en) 1998-08-25 2001-05-29 Kimberly-Clark Worldwide, Inc. Absorbent article with increased wet breathability
US6152906A (en) 1998-08-25 2000-11-28 Kimberly-Clark Worldwide, Inc. Absorbent article having improved breathability
US6410209B1 (en) 1998-09-15 2002-06-25 Shipley Company, L.L.C. Methods utilizing antireflective coating compositions with exposure under 200 nm
US6190830B1 (en) 1998-09-29 2001-02-20 Kodak Polychrome Graphics Llc Processless direct write printing plate having heat sensitive crosslinked vinyl polymer with organoonium group and methods of imaging and printing
US6562192B1 (en) 1998-10-02 2003-05-13 Kimberly-Clark Worldwide, Inc. Absorbent articles with absorbent free-flowing particles and methods for producing the same
US6667424B1 (en) 1998-10-02 2003-12-23 Kimberly-Clark Worldwide, Inc. Absorbent articles with nits and free-flowing particles
US6673982B1 (en) 1998-10-02 2004-01-06 Kimberly-Clark Worldwide, Inc. Absorbent article with center fill performance
US6503233B1 (en) 1998-10-02 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent article having good body fit under dynamic conditions
JP3702108B2 (ja) 1998-10-07 2005-10-05 株式会社東芝 レジストパターン形成方法
JP2000129073A (ja) 1998-10-26 2000-05-09 Toyo Ink Mfg Co Ltd 常温硬化性樹脂組成物および該樹脂組成物を塗工した基材
DE19852852A1 (de) 1998-11-11 2000-05-18 Inst Halbleiterphysik Gmbh Lithographieverfahren zur Emitterstrukturierung von Bipolartransistoren
US6251973B1 (en) 1998-11-23 2001-06-26 Akzo Nobel N.V. Coatings and coating compositions of a reactive group-containing polymer, a hydrazide and a silane
US5981675A (en) 1998-12-07 1999-11-09 Bausch & Lomb Incorporated Silicone-containing macromonomers and low water materials
US6326231B1 (en) 1998-12-08 2001-12-04 Advanced Micro Devices, Inc. Use of silicon oxynitride ARC for metal layers
US6235456B1 (en) 1998-12-09 2001-05-22 Advanced Micros Devices, Inc. Graded anti-reflective barrier films for ultra-fine lithography
US6635281B2 (en) 1998-12-23 2003-10-21 Alza Corporation Gastric retaining oral liquid dosage form
US6342249B1 (en) 1998-12-23 2002-01-29 Alza Corporation Controlled release liquid active agent formulation dosage forms
KR100363695B1 (ko) 1998-12-31 2003-04-11 주식회사 하이닉스반도체 유기난반사방지중합체및그의제조방법
TW476865B (en) 1999-01-28 2002-02-21 Tokyo Ohka Kogyo Co Ltd Undercoating composition for photolithographic resist
US6544717B2 (en) 1999-01-28 2003-04-08 Tokyo Ohka Kogyo Co., Ltd. Undercoating composition for photolithographic resist
US6187505B1 (en) 1999-02-02 2001-02-13 International Business Machines Corporation Radiation sensitive silicon-containing resists
EP1031579B1 (en) 1999-02-26 2005-07-27 Showa Denko Kabushiki Kaisha Photopolymerization initiator for color filter, photosensitive coloring composition, and color filter
US6316165B1 (en) 1999-03-08 2001-11-13 Shipley Company, L.L.C. Planarizing antireflective coating compositions
US6849923B2 (en) 1999-03-12 2005-02-01 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
JP4270632B2 (ja) 1999-03-12 2009-06-03 株式会社東芝 ドライエッチングを用いた半導体装置の製造方法
US6426125B1 (en) 1999-03-17 2002-07-30 General Electric Company Multilayer article and method of making by ARC plasma deposition
JP2000298352A (ja) * 1999-04-14 2000-10-24 Jsr Corp 電子部品用材料およびその使用方法
US6409883B1 (en) 1999-04-16 2002-06-25 Kimberly-Clark Worldwide, Inc. Methods of making fiber bundles and fibrous structures
US6268457B1 (en) * 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
US6890448B2 (en) 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
US6329118B1 (en) * 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
JP2001019574A (ja) * 1999-07-07 2001-01-23 Nec Corp 複合基板、光導波路、クラッド用材料及び複合基板の製造方法
DE60022765T2 (de) 1999-07-30 2006-06-29 Ppg Industries Ohio, Inc. Beschichtungszusammensetzungen mit verbesserter kratzfestigkeit und beschichtete oberflächen
US6623791B2 (en) 1999-07-30 2003-09-23 Ppg Industries Ohio, Inc. Coating compositions having improved adhesion, coated substrates and methods related thereto
US6107167A (en) 1999-08-02 2000-08-22 Advanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US6475892B1 (en) 1999-08-02 2002-11-05 Aadvanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US6335235B1 (en) 1999-08-17 2002-01-01 Advanced Micro Devices, Inc. Simplified method of patterning field dielectric regions in a semiconductor device
AR025300A1 (es) 1999-08-23 2002-11-20 Kimberly Clark Co Un articulo absorbente descartable con capacidad para respirar en humedo incrementada.
AR027842A1 (es) 1999-08-23 2003-04-16 Kimberly Clark Co Un articulo absorbente el cual mantiene o mejora la salud de la piel
JP2001079491A (ja) 1999-09-10 2001-03-27 Koito Mfg Co Ltd 塗膜形成方法及び該方法で形成された車両用灯具
EP1757565A3 (en) 1999-09-13 2009-01-07 Koninklijke Philips Electronics N.V. Light-absorbing coating and electric lamp therewith
JP4248098B2 (ja) 1999-09-20 2009-04-02 東京応化工業株式会社 反射防止膜形成用組成物及びレジストパターンの形成方法
US6315946B1 (en) 1999-10-21 2001-11-13 The United States Of America As Represented By The Secretary Of The Navy Ultra low carbon bainitic weathering steel
US6232424B1 (en) 1999-12-13 2001-05-15 Dow Corning Corporation Soluble silicone resin compositions having good solution stability
US6403464B1 (en) 1999-11-03 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to reduce the moisture content in an organic low dielectric constant material
US6391524B2 (en) 1999-11-19 2002-05-21 Kodak Polychrome Graphics Llc Article having imagable coatings
TW468053B (en) 1999-12-14 2001-12-11 Nissan Chemical Ind Ltd Antireflection film, process for forming the antireflection film, and antireflection glass
US6306736B1 (en) 2000-02-04 2001-10-23 The Regents Of The University Of California Process for forming shaped group III-V semiconductor nanocrystals, and product formed using process
IL146385A (en) 2000-02-08 2007-03-08 Adsil Lc Method for improving heat efficiency using silane coatings and coated articles produced thereby
DE60123389T2 (de) 2000-02-14 2007-08-02 The Procter & Gamble Company, Cincinnati Stabile, wässerige zusammensetzungen zur behandlung von oberflächen , insbesondere geweben
JP3759456B2 (ja) 2000-02-22 2006-03-22 ブルーワー サイエンス アイ エヌ シー. 化学蒸着により蒸着される反射防止有機ポリマーコーティング
US6432191B2 (en) 2000-02-28 2002-08-13 John B. Schutt Silane-based, coating compositions, coated articles obtained therefrom and methods of using same
US6451420B1 (en) 2000-03-17 2002-09-17 Nanofilm, Ltd. Organic-inorganic hybrid polymer and method of making same
JP3795333B2 (ja) * 2000-03-30 2006-07-12 東京応化工業株式会社 反射防止膜形成用組成物
EP1278799A1 (en) 2000-03-30 2003-01-29 General Electric Company Transparent, flame retardant poly(arylene ether) blends
US6268294B1 (en) 2000-04-04 2001-07-31 Taiwan Semiconductor Manufacturing Company Method of protecting a low-K dielectric material
US6593388B2 (en) 2000-04-04 2003-07-15 Renssealer Polytechnic Institute Oligomeric and polymeric photosensitizers comprising a polynuclear aromatic group
ATE321105T1 (de) 2000-04-13 2006-04-15 Jsr Corp Überzugsmittel, verfahren zur herstellung, gehärtetes produkt und beschichtungsfilm
US6374738B1 (en) 2000-05-03 2002-04-23 Presstek, Inc. Lithographic imaging with non-ablative wet printing members
US6632535B1 (en) 2000-06-08 2003-10-14 Q2100, Inc. Method of forming antireflective coatings
JP3846545B2 (ja) 2000-06-08 2006-11-15 信越化学工業株式会社 コーティング剤組成物、コーティング方法及び被覆物品
US6852766B1 (en) 2000-06-15 2005-02-08 3M Innovative Properties Company Multiphoton photosensitization system
US6420088B1 (en) 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
US6323268B1 (en) 2000-06-27 2001-11-27 Dow Corning Corporation Organosilicon water repellent compositions
JP2002023350A (ja) 2000-07-07 2002-01-23 Fuji Photo Film Co Ltd ネガ型平版印刷版原版
US6271273B1 (en) 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
US6368400B1 (en) * 2000-07-17 2002-04-09 Honeywell International Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography
TW556047B (en) 2000-07-31 2003-10-01 Shipley Co Llc Coated substrate, method for forming photoresist relief image, and antireflective composition
US6635341B1 (en) 2000-07-31 2003-10-21 Ppg Industries Ohio, Inc. Coating compositions comprising silyl blocked components, coating, coated substrates and methods related thereto
AU2001288306A1 (en) 2000-08-18 2002-03-04 The Procter And Gamble Company Compositions and methods for odor and fungal control in ballistic fabric and other protective garments
US7115531B2 (en) 2000-08-21 2006-10-03 Dow Global Technologies Inc. Organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices
US6645685B2 (en) 2000-09-06 2003-11-11 Mitsubishi Paper Mills Limited Process for producing printed wiring board
JP3993373B2 (ja) 2000-09-14 2007-10-17 信越化学工業株式会社 ポリオルガノシロキサン化合物及びそれを含有するコーティング組成物
US6465358B1 (en) 2000-10-06 2002-10-15 Intel Corporation Post etch clean sequence for making a semiconductor device
US6864040B2 (en) 2001-04-11 2005-03-08 Kodak Polychrome Graphics Llc Thermal initiator system using leuco dyes and polyhalogene compounds
US6884568B2 (en) 2000-10-17 2005-04-26 Kodak Polychrome Graphics, Llc Stabilized infrared-sensitive polymerizable systems
US6756520B1 (en) 2000-10-20 2004-06-29 Kimberly-Clark Worldwide, Inc. Hydrophilic compositions for use on absorbent articles to enhance skin barrier
US6503526B1 (en) 2000-10-20 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent articles enhancing skin barrier function
US6455416B1 (en) 2000-10-24 2002-09-24 Advanced Micro Devices, Inc. Developer soluble dyed BARC for dual damascene process
US6699647B2 (en) 2000-12-21 2004-03-02 Eastman Kodak Company High speed photothermographic materials containing tellurium compounds and methods of using same
US6749860B2 (en) 2000-12-22 2004-06-15 Kimberly-Clark Worldwide, Inc. Absorbent articles with non-aqueous compositions containing botanicals
US20020128615A1 (en) 2000-12-22 2002-09-12 Tyrrell David John Absorbent articles with non-aqueous compositions containing anionic polymers
US6832064B2 (en) 2000-12-29 2004-12-14 Samsung Electronics Co., Ltd. Seamless drying belt for electrophotographic process
NZ526871A (en) 2001-01-25 2006-01-27 Bristol Myers Squibb Co Pharmaceutical dosage forms of epothilones for oral administration
US6465889B1 (en) 2001-02-07 2002-10-15 Advanced Micro Devices, Inc. Silicon carbide barc in dual damascene processing
US6709257B2 (en) 2001-02-20 2004-03-23 Q2100, Inc. Eyeglass lens forming apparatus with sensor
US6840752B2 (en) 2001-02-20 2005-01-11 Q2100, Inc. Apparatus for preparing multiple eyeglass lenses
US6702564B2 (en) 2001-02-20 2004-03-09 Q2100, Inc. System for preparing an eyeglass lens using colored mold holders
US6712331B2 (en) 2001-02-20 2004-03-30 Q2100, Inc. Holder for mold assemblies with indicia
US7139636B2 (en) 2001-02-20 2006-11-21 Q2100, Inc. System for preparing eyeglass lenses with bar code reader
US6676398B2 (en) 2001-02-20 2004-01-13 Q2100, Inc. Apparatus for preparing an eyeglass lens having a prescription reader
US6808381B2 (en) 2001-02-20 2004-10-26 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller
US6875005B2 (en) 2001-02-20 2005-04-05 Q1200, Inc. Apparatus for preparing an eyeglass lens having a gating device
US6726463B2 (en) 2001-02-20 2004-04-27 Q2100, Inc. Apparatus for preparing an eyeglass lens having a dual computer system controller
US7052262B2 (en) 2001-02-20 2006-05-30 Q2100, Inc. System for preparing eyeglasses lens with filling station
US6752613B2 (en) 2001-02-20 2004-06-22 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for initiation of lens curing
US6790024B2 (en) 2001-02-20 2004-09-14 Q2100, Inc. Apparatus for preparing an eyeglass lens having multiple conveyor systems
US6893245B2 (en) 2001-02-20 2005-05-17 Q2100, Inc. Apparatus for preparing an eyeglass lens having a computer system controller
US6655946B2 (en) 2001-02-20 2003-12-02 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for conveyor and curing units
US6612828B2 (en) 2001-02-20 2003-09-02 Q2100, Inc. Fill system with controller for monitoring use
US6758663B2 (en) 2001-02-20 2004-07-06 Q2100, Inc. System for preparing eyeglass lenses with a high volume curing unit
US7011773B2 (en) 2001-02-20 2006-03-14 Q2100, Inc. Graphical interface to display mold assembly position in a lens forming apparatus
US6717181B2 (en) 2001-02-22 2004-04-06 Semiconductor Energy Laboratory Co., Ltd. Luminescent device having thin film transistor
US6703462B2 (en) 2001-08-09 2004-03-09 Dielectric Systems Inc. Stabilized polymer film and its manufacture
US20030198578A1 (en) 2002-04-18 2003-10-23 Dielectric Systems, Inc. Multi-stage-heating thermal reactor for transport polymerization
US6797343B2 (en) 2001-12-20 2004-09-28 Dielectric Systems, Inc. Dielectric thin films from fluorinated precursors
US6825303B2 (en) 2001-02-26 2004-11-30 Dielectric Systems, Inc. Integration of low ε thin films and Ta into Cu dual damascene
US6582861B2 (en) 2001-03-16 2003-06-24 Applied Materials, Inc. Method of reshaping a patterned organic photoresist surface
US6617257B2 (en) 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
US6893797B2 (en) 2001-11-09 2005-05-17 Kodak Polychrome Graphics Llc High speed negative-working thermal printing plates
US6846614B2 (en) 2002-02-04 2005-01-25 Kodak Polychrome Graphics Llc On-press developable IR sensitive printing plates
US20040091811A1 (en) 2002-10-30 2004-05-13 Munnelly Heidi M. Hetero-substituted aryl acetic acid co-initiators for IR-sensitive compositions
TW576859B (en) 2001-05-11 2004-02-21 Shipley Co Llc Antireflective coating compositions
JP4146105B2 (ja) 2001-05-30 2008-09-03 富士フイルム株式会社 紫外線吸収剤及びその製造方法、紫外線吸収剤を含有する組成物、ならびに画像形成方法
US6448185B1 (en) 2001-06-01 2002-09-10 Intel Corporation Method for making a semiconductor device that has a dual damascene interconnect
US7177261B2 (en) 2001-06-05 2007-02-13 Flexplay Technologies, Inc. Limited play optical devices with interstitial reactive layer and methods of making same
US6558880B1 (en) 2001-06-06 2003-05-06 Eastman Kodak Company Thermally developable imaging materials containing heat-bleachable antihalation composition
JP4181312B2 (ja) 2001-06-25 2008-11-12 富士フイルム株式会社 ネガ型画像記録材料
US6890855B2 (en) 2001-06-27 2005-05-10 International Business Machines Corporation Process of removing residue material from a precision surface
US6703169B2 (en) 2001-07-23 2004-03-09 Applied Materials, Inc. Method of preparing optically imaged high performance photomasks
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
US6592999B1 (en) 2001-07-31 2003-07-15 Ppg Industries Ohio, Inc. Multi-layer composites formed from compositions having improved adhesion, coating compositions, and methods related thereto
JP2003050459A (ja) 2001-08-07 2003-02-21 Hitachi Chem Co Ltd 感光性樹脂組成物、感光性エレメント、レジストパターンの製造法およびプリント配線板の製造法
KR100436220B1 (ko) 2001-08-30 2004-06-12 주식회사 네패스 바닥 반사방지막용 유기 중합체, 그의 제조방법 및 그를함유하는 조성물
US6514677B1 (en) 2001-08-31 2003-02-04 Eastman Kodak Company Thermally developable infrared sensitive imaging materials containing heat-bleachable antihalation composition
US6824952B1 (en) 2001-09-13 2004-11-30 Microchem Corp. Deep-UV anti-reflective resist compositions
TW591341B (en) 2001-09-26 2004-06-11 Shipley Co Llc Coating compositions for use with an overcoated photoresist
US6730461B2 (en) 2001-10-26 2004-05-04 Eastman Kodak Company Thermally developable imaging materials with reduced mottle providing improved image uniformity
US6949297B2 (en) 2001-11-02 2005-09-27 3M Innovative Properties Company Hybrid adhesives, articles, and methods
WO2003044600A1 (en) 2001-11-15 2003-05-30 Honeywell International Inc. Spin-on anti-reflective coatings for photolithography
AU2002359387A1 (en) 2001-11-15 2003-06-10 Honeywell International Inc. Anti-reflective coatings for photolithography and methods of preparation thereof
KR100818678B1 (ko) 2001-11-16 2008-04-01 허니웰 인터내셔널 인코포레이티드 포토리소그라피용 스핀온 유리 반사 방지 피막
US6573175B1 (en) 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features
US20030171729A1 (en) 2001-12-28 2003-09-11 Kaun James Martin Multifunctional containment sheet and system for absorbent atricles
US6844131B2 (en) 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
CA2474913A1 (en) 2002-02-05 2003-08-14 Gencell Corporation Silane coated metallic fuel cell components and methods of manufacture
DE10213294B4 (de) 2002-03-25 2015-05-13 Osram Gmbh Verwendung eines UV-beständigen Polymers in der Optoelektronik sowie im Außenanwendungsbereich, UV-beständiges Polymer sowie optisches Bauelement
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
US6787281B2 (en) 2002-05-24 2004-09-07 Kodak Polychrome Graphics Llc Selected acid generating agents and their use in processes for imaging radiation-sensitive elements
US7897979B2 (en) 2002-06-07 2011-03-01 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and manufacturing method thereof
CN1248556C (zh) 2002-08-05 2006-03-29 佳能株式会社 电极和布线材料吸收用底层图形形成材料及其应用
US6896821B2 (en) 2002-08-23 2005-05-24 Dalsa Semiconductor Inc. Fabrication of MEMS devices with spin-on glass
US20040067437A1 (en) 2002-10-06 2004-04-08 Shipley Company, L.L.C. Coating compositions for use with an overcoated photoresist
US7005390B2 (en) 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US7038328B2 (en) 2002-10-15 2006-05-02 Brewer Science Inc. Anti-reflective compositions comprising triazine compounds
US6783468B2 (en) 2002-10-24 2004-08-31 Acushnet Company Low deformation golf ball
US7122384B2 (en) 2002-11-06 2006-10-17 E. I. Du Pont De Nemours And Company Resonant light scattering microparticle methods
AU2003295517A1 (en) 2002-11-12 2004-06-03 Honeywell International Inc Anti-reflective coatings for photolithography and methods of preparation thereof
US7465414B2 (en) 2002-11-14 2008-12-16 Transitions Optical, Inc. Photochromic article
EP1422566A1 (en) 2002-11-20 2004-05-26 Shipley Company, L.L.C. Multilayer photoresist systems
US7018779B2 (en) 2003-01-07 2006-03-28 International Business Machines Corporation Apparatus and method to improve resist line roughness in semiconductor wafer processing
US7507783B2 (en) 2003-02-24 2009-03-24 Brewer Science Inc. Thermally curable middle layer comprising polyhedral oligomeric silsesouioxanes for 193-nm trilayer resist process
US6902861B2 (en) 2003-03-10 2005-06-07 Kodak Polychrome Graphics, Llc Infrared absorbing compounds and their use in photoimageable elements
KR100857967B1 (ko) 2003-06-03 2008-09-10 신에쓰 가가꾸 고교 가부시끼가이샤 반사 방지막 재료, 이것을 이용한 반사 방지막 및 패턴형성 방법
US7303785B2 (en) 2003-06-03 2007-12-04 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
US7008476B2 (en) 2003-06-11 2006-03-07 Az Electronic Materials Usa Corp. Modified alginic acid of alginic acid derivatives and thermosetting anti-reflective compositions thereof
US6899988B2 (en) 2003-06-13 2005-05-31 Kodak Polychrome Graphics Llc Laser thermal metallic donors
JP2005049542A (ja) 2003-07-31 2005-02-24 Fuji Photo Film Co Ltd 画像形成方法及び現像液
US7172849B2 (en) 2003-08-22 2007-02-06 International Business Machines Corporation Antireflective hardmask and uses thereof
US7270931B2 (en) 2003-10-06 2007-09-18 International Business Machines Corporation Silicon-containing compositions for spin-on ARC/hardmask materials
US20050074981A1 (en) 2003-10-06 2005-04-07 Meagley Robert P. Increasing the etch resistance of photoresists
US20060155594A1 (en) 2005-01-13 2006-07-13 Jess Almeida Adaptive step-by-step process with guided conversation logs for improving the quality of transaction data
JP4513966B2 (ja) 2005-03-07 2010-07-28 信越化学工業株式会社 プライマー組成物及びそれを用いた電気電子部品
JP3152544U (ja) 2009-05-01 2009-08-06 文子 湯尾 Sosショルダー

Similar Documents

Publication Publication Date Title
JP2003502449A5 (ja)
JP2005509914A5 (ja)
TWI238174B (en) Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography
KR100897575B1 (ko) 포토리소그래피용 스핀-온-글래스 무반사 코팅
US6969753B2 (en) Spin-on-glass anti-reflective coatings for photolithography
US6506497B1 (en) Spin-on-glass anti-reflective coatings for photolithography
JP2005509710A5 (ja)
JP2621760B2 (ja) コーティング用組成物
EP1010714B1 (en) Method for making functionalized siloxanes, compositions containing such siloxanes and articles made therefrom
JP3306075B2 (ja) 表面処理剤
KR100917241B1 (ko) 포토리소그래피용 스핀-온 무반사 코팅
JPH0734033A (ja) 紫外光消去型メモリーデバイス用バッファコート