JP2002526649A - 炭化珪素の堆積方法とバリヤ層およびパッシベーション層としての使用 - Google Patents

炭化珪素の堆積方法とバリヤ層およびパッシベーション層としての使用

Info

Publication number
JP2002526649A
JP2002526649A JP2000572917A JP2000572917A JP2002526649A JP 2002526649 A JP2002526649 A JP 2002526649A JP 2000572917 A JP2000572917 A JP 2000572917A JP 2000572917 A JP2000572917 A JP 2000572917A JP 2002526649 A JP2002526649 A JP 2002526649A
Authority
JP
Japan
Prior art keywords
silicon
carbon
silicon carbide
substrate
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000572917A
Other languages
English (en)
Inventor
サドハ ラティ,
ピン シュ,
クリストファー ベンチャー,
ジュディ フアン,
ケギャン フアン,
クリス ンガイ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/165,248 external-priority patent/US20030089992A1/en
Priority claimed from US09/219,945 external-priority patent/US6635583B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002526649A publication Critical patent/JP2002526649A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • C30B25/105Heating of the reaction chamber or the substrate by irradiation or electric discharge
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/36Carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/02447Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/0445Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising crystalline silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/931Silicon carbide semiconductor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/932Boron nitride semiconductor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/952Utilizing antireflective layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

(57)【要約】 本発明は一般に集積回路用途向きの適切なバリヤ層、エッチ ストップ及びパッシベーション層を形成するために有効な半導体のような電子デバイス上に、あるプロセス パラメータを伴うシランを基材にした材料を使用して炭化珪素を堆積する改善を加えたプロセスを提供する。好ましい実施例にあってはバリヤ層として、特定の炭化珪素材料が用いられ銅の拡散を軽減し、同様に、接続ライン間の容量的結合に対するバリヤ層の寄与率を最小化するために用いることもできる。それは例えば、金属間誘電体(IMD)下部に、特にIMDが低k値シラン基材IMDであれば、エッチ ストップとして用いることができる。他の実施例にあって、それは湿気と他の不利な条件に耐性を有するパッシベーション層を形成するために使用してもよい。これらアスペクトの各々はデュアル ダマシン構造に適用できる。

Description

【発明の詳細な説明】
【0001】 発明の技術分野 本発明は、一般に、基板上の集積回路の製造に関する。特に、本発明は、バリ
ヤ層、エッチストップ及びパッシベーション層として有効な炭化珪素膜を、アル
キルシランを用いて、あるプロセス様式のもとで形成する低温度方法に関する。
発明の技術的な背景 集積回路の設計と製造において、堅実で、予測にかなり沿った改善が過去十年
の間に見られた。改善に成功する一つの鍵は、集積回路(IC)装置におけるデ
バイス相互間に導電路を形成するマルチレベル接続技術である。超大規模集積回
路(VLSI)と超超大規模集積回路(ULSI)の技術における水平接続(通
常、ラインと呼ばれる)と、垂直接続(通常、コンタクト又はビアと呼ばれる;
コンタクトは下部に位置する基板上のデバイスに達し、一方ビアはM1、M2、
等のような下部に位置する金属層にまで達する)のような、現在はサブクオータ ミクロン及びそれより小さな範囲にあるフィーチャの寸法が、さらに縮小する
ことで接続ライン相互間の容量的結合を軽減させる重要性が特に増大した。集積
回路上半導体デバイスの速度を更に改善するには、隣接する金属ライン間の容量
的結合を軽減させるため抵抗率が低い導電性材料と、低k値(誘電率4.0未満
)の絶縁体を使用することが必要になった。しかし、代表的な低k値誘電体材料
は通常、多孔質であって、バリヤ層を必要とする。しかし、代表的なバリヤ層材
料は、7.0より示差的に大きな誘電率を有し、結果として示差的に誘電率を減少
させることのない複合絶縁体に帰結する。
【0002】 更に、縮小し続けるフィーチャ・サイズは、より大きな導電率を有する導電性
材料を使用する必要性を作り出した。暫くの期間、アルミニウムは選択の対象材
料であった。しかし、フィーチャ・サイズが縮少するため、現在のところ銅(C
u)がアルミニウムに代わる接続材料として考慮の対象になりつつあるが、それ
は銅の方が抵抗率が小さく(アルミニウムの3.1μΩ-cmに比べ1.7 μΩ-c
m)、電流伝送容量が大きいためである。しかし、銅にはIC製造プロセスに関
し独自の難しさがある。例えば、銅は周囲の材料内部に一層容易に拡散し、それ
故、バリヤ層にはアルミニウムの場合に伝統的に使用されてきたものよりも優れ
た材料を必要とする。この大きな拡散特性は前文に述べた低k値の物質が持つ多
孔性という問題をさらに悪化させ、バリヤ層の品質が一層大きく強調されるよう
になった。
【0003】 低k値材料の難点に加えて銅の拡散問題が、接続部を形成するのに伝統的な堆
積/エッチング プロセスを使用し、銅に正確なパターン化エッチングを達成す
ることを困難にしている。従って、銅接続部を形成するためデュアル ダマシン
構造のような新しい一層複雑なプロセスが開発されつつある。デュアル ダマシ
ン構造にあっては、誘電体層がエッチングされてコンタクト/ビアと、接続ライ
ン双方が確立される。次いで、確立されたパターン内部に金属が象嵌され、余分
な金属は化学的/機械的研磨(CMP)のような平坦化プロセスで構造最上部から除
去される。
【0004】 図1にデュアル ダマシン構造の一例を示す。集積回路10には上面に堆積さ
せた一連の層を含めることができる下にある基板12が含まれる。本特許では、
“基板”という用語は下にある材料を指すために用いられ、銅バリヤのような問
題層の下方にある一連の下にある層を指すの用いることができる。バリヤ層13は
基板上全体に堆積され、これに誘電体層14が後続されても良い。誘電体層はド
ーピング処理をしないシリコン ガラス(USG)、フッ素をドーピングしたシ
リコン ガラス(FSG)又はk値の小さな何らかの別な材料としても知られた
非ドーピング処理二酸化珪素にすることができる。エッチ ストップ層16を堆
積させ、パターン化エッチングをし、別な誘電体層18を後続させる。その構造
体は再度パターン化エッチングされ、ダマシン タイプのパターンが形成される
。本発明以前では、典型的にはTa、TaN、Ti、TiN及び他の材料から形成されて
いたバリヤ層22が必要になることがある。しかし前文に説明したように、より
小さなサイズのフィーチャと銅の拡散傾向が強大したことにより、先行のバリヤ
層材料は最適性能にとって適切ではなくなっている。導電性材料20がフィーチ
ャに充填されてしまえば、パシベーション層のような他の層24を堆積させて良
い。この構造はデュアル ダマシン構造を例示するものであり、特定の用途では
他の構造がもっと適切なことがある。
【0005】 それ故、フィーチャ サイズが縮少し、上記低k値が必要とされ、銅と複雑な
デュアル ダマシン構造を適用する場合、改善されたバリヤ、エッチ ストップ及
びパッシベーションのそれぞれの特性を形成するには新たな方法と新規材料が求
められる。窒化珪素はこれまでエッチ ストップ材料の選択対象であり、パッシ
ベーション層を含む各種のオーバーレイ構造に用いられてきた。しかし、窒化珪
素は誘電率が比較的大きく(7.0より大きな誘電率)、接続ライン相互間の容量
的結合を注目に値する程に強大させることがある。これはクロス トークと、あ
るいは抵抗−キャパシタンス(RC)遅延の発生に至らしめることがあり、即ち
蓄積されたエネルギーの散逸に要する時間が生じ、デバイスの全体的な性能を低
下させる。その上、窒化珪素は本発明の材料に比べ拡散抵抗が比較的小さい。
【0006】 新しい材料を求め、他の人達は炭化珪素(SiC)に何らかの可能性を認めた
。しかし、発明者の知る限りではSiCを使用し、適切なバリヤ、エッチ スト
ップ及びパッシベーション層の探求、開発に適切なリソースが投入された事はな
く、本明細書に開示されたプロセス様式に従いSiC材料が堆積されたことは勿
論ない。一つのこのような参考文献は本願に引用して援用されるEndoと他に
交付された米国特許第4,532,150号であり、そこではEndoが基板表面にSi
Cを設けるためのもので、xを0.2から0.9の範囲の正数としたSix1-x という特定の処方に言及している。Endoはバリヤ、エッチ ストップ又はパ
ッシベーション層としてのSiCの開示はせず、その例に与えたプロセス パラ
メータは本発明の好ましい、あるいは最も好ましいパラメータより小さなもので
ある。本願に引用して援用されるLobodaに交付された米国特許第5,465,68
0号にはCVDチャンバ内におけるSiC膜が開示されているが、約600°か
ら1000℃及びこれを超えた温度を要求することによって低温でその膜を形成
することには失敗している。他の参考文献であって、同様に本願に引用して援用
されるBolzと他に交付された米国特許第5,238,866号では、血液に対する親
和性が改善されている医療の分野に適用するもので、水素添加をした炭化珪素被
膜の形成にメタン、シラン及びホスフィンが使用されている。しかし、これら参
考文献のいずれにもバリヤ層とエッチ ストップ層として使用されるもので、以
下のプロセス様式によるSiCに関する開示は含まれていない。
【0007】 従って、炭化珪素を集積回路に用いるバリヤ層、エッチ ストップ及びパッシ
ベーション層として使用する改善されたプロセスを求める必要がある。
【0008】 発明の概要 本発明は、一般に、集積回路の用途に適した適切なバリヤ層、エッチ ストッ
プ及びパッシベーション層の形成に有効な炭化珪素を、あるプロセス パラメー
タを有するシランを基材とした材料を用い半導体のような電子デバイス上に堆積
させる改善されたプロセスを提供する。好ましい実施例にあっては、バリヤ層と
して特定の炭化珪素材料が銅の拡散を軽減するために用いられ、同様に接続ライ
ン間の容量的結合に対するバリヤ層の寄与率を最小化するめに用いてもよい。そ
れは、例えば、金属間誘電体(IMD)、特に低k値シラン系IMDの下方に、エッチ
ストップとしても用いてもよい。他の実施例において、それは、湿気と他の不利
な環境条件に耐性を有するパッシベーション層の形成に使用することができる。
これら態様の各々はデュアル ダマシン構造に適用してもよい。
【0009】 基板上に炭化珪素のバリヤ層を形成する好ましいプロセス シーケンスにはプ
ロセス チャンバの反応ゾーン内に珪素、炭素及び貴ガスを導入するステップと
、反応ゾーン内でプラズマを発生させるステップと、プラズマの存在を介して珪
素と炭素を反応させて炭化珪素を形成するステップと、チャンバ内で基板上に炭
化珪素バリヤ層を堆積させるステップとが含まれる。他のシーケンスにはチャン
バの反応ゾーン内に珪素、炭素及び貴ガスを導入するステップと、反応ゾーン内
でプラズマを発生させるステップと、プラズマの存在を介して珪素と炭素を反応
させて炭化珪素を形成するステップと、基板上に炭化珪素のパッシベーション層
を堆積させるステップとが含まれる。更に他の態様には、半導体基板と、その基
板上に堆積した誘電体層と、約6以下の誘電率を有する炭化珪素バリヤ層とを備
え、炭化珪素バリヤ層を有する基板を含めることができる。
【0010】 好ましい実施例の詳細な説明 本発明は集積回路、特に導電性材料として銅を使用した集積回路が用いるバリ
ヤ層、および/あるいは、エッチ ストップとして有効であって、あるプロセス様
式に従い形成されるSiC材料を提供する。本発明は、同様に若干の実施例にあ
って珪素源としてシラン系化合物を、しかも恐らくは他の炭素源とは無関係に、
かつ多分、相当量の酸素を欠いて珪素/炭素源としてメチルシランを使用するス
テップを含むプロセス様式をも提供する。プロセス様式には本発明のSiCを形
成するため、プラズマ強化化学気相堆積チャンバ内にヘリウム又はアルゴンのよ
うな貴ガスと、ある温度、圧力、パワー出力の存在も含まれる。パラメータを調
整することによって、炭化珪素層はパッシベーション層として使用することもで
きる。この特定のSiC材料はダマシン構造のような複合構造に特に有効である
と言っても差し支えない。
【0011】 他の導体を適用してもよいが、チャート1に導電性材料として銅を使用するバ
リヤ層、および/あるいは、エッチ ストップに対する一般的な必要条件の内の幾
つかを示す。
【0012】
【チャート1】
【0013】 チャート1を参照すると、層間の接着性は層間の剥離を軽減し、かつ、若干の
事例では層間のキャパシタンスと抵抗を低減する上で重要である。例えば400°
から450℃の基板アニーリング温度で材料が実質上拡散が起こらないようにすべ
きである。“実質上起こらない”という用語は、層内部への実際の拡散が、バリ
ヤ層、および/あるいはエッチ ストップとして機能する層の能力に及ぼす影響が
考えられる程度よりも少なくなるように意図された機能的な用語である。例えば
、本発明のSiCは拡散を約250Åまでに制限する。銅の拡散は目標の電流/
電圧路を損ない、クロス トークの原因になることがある。前文に述べたように
、フィーチャのサイズが縮少するため、誘電率が低ければ低いほど、好ましくは
7.0未満であるが、クロス トークと、デバイスの全体的な性能を低下させる
RC遅延発生の確率が低くなる。低い誘電値に関連するのは誘電率を層の厚さで
乗算することによって確定される“実効誘電率”であり、この場合、目標値は3
.0又はそれより小さな値にすべきある。バリヤ層はダマシン構造に使用しても
よいため、それには適切なエッチ ストップ特性、 USG、FSG、あるいは他
の低k値誘電体材料に対し例えば40:1又は、それより大きなエッチング選択
比を与えることは有益であろう。更に、材料には2MV又はそれより大きな高破
壊電圧をもたせるべきであり、即ち分子が破壊して電流の有害な通路を引き起こ
す電圧勾配を与えるべきである。同様に、それには層内の漏れを小さくすべきで
あり、即ち材料の内部を容量を伴って流れる浮遊直流電流は小さくすべきである
。商業的観点から目標とする他の特性とは、一層大きなスループットと、より優
れたプロセス制御を実現するため、材料を汚染環境に曝すことなくインサイチュ
(in-situ)プロセスが行われるよう、即ちプラズマ チャンバ内部のような所定の
チャンバ内で、あるいは統合したクラスタ ツール装置のようなシステム内で実
行できるよう、材料は他のプロセスに対する適合性を備えるべきであることであ
る。このアスペクトは特に銅に対し重要になることがあるが、それには酸化に対
し急速な感受性があるためである。
【0014】 SiC材料をバリヤ/エッチ ストップと、パッシベーション層として使用で
きるようにする200mmウエーハ チャンバに適用される本発明のプロセス パ
ラメータを表1に示す。テストした実施例において、珪素と炭素はシラン基材化
合物のような通常の化合物から誘導した。しかし、炭素はメタンのような他の化
合物で補足できるかもしれない。制約を加えることなく、シラン基材化合物には
メチルシラン(CH3SiH3)、ジメチルシラン((CH3)2SiH2)、トリメチル
シラン((CH3)3SiH)、ジエチルシラン((C25)2SiH2)、プロピルシラン
(C38SiH3)、ビニール メチルシラン(CH2=CH)(CH3SiH2)、1,1,
2,2-テトラメチル ジシラン(HSi(CH3)2−Si(CH3)2H)、ヘキサメチ
ル ジシラン((CH3)3Si−Si(CH3)3)、1,1,2,2,3,3-ヘキサメチル
トリシラン(H(CH3)2Si−Si(CH3)2−SiH(CH3)2),1,1,2,3,3-
ペンタメチル トリシラン(H(CH3)2Si−SiH(CH3)−SiH(CH3)2)
及び他のシラン関連化合物を含めて良い。この発明の目的のため、本明細書に用
いた用語“メチルシラン”には、別途指示なき場合、先行リストの記載のものを
含み、少なくとも一個の炭素原子を結合させた何らかのシラン基材化合物が含ま
れる。表1では、使用した化合物はトリメチルシランとメチルシランであった。
ヘリウム又はアルゴンのような貴ガスが存在しており、他のガスを使用すること
も可能であろうが、プロセス安定化の一助の役割を果たすことが出来よう。
【0015】
【表1】
【0016】 実施例1:バリヤ/エッチ ストップ層 発明者は以下に説明するプロセス様式によりバリヤ層、および/あるいは、エ
ッチ ストップの目標とする基準を満たす上でのSiC材料の適性が確立出来る
ことを発見した。そのプロセス様式を用いると、SiCに約6.0以下の低誘電
率を与えることができる。重要なことに、本明細書に記載するSiCバリヤ特性
によって、より薄い堆積層を可能にしている。それ故、本発明の実効SiC誘電
率は約3.0以下になることができる。この実効誘電率は適切な銅を基材とした
集積回路の必要性を満たし、前文に述べた窒化珪素材料と対照をなす。更に、本
発明のSiC材料には銅の拡散に大きな耐性があり、銅の拡散限界がバリヤ層内
で深さ約200〜250Åにあることがテスト データに示される。この特定の
SiC材料は低k値エッチ ストップ材料としての使用にも適している。低k値
エッチ ストップ材料は窒化珪素のもの(誘電率が7.0より大きい、あるいは
それに等しい)に等しい、あるいは小さい誘電率を有し、かつ、珪素基材誘電体
と共に使用するとき40:1又はそれより大きいエッチング選択比に適った相対
酸化物を備えたエッチ ストップ材料として本明細書に定義されている。この比
によってエッチング プロセスに対し、より大幅な制御ができるようになり、ダ
マシン構造のような複雑な構造をエッチングするときに特に有効である。
【0017】 好ましいプロセス様式でバリヤ層、および/あるいは、エッチ ストップを形成
するには、トリメチルシラン又はメチルシランのような珪素源をプラズマ リア
クタ、特に、典型的には基板表面と、当業者には一般に知られている“シャワー
ヘッド”のようなガス分散エレメントとの間にあるチャンバ内部反応ゾーンへ供
給されてもよい。カルフォルニヤ州、サンタ クララに所在するApplied Materi
al, Inc.が製造するような代表的商用プラズマ強化化学気相堆積(PECVD
)チャンバの場合、約30から500標準立方センチ(sccm)という珪素源
流量を採用できる。商用PECVDチャンバのシーケンスと動作はよく知られ、
本発明のプロセス様式に対しての説明は要しない。炭素は他の炭素源とは無関係
にトリメチルシラン又はメチルシランから誘導してもよい。その反応は酸素の実
質的なソースを反応ゾーンに導入することなく発生させることができる。珪素源
と炭素源と共に、ヘリウム又はアルゴンのような貴ガスを約100から2000
sccmの流量でチャンバの内部に流入させてもよい。チャンバ圧は好ましくは
3から10Torrの範囲に維持する。単一の13.56MHz RF電源は陽
極と陰極に約0.67から1.55ワット/cm2のパワー密度で約300から
700ワットを印加し、シラン基材ガスによってチャンバ内にプラズマを形成す
ることができる。基板表面温度はバリヤ層、および/あるいは、エッチ ストップ
の堆積時に約200°から400℃の範囲に維持してもよい。“シャワーヘッド
”のようなガス分散エレメントからのガス分散は約300から600ミル範囲に
あるシャワーヘッドから基板までの間隔距離で行うことができる。
【0018】 より最適にするために指定された“最も好ましい”プロセス様式では、表1に
記載するように、トリメチルシラン又はメチルシランの流量は約50から200
sccmに調整してもよく、ヘリウム又はアルゴンの流量は約200から100
0sccmに、チャンバ圧は約6から10Torrに、RF出力は約0.88か
ら1.33ワット/cm2のパワー密度で約400から600ワットに、基板表
面温度は300°から400℃の範囲に、シャワヘッドから基板までの間隔は約
300から400ミルに調整することができる。
【0019】 好ましいプロセス様式および最も好ましいプロセス様式によって発現される特
性は一般に承認された炭化珪素特性とは異なっている。これらのパラメータでは
、図3に示す先行のSiCに比べ、図2に記載する本発明のSiCにおいては異
なる結合構造が生じる。図表は当業者にとっては知られていると思われるもので
、結合構造を指示するための標準ラボラトリ テストの一つによるフーリエ変換
赤外線(FTIR)図表であり、詳細な説明の要はない。各種波数における様々
なピークは構造に特有なものであり、このグラフは特定の格子間(inerstitial)
結合構造を表す。
【0020】 図2に本発明のSiCに対するFTIR図表を記載する。トリメチルシランに
最も好ましい範囲の表1に記載するプロセス パラメータを適用すると、堆積の
結果はCH2/CH3、SiH、SiCH3、Si−(CH2)n及びSiCを含む結
合構造になった。図3に記載するのはシランとメタンを用いて堆積した先行のS
iC材料との比較に基づく結果である。図から理解されるように、Si−(CH2 )nには対応するピークがなく、SiCH3に対するピークでも目立つというもの
ではない。本発明のSiCは先行の知られたSiCの堆積よりも優れたバリヤ層
/エッチ ストップ性能の提供に、これらの予期せぬ結果をもたらした。これら
の特性によってSiCはエッチ ストップとしても使用する或いは使用しないバ
リヤ層を含み、本明細書に開示した各種のキャパシティで用いることができるよ
うになる。
【0021】 図4から図6にバリヤ層、および/あるいは、エッチ ストップとして用いたこ
のSiC材料に付いての図表とアスペクトを示す。図4に記載するのは本発明の
SiCをバリヤ層、および/あるいは、エッチ ストップ材料として組み入れた多
層基板試験片の構造である。図4において、シリコン基板30上に5000Å厚
さの酸化物層32が堆積され、厚さ800ÅのSiCバリヤ層34が後続する。
SiCバリヤ層は最も好ましいプロセス様式を採用して形成され、約5から6の
誘電率を備えていた。次ぎに、TaNバリヤ層36がSiCバリヤ層34上に堆
積され、厚さ5000Åの銅層38が後続する。次いで、本発明により形成され
る厚さ800ÅのSiCバリヤ層40が銅層38上に堆積され、厚さ1000Å
の酸化物層42が後続する。次いで、試験片は不活性窒素環境内で約400°か
ら450℃範囲の基板表面温度でアニーリングを6サイクルに亘り受けさせられ
、銅の拡散を測定した。バリヤ層を拡散した銅で汚染させるため、試験片には数
サイクルに亘るアニーリングを適用した。
【0022】 図5に試験片の拡散結果が提示され、そこでは、銅含有量は下部曲線で示され
る。 図5には図4に記載する外面44から深さ0Åのところで一立方センチ当
たりの原子数(atoms/cc)が約3x1017個であって、 y軸で始まる数値46
が示される。この数値は銅の拡散が顕著になる以前に深さが約1570Åのとこ
ろでの一立方センチ当たりの原子数(atoms/cc)が約1x1016である数値48
に減少する。次いで、銅の拡散レベルは次の230Å深さの間に対数的に上昇し
、銅/銅バリヤ インタフェースにおいて一立方センチ当たりの原子数(atoms/c
c)が約3x1021である数値50に至る。それ故、銅のレベルは大きさのオー
ダが約4だけ、即ちインタフェースの深さ約200Åから250Åの範囲内で1/
10,000だけ低減する。銅拡散におけるこうした減少はこのSiC材料の有効性を
提示する。
【0023】 同じ又は類似するプロセス様式を用い、図6にエッチ ストップとして使用し
たこのSiCの透過電子顕微鏡写真を示す。SiC層52は例示的実施例の図1
に記載するエッチ ストップ16に対応する。下にある誘電体酸化物層53は約
1000Åの厚さがあり、SiC層52は約1000Åの厚さであった。SiC
層は表1に示す最も好ましいバリヤ層/エッチ ストップ プロセス様式を採用し
て堆積させた。厚さが5000Åの酸化物層54をSiC層52上全体に堆積さ
せた。エッチング材料を使用し、この場合、オクタフルオロシクロブタン(C4
8)とArのドライ エッチであるが、150%オーバ エッチングによって接
続部55を厚さ5000Åの酸化物の内部を介しSiC材料内、深さ100Å又
は、それより小さな深さまでエッチングした。エッチング選択性は約40であっ
た。本発明のSiCはエッチング薬品をエッチ ストップ内全体に、あるいはエ
ッチ ストップの内部に注目に値する程にさえ貫入できるようにすることなくエ
ッチング選択性を示した。 実施例2:パッシベーション層 改善されたバリヤ/エッチ ストップ層として用役を果たすことに加え、本発
明のSiC膜はパッシベーション層としても使用してもよい。パッシべーション
層は銅を基材にしたデバイスに益々大きな役割を果たすことができるが、それは
銅が周囲の層内に拡散するためである。更に、バリヤ/エッチ ストップ層の最も
好ましいパラメータに比べ多少のプロセス変更をした炭化珪素材料は湿気と他の
不利な条件に対し良好な耐性を提示した。湿気耐性は、当業者にとっては知られ
ているように、24時間内に温度が150℃、圧力が20psiの環境内で実質
的な湿気損失がなく、あるいは下にある膜に湿気が実質的に浸透しないことに対
して評価される。
【0024】 SiCパッシベーション層を形成するプロセスを調整するパラメータは表1に
も記載されている。好ましいプロセス様式において、トリメチルシラン又はメチ
ルシランのような珪素源はApplied Materials, Inc.が製造するような代表的
商用PECVDチャンバでは約100から500sccm なる流量でチャンバに流すこと
ができる。炭素は珪素を得るために用いたトリメチルシラン又はメチルシランの
ような同じシランを基材にした化合物から誘導してもよい。珪素/炭素源と共に
、ヘリウム又はアルゴンのような貴ガスは約1000から2000sccmなる流量
でチャンバ内に流入させてもよい。チャンバ圧は好ましくは約6から8Torrの範
囲に維持する。単一の13.56MHz RF電源は陽極と陰極にパワー密度が約
1.33から2.22ワット/cm2で約600から1000ワットを印加して
チャンバ内にプラズマを形成することができる。基板温度は約200°から40
0℃に維持し、シャワヘッドから基板表面までの間隔は約200から600ミル
の範囲にすることができる。一層最適の指定された“最も好ましい”プロセス様
式では表1に記載するように、トリメチルシラン又はメチルシランの流量は約2
00から400sccmの範囲にし、ヘリウム又はアルゴンの流量は約1200から
1700sccmの範囲に、チャンバ圧は約6から8Torrの範囲に、RF出力は約1
.55から2.00ワット/cm2のパワー密度で約700から900ワットの
範囲に、基板表面温度は300°から400℃の範囲に、シャワヘッドから基板
までの間隔は約300から500ミルにすることができる。
【0025】 図7に本発明のSiCパッシベーション層の透過電子顕微鏡写真を示す。湿気
に耐性がある他に、望ましい特性の一つはフィーチャに適合するステップ カバ
レージである。試験片のフィーチャには下にあるシリコン基板56上に厚さが約
800ÅのAl層が含まれ、幅が約0.3から0.4μmの接続部57を有して
いる。試験片は次いで、パッシベーション層を堆積させる前に、約800Åの深
さで基板までエッチングした。図7に記載する顕微鏡写真に見られるように、本
発明のSiCを用いたパッシベーション層ではこのようなステップ カバレージ
を形成している。テスト結果には側壁59のカバレージが約35%を超過し、約
65%を超過するオープン縦列ステップ56bのカバレージを備えた底部59a
のステップ カバレージが約45%のより大きいことが示された。
【0026】 本発明は更にチャンバと、チャンバ内反応ゾーンと、反応ゾーン内に基板を位
置決めする基板ホルダと、真空システムとを備えたプラズマ リアクタを有する
基板処理システムを提供する。その処理システムは更に、不活性ガスであるシラ
ン基材化合物を供給する真空チャンバの反応ゾーンに連結するガス/液体分配シ
ステムと、反応ゾーン内にプラズマを発生させるため、ガス分配システムに結合
したRFジェネレータを備える。処理システムは更に、プラズマ リアクタ、ガ
ス分配システム、RGジェネレータ及びコントローラに結合したメモリを制御す
るコンピュータを備えた上記コントローラを含み、そのメモリはシラン基材化合
物のプラズマで低誘電率の膜を堆積するプロセス ステップを選択するコンピュ
ータ読み取りプログラム コードを含むコンピュータが利用できる媒体を備える
【0027】 処理システムは更に一実施例にあっては、シラン基材化合物のバリヤ層、およ
び/あるいは、エッチ ストップを堆積させるプロセス ステップと、異なる誘電
体層を堆積するプロセス ステップと、シラン基材化合物のキャッピング パッシ
ベーション層を任意に堆積するプロセス ステップを選択するコンピュータ読み
取りプログラム コードを備えることができる。
【0028】 前文に述べた事柄は本発明の好ましい実施例に関係するが、本発明の他の、か
つ、更なる実施例は発明の基本的範囲から逸脱することなく案出することができ
、発明の範囲は以下に記載の請求項によって決まる。
【図面の簡単な説明】
本発明の前文に引用した本発明のフィーチャ、利点及び目的が得られ、詳しく
理解できるようにするために、上文に簡単に概説した発明に付いての一層詳細な
説明が本発明実施例を参照することで得られるが、それらについて付属図面にお
いて図示されている。 しかし、付属図面に記載するものは本発明の単なる代表的な実施例であって、
それ故、本発明の範囲を制限すると考えるべきでないことに注目せねばならぬが
、それは本発明が他の等しく有効な実施例に対して許容可能だからである。
【図1】 例示的なダマシン構造の概略を示す。
【図2】 本発明のSiCのFTIRを記載し、特定の結合構造を示す。
【図3】 先行SiCのFTIRを記載し、本発明のSiCとは異なる結合構造を示す。
【図4】 多層基板の概略を示す。
【図5】 SiCバリヤ層内への銅の拡散を示すグラフで、そこではプラズマ強化化学気
相堆積プロセスによりバリヤ層が堆積された。
【図6】 エッチ ストップとして用いた本発明のSiCの透過型電子顕微鏡写真である
【図7】 パッシベーション層として用いた本発明のSiCの透過型電子顕微鏡写真であ
る。
【符号の説明】
10:集積回路 12:基板 13:バリヤ層 14:誘電体層 16:エッチ ストップ層 18:別の誘電体層 20:導電体層、導電性材料 22:バリ
ヤ層 24:パッシベーション層 32:酸化物層 34:バリヤ層 38:銅層
44:外面 52:炭化珪素層 54:酸化物層 55:接続部
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 29/44 C (31)優先権主張番号 09/270,039 (32)優先日 平成11年3月16日(1999.3.16) (33)優先権主張国 米国(US) (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),JP,KR (72)発明者 シュ, ピン アメリカ合衆国, カリフォルニア州, クパティノ, ブリタニー コート 10289 (72)発明者 ベンチャー, クリストファー アメリカ合衆国, カリフォルニア州, クパティノ, アシロマー テラス 977 −2 (72)発明者 フアン, ジュディ アメリカ合衆国, カリフォルニア州, ロス ガトス, レロイ アヴェニュー 16788 (72)発明者 フアン, ケギャン アメリカ合衆国, カリフォルニア州, フリーモント, パッカード コート 44100 (72)発明者 ンガイ, クリス アメリカ合衆国, カリフォルニア州, バーリンガム, サミット ドライヴ 2606 Fターム(参考) 4K030 AA06 AA09 AA16 BA37 CA04 FA01 JA01 JA03 JA05 JA09 JA10 JA16 LA15 4M104 BB04 BB32 DD07 DD15 DD16 FF16 5F033 HH11 HH32 JJ11 JJ32 MM02 MM05 MM12 MM13 NN06 NN07 QQ25 RR01 SS03 SS15 WW01 WW03 WW05 WW06 WW07 WW09 XX28 5F058 BA05 BC20 BF07 BF27 BF37 BF39 BJ02

Claims (27)

    【特許請求の範囲】
  1. 【請求項1】 基板上に炭化珪素バリヤ層を形成する方法であって: a)珪素と、炭素と、貴ガスとをチャンバ内に導入するステップ
    と; b)前記チャンバ内にプラズマを発生させるステップと; b)前記プラズマの存在を介し前記珪素と前記炭素を反応させて
    炭化珪素を形成するステップと; c)前記チャンバ内の前記基板上に炭化珪素バリヤ層を堆積する
    ステップとを含む方法。
  2. 【請求項2】 前記珪素がシランを含む請求項1に記載の方法。
  3. 【請求項3】 前記珪素と炭素が他の炭素源とは無関係に共通のメチルシラ
    ンから誘導される請求項1に記載の方法。
  4. 【請求項4】 更に、約100°から約450℃の範囲の温度で前記炭化珪
    素バリヤ層を堆積するステップを含む請求項1に記載の方法。
  5. 【請求項5】 更に、約300°から約400℃の範囲の温度で前記炭化珪
    素バリヤ層を堆積するステップを含む請求項1に記載の方法。。
  6. 【請求項6】 更に、誘電率が約6を超過することのない炭化珪素バリヤ層
    を形成するステップを含む請求項1に記載の方法。
  7. 【請求項7】 更に、実効誘電率が約3を超過することのない炭化珪素バリ
    ヤ層を形成するステップを含む請求項1に記載の方法。
  8. 【請求項8】 更に、銅拡散耐性を有する炭化珪素バリヤ層を形成するステ
    ップを含む請求項1に記載の方法。
  9. 【請求項9】 更に、約300Å以下の銅拡散を有する炭化珪素バリヤ層を
    形成するステップを含む請求項1に記載の方法。
  10. 【請求項10】 前記珪素と前記炭素を反応させるステップが、チャンバ圧
    を約6から約10Torrの範囲に維持しながら前記珪素と前記炭素を反応させるス
    テップを含む請求項1に記載の方法。
  11. 【請求項11】 前記珪素と前記炭素を反応させるステップが、前記チャン
    バ内の陽極と陰極に一平方センチ当たり約0.67から約1.55ワットのパワ
    ー密度を供給するRF電源を用いて前記珪素と前記炭素を反応させるステップを
    含む請求項1に記載の方法。
  12. 【請求項12】 前記珪素を供給するステップが約10から約1000sccm
    の範囲のシラン流量を供給するステップを含み、前記貴ガスを供給するステップ
    が約50から約5000sccmの範囲のヘリウム又はアルゴン流量を供給するステ
    ップを含む請求項1に記載の方法。
  13. 【請求項13】 前記珪素と、前記炭素と、前記貴ガスとを供給するステッ
    プが、前記珪素と炭素源として約30から約500sccm範囲のメチルシラン流量
    と、前記貴ガス源として約100から2000sccm範囲のヘリウム又はアルゴン
    流量を供給するステップを含み、更に、チャンバ内の陽極と陰極に一平方センチ
    当たり約0.67から約1.55ワットのパワー密度を供給するRF電源、約2
    00°から約400℃範囲の基板表面温度、及び基板表面から約300から約6
    00ミル範囲の間隔を有するシャワーヘッドと共に、圧力範囲が約3から約10
    Torrであるチャンバ内に、前記珪素と前記炭素を反応させるステップを含む請求
    項1に記載の方法。
  14. 【請求項14】 前記炭化珪素バリヤ層が少なくとも約40対1のエッチン
    グ選択比を含む請求項1に記載の方法。
  15. 【請求項15】 基板上に炭化珪素パッシベーション層を形成する方法であ
    って: a)珪素と、炭素と、貴ガスとをチャンバの内部に導入するステ
    ップと; b)前記チャンバの内部にプラズマを発生させるステップと; b)前記プラズマの存在を介し前記珪素と前記炭素を反応させて
    炭化珪素を形成するステップと; c)前記チャンバ内の前記基板上に炭化珪素パッシベーション層
    を堆積するステップとを含む方法。
  16. 【請求項16】 前記珪素と炭素がメチルシランを含む請求項15に記載の
    方法。
  17. 【請求項17】 更に、前記炭化珪素バリヤ層を約300°から約400℃
    の範囲の温度で堆積するステップを含む請求項15に記載の方法。
  18. 【請求項18】 前記珪素と前記炭素を反応させるステップが約6から約8
    Torrの範囲のチャンバ圧を適用して前記珪素と前記炭素を反応させるステップを
    含む請求項15に記載の方法。
  19. 【請求項19】 更に、湿気の実質的な浸透がない炭化珪素パッシベーショ
    ン層を形成するステップを含む請求項15に記載の方法。
  20. 【請求項20】 前記珪素と前記炭素を反応させるステップが前記チャンバ
    内の陽極と陰極に一平方センチ当たり約1.33から約2.22ワットのパワー
    密度を供給するRF電源を用い前記珪素と前記炭素を反応させるステップを含む
    請求項15に記載の方法。
  21. 【請求項21】 前記珪素と、前記炭素と、前記貴ガスとを供給するステッ
    プが前記珪素と前記炭素源として約100から約500sccm範囲のメチルシラン
    流量を供給するステップと、前記貴ガス源として約1000から約2000sccm
    範囲のヘリウム又はアルゴン ガス流量を供給するステップとを含み、更に、チ
    ャンバ内の陽極と陰極に一平方センチ当たり約1.33から約2.22ワットのパワ
    ー密度を供給するRF電源、約200°から約400℃範囲の基板温度、及び基
    板から約200から約600ミルで間隔しているガス分散ヘッドを有すると共に
    、圧力範囲が約6から約8Torrであるチャンバ内に、前記珪素と前記炭素を反応
    させるステップを含む請求項15に記載の方法。
  22. 【請求項22】 前記珪素と炭素が他の炭素源とは無関係に通常のメチルシ
    ランから誘導される請求項15に記載の方法。
  23. 【請求項23】 炭化珪素層を有する基板であって: a)半導体基板と; b)前記基板上に堆積された誘電体層と; c)約6以下の誘電率を有する炭化珪素層とを備える、基板。
  24. 【請求項24】 前記炭化珪素層が約3以下の実効誘電率を備える請求項2
    3に記載の基板。
  25. 【請求項25】 前記炭化珪素層が約300Å以下の銅拡散を含む請求項2
    3に記載の基板。
  26. 【請求項26】 前記炭化珪素層が少なくとも約40:1のエッチング選択
    比を含む請求項23に記載の基板。
  27. 【請求項27】 前記珪素と炭素源として約30から約500sccm範囲のメ
    チルシラン流量と、前記貴ガス源として約100から2000sccm範囲のヘリウ
    ム又はアルゴン流量を供給するステップを含み、更に、チャンバ内の陽極と陰極
    に一平方センチ当たり約0.67から約1.55ワットのパワー密度を供給する
    RF電源、約200°から約400℃範囲の基板表面温度、及び基板表面から約
    300から約600ミル範囲の間隔を有するシャワーヘッドと共に、圧力範囲が
    約3から約10Torrであるチャンバ内に、前記珪素と前記炭素を反応させるステ
    ップを含むプロセスによって製造される、請求項23に記載の基板。
JP2000572917A 1998-10-01 1999-09-27 炭化珪素の堆積方法とバリヤ層およびパッシベーション層としての使用 Pending JP2002526649A (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US09/165,248 US20030089992A1 (en) 1998-10-01 1998-10-01 Silicon carbide deposition for use as a barrier layer and an etch stop
US09/165,248 1998-10-01
US09/219,945 1998-12-23
US09/219,945 US6635583B2 (en) 1998-10-01 1998-12-23 Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
US09/270,039 US6974766B1 (en) 1998-10-01 1999-03-16 In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US09/270,039 1999-03-16
PCT/US1999/022425 WO2000019508A1 (en) 1998-10-01 1999-09-27 Silicon carbide deposition method and use as a barrier layer and passivation layer

Publications (1)

Publication Number Publication Date
JP2002526649A true JP2002526649A (ja) 2002-08-20

Family

ID=27389120

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2000572907A Pending JP2002526916A (ja) 1998-10-01 1999-09-27 ダマシン用途の低κシリコンカーバイドバリア層、エッチストップ及び反射防止被膜のインシチュウ堆積
JP2000572917A Pending JP2002526649A (ja) 1998-10-01 1999-09-27 炭化珪素の堆積方法とバリヤ層およびパッシベーション層としての使用

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2000572907A Pending JP2002526916A (ja) 1998-10-01 1999-09-27 ダマシン用途の低κシリコンカーバイドバリア層、エッチストップ及び反射防止被膜のインシチュウ堆積

Country Status (6)

Country Link
US (3) US6974766B1 (ja)
EP (3) EP1118025A2 (ja)
JP (2) JP2002526916A (ja)
KR (4) KR100650226B1 (ja)
TW (3) TW432476B (ja)
WO (3) WO2000019498A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006054487A (ja) * 2005-10-13 2006-02-23 Fujitsu Ltd 半導体集積回路装置

Families Citing this family (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
GB0001179D0 (en) 2000-01-19 2000-03-08 Trikon Holdings Ltd Methods & apparatus for forming a film on a substrate
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
DE10042948C2 (de) * 2000-08-31 2002-07-18 Infineon Technologies Ag Integriertes Bauelement und Verfahren zu dessen Herstellung
TW535253B (en) * 2000-09-08 2003-06-01 Applied Materials Inc Plasma treatment of silicon carbide films
US6465366B1 (en) * 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
JP4698813B2 (ja) * 2000-10-19 2011-06-08 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP3516941B2 (ja) 2000-11-30 2004-04-05 キヤノン販売株式会社 半導体装置及びその製造方法
DE10062660B4 (de) * 2000-12-15 2010-05-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Siliciumoxynitrid-ARC-Schicht über einer Halbleiterstruktur
US6429121B1 (en) * 2001-02-07 2002-08-06 Advanced Micro Devices, Inc. Method of fabricating dual damascene with silicon carbide via mask/ARC
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6566242B1 (en) * 2001-03-23 2003-05-20 International Business Machines Corporation Dual damascene copper interconnect to a damascene tungsten wiring level
US20030027413A1 (en) * 2001-08-01 2003-02-06 Ting Tsui Method to improve the adhesion of dielectric layers to copper
US6489238B1 (en) * 2001-08-21 2002-12-03 Texas Instruments Incorporated Method to reduce photoresist contamination from silicon carbide films
US6759327B2 (en) * 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
GB0129567D0 (en) 2001-12-11 2002-01-30 Trikon Technologies Ltd Diffusion barrier
DE10297447T5 (de) * 2001-12-11 2004-11-11 Trikon Technologies Limited, Newport Diffusionsbarriere
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6838393B2 (en) 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
KR100799118B1 (ko) * 2001-12-19 2008-01-29 주식회사 하이닉스반도체 다층 구리 배선의 형성 방법
US20030181034A1 (en) * 2002-03-19 2003-09-25 Ping Jiang Methods for forming vias and trenches with controlled SiC etch rate and selectivity
JP4340040B2 (ja) * 2002-03-28 2009-10-07 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US6656840B2 (en) 2002-04-29 2003-12-02 Applied Materials Inc. Method for forming silicon containing layers on a substrate
US7097923B2 (en) * 2002-04-30 2006-08-29 Hitachi Global Storage Technologies Method for forming thin film heads using a tri-layer anti-reflection coating for photolithographic applications and a structure thereof
KR100462759B1 (ko) * 2002-05-06 2004-12-20 동부전자 주식회사 확산 장벽층을 갖는 금속 배선 및 그 제조 방법
US7105442B2 (en) 2002-05-22 2006-09-12 Applied Materials, Inc. Ashable layers for reducing critical dimensions of integrated circuit features
KR100475931B1 (ko) * 2002-07-02 2005-03-10 매그나칩 반도체 유한회사 반도체 소자의 다층 배선 형성방법
JP4282054B2 (ja) * 2002-09-09 2009-06-17 東京応化工業株式会社 デュアルダマシン構造形成プロセスに用いられる洗浄液および基板の処理方法
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US6908846B2 (en) * 2002-10-24 2005-06-21 Lam Research Corporation Method and apparatus for detecting endpoint during plasma etching of thin films
US6815332B2 (en) * 2002-10-30 2004-11-09 Asm Japan K.K. Method for forming integrated dielectric layers
US6939800B1 (en) * 2002-12-16 2005-09-06 Lsi Logic Corporation Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures
AU2003287518A1 (en) * 2002-12-23 2004-07-29 Advanced Micro Devices, Inc. Method of forming a cap layer having anti-reflective characteristics on top of a low-k dielectric
US20040124420A1 (en) 2002-12-31 2004-07-01 Lin Simon S.H. Etch stop layer
JP3898133B2 (ja) 2003-01-14 2007-03-28 Necエレクトロニクス株式会社 SiCHN膜の成膜方法。
US7091133B2 (en) * 2003-01-27 2006-08-15 Asm Japan K.K. Two-step formation of etch stop layer
US6943111B2 (en) * 2003-02-10 2005-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier free copper interconnect by multi-layer copper seed
JP2004247675A (ja) 2003-02-17 2004-09-02 Renesas Technology Corp 半導体装置の製造方法
JP4454242B2 (ja) * 2003-03-25 2010-04-21 株式会社ルネサステクノロジ 半導体装置およびその製造方法
JP4295730B2 (ja) 2003-04-28 2009-07-15 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
KR100541185B1 (ko) * 2003-07-28 2006-01-11 삼성전자주식회사 캡핑막을 포함하는 층간절연막 및 이를 포함하는 금속배선형성 방법
US20050064629A1 (en) * 2003-09-22 2005-03-24 Chen-Hua Yu Tungsten-copper interconnect and method for fabricating the same
DE102004036753B4 (de) * 2004-07-29 2008-11-06 Advanced Micro Devices Inc., Sunnyvale Verfahren zur Herstellung einer stickstofffreien ARC-Deckschicht
DE102004042168B4 (de) * 2004-08-31 2009-08-20 Advanced Micro Devices, Inc., Sunnyvale Halbleiterelement mit einem Metallisierungsschichtstapel mit kleinem ε mit erhöhter Widerstandsfähigkeit gegen Elektromigration und Verfahren zum Bilden des Halbleiterelements
WO2006044868A1 (en) 2004-10-20 2006-04-27 Nervonix, Inc. An active electrode, bio-impedance based, tissue discrimination system and methods and use
US7361584B2 (en) * 2004-11-04 2008-04-22 International Business Machines Corporation Detection of residual liner materials after polishing in damascene process
US8084294B2 (en) 2005-02-18 2011-12-27 Nec Corporation Method of fabricating organic silicon film, semiconductor device including the same, and method of fabricating the semiconductor device
US7265437B2 (en) * 2005-03-08 2007-09-04 International Business Machines Corporation Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
US7442649B2 (en) * 2005-03-29 2008-10-28 Lam Research Corporation Etch with photoresist mask
JP5096669B2 (ja) 2005-07-06 2012-12-12 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
WO2007032261A1 (ja) 2005-09-13 2007-03-22 Nec Corporation 多孔質絶縁膜の形成方法および半導体装置
WO2007061134A1 (ja) 2005-11-24 2007-05-31 Nec Corporation 多孔質絶縁膜の形成方法、半導体装置の製造装置、半導体装置の製造方法及び半導体装置
KR100720526B1 (ko) 2005-12-28 2007-05-22 동부일렉트로닉스 주식회사 반도체소자의 금속배선 형성방법
WO2007132879A1 (ja) 2006-05-17 2007-11-22 Nec Corporation 半導体装置、半導体装置の製造方法及び半導体製造装置
US7615427B2 (en) * 2006-06-05 2009-11-10 Chartered Semiconductor Manufacturing, Ltd. Spacer-less low-k dielectric processes
US20070290347A1 (en) * 2006-06-19 2007-12-20 Texas Instruments Incorporated Semiconductive device having resist poison aluminum oxide barrier and method of manufacture
US8790785B2 (en) 2006-07-21 2014-07-29 Renesas Electronics Corporation Method of forming a porous insulation film
EP2118003A4 (en) * 2007-03-01 2017-12-27 Plasmatrix Materials AB Method, material and apparatus for enhancing dynamic stiffness
US7964442B2 (en) * 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
US8987039B2 (en) 2007-10-12 2015-03-24 Air Products And Chemicals, Inc. Antireflective coatings for photovoltaic applications
KR101225642B1 (ko) * 2007-11-15 2013-01-24 삼성전자주식회사 H2 원격 플라즈마 처리를 이용한 반도체 소자의 콘택플러그 형성방법
JP4675393B2 (ja) 2008-05-12 2011-04-20 パナソニック株式会社 半導体装置および半導体装置の製造方法
JP4891296B2 (ja) * 2008-07-03 2012-03-07 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US8053361B2 (en) * 2008-09-04 2011-11-08 Globalfoundries Singapore Pte. Ltd Interconnects with improved TDDB
US8455849B2 (en) 2010-11-30 2013-06-04 Applied Materials, Inc. Method and apparatus for modulating wafer treatment profile in UV chamber
US8994178B2 (en) 2012-03-29 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method for forming the same
CN102623396B (zh) * 2012-04-17 2014-05-14 上海华力微电子有限公司 连接孔的形成方法
US9269678B2 (en) 2012-10-25 2016-02-23 United Microelectronics Corp. Bond pad structure and method of manufacturing the same
CN103539122B (zh) * 2013-10-12 2015-12-02 台州市一能科技有限公司 一种碳化硅的制备方法
US9196583B1 (en) * 2014-05-09 2015-11-24 Qualcomm Incorporated Via material selection and processing
US9590157B2 (en) 2015-06-04 2017-03-07 The Silanna Group Pty Ltd Efficient dual metal contact formation for a semiconductor device
US10574210B2 (en) 2016-03-31 2020-02-25 Qorvo Us, Inc. BAW devices having top electrode leads with reduced reflectance
CN109427650B (zh) * 2017-08-24 2021-03-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR102540963B1 (ko) 2017-12-27 2023-06-07 삼성전자주식회사 미세 패턴 형성 방법 및 기판 처리 장치
RU2687343C1 (ru) * 2018-03-22 2019-05-13 Открытое акционерное общество "Композит" Способ получения композиционного материала
DE102018107563B4 (de) * 2018-03-29 2022-03-03 Infineon Technologies Austria Ag Halbleitervorrichtung mit kupferstruktur und verfahren zur herstellung einer halbleitervorrichung
US11171200B2 (en) * 2019-09-26 2021-11-09 Texas Instruments Incorporated Integrated circuits having dielectric layers including an anti-reflective coating
KR20210111017A (ko) * 2020-03-02 2021-09-10 주식회사 원익아이피에스 기판 처리 방법 및 이를 이용하여 제조된 반도체 소자
CN113991092B (zh) * 2021-09-27 2023-05-05 杭州电子科技大学 一种硅电极材料的制备方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59128281A (ja) * 1982-12-29 1984-07-24 信越化学工業株式会社 炭化けい素被覆物の製造方法
JPH08250594A (ja) * 1995-02-02 1996-09-27 Dow Corning Corp 炭化ケイ素の金属拡散障壁層
JPH09107098A (ja) * 1995-08-25 1997-04-22 Siemens Ag 半導体デバイス

Family Cites Families (266)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
GB1147014A (en) * 1967-01-27 1969-04-02 Westinghouse Electric Corp Improvements in diffusion masking
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4262631A (en) 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
FI64878C (fi) 1982-05-10 1984-01-10 Lohja Ab Oy Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer
JPH07111957B2 (ja) 1984-03-28 1995-11-29 圭弘 浜川 半導体の製法
US4759947A (en) 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4895734A (en) 1987-03-31 1990-01-23 Hitachi Chemical Company, Ltd. Process for forming insulating film used in thin film electroluminescent device
US5028566A (en) * 1987-04-10 1991-07-02 Air Products And Chemicals, Inc. Method of forming silicon dioxide glass films
IT1226701B (it) * 1988-07-29 1991-02-05 Eniricerche Spa Procedimento per la deposizione di organosilani su substrati di silicio o di ossido di silicio per dispositivi del tipo eos o chemfet.
GB8827933D0 (en) 1988-11-30 1989-01-05 Plessey Co Plc Improvements relating to soldering processes
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US5011706A (en) 1989-04-12 1991-04-30 Dow Corning Corporation Method of forming coatings containing amorphous silicon carbide
JPH03105974A (ja) 1989-09-19 1991-05-02 Kobe Steel Ltd 多結晶ダイヤ薄膜合成によるシヨツトキー・ダイオードの製作法
ES2087968T3 (es) * 1990-03-23 1996-08-01 At & T Corp Interconexion de circuito integrado.
EP0449117A3 (en) 1990-03-23 1992-05-06 Matsushita Electric Industrial Co., Ltd. Organic polymer and preparation and use thereof
US5401613A (en) 1990-12-13 1995-03-28 Brewer Science Method of manufacturing microelectronic devices having multifunctional photolithographic layers
US5232871A (en) 1990-12-27 1993-08-03 Intel Corporation Method for forming a titanium nitride barrier layer
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
US5525550A (en) 1991-05-21 1996-06-11 Fujitsu Limited Process for forming thin films by plasma CVD for use in the production of semiconductor devices
US5238866A (en) 1991-09-11 1993-08-24 GmbH & Co. Ingenieurburo Berlin Biotronik Mess- und Therapiegerate Plasma enhanced chemical vapor deposition process for producing an amorphous semiconductive surface coating
JPH05144811A (ja) * 1991-11-22 1993-06-11 Hitachi Ltd 薄膜半導体装置及びその製造方法
US5472829A (en) 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern by using an anti-reflective layer
JP2953349B2 (ja) * 1991-12-30 1999-09-27 ソニー株式会社 レジストパターン形成方法、反射防止膜形成方法、反射防止膜および半導体装置
US5472827A (en) 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern using an anti-reflective layer
KR970003646B1 (ko) 1992-05-15 1997-03-20 신에쯔 세끼에이 가부시끼가이샤 종형열처리장치 및 보온체와 그 제조방법
JPH05335299A (ja) * 1992-05-29 1993-12-17 Kawasaki Steel Corp 半導体装置の製造方法
US5739579A (en) 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JP2734915B2 (ja) 1992-11-18 1998-04-02 株式会社デンソー 半導体のドライエッチング方法
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5409543A (en) 1992-12-22 1995-04-25 Sandia Corporation Dry soldering with hot filament produced atomic hydrogen
TW347149U (en) 1993-02-26 1998-12-01 Dow Corning Integrated circuits protected from the environment by ceramic and barrier metal layers
US5360491A (en) 1993-04-07 1994-11-01 The United States Of America As Represented By The United States Department Of Energy β-silicon carbide protective coating and method for fabricating same
US5627105A (en) 1993-04-08 1997-05-06 Varian Associates, Inc. Plasma etch process and TiSix layers made using the process
US5526244A (en) 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
US5465680A (en) 1993-07-01 1995-11-14 Dow Corning Corporation Method of forming crystalline silicon carbide coatings
US5468978A (en) 1993-07-07 1995-11-21 Dowben; Peter A. Forming B1-x Cx semiconductor devices by chemical vapor deposition
US5427621A (en) 1993-10-29 1995-06-27 Applied Materials, Inc. Method for removing particulate contaminants by magnetic field spiking
JP2899600B2 (ja) 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
JP3254875B2 (ja) * 1994-02-03 2002-02-12 富士通株式会社 半導体装置の製造方法
US5451263A (en) 1994-02-03 1995-09-19 Harris Corporation Plasma cleaning method for improved ink brand permanency on IC packages with metallic parts
US5618619A (en) 1994-03-03 1997-04-08 Monsanto Company Highly abrasion-resistant, flexible coatings for soft substrates
JP3326974B2 (ja) 1994-07-28 2002-09-24 ソニー株式会社 多層配線の形成方法および半導体装置の製造方法
US5565084A (en) 1994-10-11 1996-10-15 Qnix Computer Co., Ltd. Electropolishing methods for etching substrate in self alignment
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
US5736457A (en) 1994-12-09 1998-04-07 Sematech Method of making a damascene metallization
US5710067A (en) 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
KR0167248B1 (ko) 1995-07-24 1999-02-01 문정환 반도체 기판의 전처리방법
US5804488A (en) 1995-08-24 1998-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a tungsten silicide capacitor having a high breakdown voltage
US5623160A (en) 1995-09-14 1997-04-22 Liberkowski; Janusz B. Signal-routing or interconnect substrate, structure and apparatus
US5789776A (en) 1995-09-22 1998-08-04 Nvx Corporation Single poly memory cell and array
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
JP2973905B2 (ja) * 1995-12-27 1999-11-08 日本電気株式会社 半導体装置の製造方法
US5660682A (en) 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US5741626A (en) 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
US5780163A (en) 1996-06-05 1998-07-14 Dow Corning Corporation Multilayer coating for microelectronic devices
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5869396A (en) 1996-07-15 1999-02-09 Chartered Semiconductor Manufacturing Ltd. Method for forming a polycide gate electrode
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5801098A (en) 1996-09-03 1998-09-01 Motorola, Inc. Method of decreasing resistivity in an electrically conductive layer
US5776235A (en) 1996-10-04 1998-07-07 Dow Corning Corporation Thick opaque ceramic coatings
US5711987A (en) 1996-10-04 1998-01-27 Dow Corning Corporation Electronic coatings
US5730792A (en) 1996-10-04 1998-03-24 Dow Corning Corporation Opaque ceramic coatings
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US6136700A (en) * 1996-12-20 2000-10-24 Texas Instruments Incorporated Method for enhancing the performance of a contact
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
JPH10308283A (ja) 1997-03-04 1998-11-17 Denso Corp El素子およびその製造方法
US5789316A (en) 1997-03-10 1998-08-04 Vanguard International Semiconductor Corporation Self-aligned method for forming a narrow via
US5817579A (en) 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
KR19990005812A (ko) * 1997-06-30 1999-01-25 김영환 반사방지막의 형성방법
US5821168A (en) 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US6013553A (en) 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US5926740A (en) 1997-10-27 1999-07-20 Micron Technology, Inc. Graded anti-reflective coating for IC lithography
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6197683B1 (en) 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6291334B1 (en) 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6287990B1 (en) * 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100278657B1 (ko) 1998-06-24 2001-02-01 윤종용 반도체장치의금속배선구조및그제조방법
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6316167B1 (en) 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
JP2000031387A (ja) 1998-07-14 2000-01-28 Fuji Electric Co Ltd 誘電体薄膜コンデンサの製造方法
US6103456A (en) 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
US6245662B1 (en) 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR20000013654A (ko) 1998-08-12 2000-03-06 윤종용 원자층 증착 방법으로 형성한 알루미나/알루미늄나이트라이드복합 유전체막을 갖는 캐패시터와 그제조 방법
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
US6071809A (en) * 1998-09-25 2000-06-06 Rockwell Semiconductor Systems, Inc. Methods for forming high-performing dual-damascene interconnect structures
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100297719B1 (ko) * 1998-10-16 2001-08-07 윤종용 박막제조방법
US6528426B1 (en) 1998-10-16 2003-03-04 Texas Instruments Incorporated Integrated circuit interconnect and method
JP3580159B2 (ja) 1998-12-18 2004-10-20 東京エレクトロン株式会社 タングステン膜の成膜方法
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
KR100347379B1 (ko) 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6218298B1 (en) 1999-05-19 2001-04-17 Infineon Technologies North America Corp. Tungsten-filled deep trenches
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6114259A (en) 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6984415B2 (en) * 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6593653B2 (en) 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
TW515032B (en) 1999-10-06 2002-12-21 Samsung Electronics Co Ltd Method of forming thin film using atomic layer deposition method
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
KR100705926B1 (ko) * 1999-12-22 2007-04-11 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100624903B1 (ko) 1999-12-22 2006-09-19 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
JP4817210B2 (ja) 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
JP4362919B2 (ja) 2000-02-04 2009-11-11 株式会社デンソー 原子層エピタキシャル成長法による成膜方法
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6921712B2 (en) * 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
KR100647442B1 (ko) 2000-06-07 2006-11-17 주성엔지니어링(주) 원자층 증착법을 이용한 박막 형성방법
KR100403611B1 (ko) 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
WO2001099166A1 (en) * 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
KR100387255B1 (ko) * 2000-06-20 2003-06-11 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US6372661B1 (en) 2000-07-14 2002-04-16 Taiwan Semiconductor Manufacturing Company Method to improve the crack resistance of CVD low-k dielectric constant material
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
KR100630666B1 (ko) * 2000-08-09 2006-10-02 삼성전자주식회사 금속 콘택 및 커패시터를 포함하는 반도체 소자 제조방법
KR100396879B1 (ko) * 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
US6903005B1 (en) 2000-08-30 2005-06-07 Micron Technology, Inc. Method for the formation of RuSixOy-containing barrier layers for high-k dielectrics
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
JP4333900B2 (ja) 2000-11-30 2009-09-16 エーエスエム インターナショナル エヌ.ヴェー. 磁気メモリセル、磁気構造体及び磁気素子の製造方法、並びに磁気構造体用金属層の成長方法
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100386034B1 (ko) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
KR20020049875A (ko) 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
JP3963078B2 (ja) 2000-12-25 2007-08-22 株式会社高純度化学研究所 ターシャリーアミルイミドトリス(ジメチルアミド)タンタルとその製造方法及びそれを用いたmocvd用原料溶液並びにそれを用いた窒化タンタル膜の形成方法
KR20020056260A (ko) 2000-12-29 2002-07-10 박종섭 반도체 소자의 금속 게이트 형성방법
US20020086111A1 (en) 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
KR100400031B1 (ko) 2001-01-17 2003-09-29 삼성전자주식회사 반도체 소자의 콘택 플러그 및 그 형성 방법
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100400033B1 (ko) 2001-02-08 2003-09-29 삼성전자주식회사 다층 배선 구조를 갖는 반도체 소자 및 그의 제조방법
KR100395766B1 (ko) 2001-02-12 2003-08-25 삼성전자주식회사 강유전체 기억 소자 및 그 형성 방법
EP1421607A2 (en) 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20020121241A1 (en) 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6369430B1 (en) 2001-04-02 2002-04-09 Motorola, Inc. Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same
EP1300887B1 (en) 2001-04-02 2007-05-23 Matsushita Electric Industrial Co., Ltd. Method of manufacturing a semiconductor device
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US6420189B1 (en) 2001-04-27 2002-07-16 Advanced Micro Devices, Inc. Superconducting damascene interconnected for integrated circuit
US6447933B1 (en) 2001-04-30 2002-09-10 Advanced Micro Devices, Inc. Formation of alloy material using alternating depositions of alloy doping element and bulk material
US6596643B2 (en) 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6673721B1 (en) 2001-07-02 2004-01-06 Lsi Logic Corporation Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
TW581822B (en) 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
KR101013231B1 (ko) 2001-09-14 2011-02-10 에이에스엠 인터내셔널 엔.브이. 환원펄스를 이용한 원자층증착에 의한 질화금속증착
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030057526A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6423619B1 (en) 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6674138B1 (en) 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6753618B2 (en) 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US7164165B2 (en) * 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
KR100468852B1 (ko) * 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
JP4188033B2 (ja) * 2002-08-30 2008-11-26 本田技研工業株式会社 油圧緩衝機の取付構造
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59128281A (ja) * 1982-12-29 1984-07-24 信越化学工業株式会社 炭化けい素被覆物の製造方法
JPH08250594A (ja) * 1995-02-02 1996-09-27 Dow Corning Corp 炭化ケイ素の金属拡散障壁層
JPH09107098A (ja) * 1995-08-25 1997-04-22 Siemens Ag 半導体デバイス

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006054487A (ja) * 2005-10-13 2006-02-23 Fujitsu Ltd 半導体集積回路装置
JP4521349B2 (ja) * 2005-10-13 2010-08-11 富士通セミコンダクター株式会社 半導体集積回路装置

Also Published As

Publication number Publication date
KR20070005025A (ko) 2007-01-09
WO2000020900A3 (en) 2000-09-08
US20090130837A1 (en) 2009-05-21
WO2000019498A1 (en) 2000-04-06
US20060089007A1 (en) 2006-04-27
JP2002526916A (ja) 2002-08-20
US6974766B1 (en) 2005-12-13
EP1118025A2 (en) 2001-07-25
TW492138B (en) 2002-06-21
KR100716622B1 (ko) 2007-05-09
KR100696034B1 (ko) 2007-03-16
WO2000019508A1 (en) 2000-04-06
KR20010079973A (ko) 2001-08-22
KR20010075563A (ko) 2001-08-09
EP1118109A1 (en) 2001-07-25
US7670945B2 (en) 2010-03-02
KR100650226B1 (ko) 2006-11-24
TW432476B (en) 2001-05-01
US7470611B2 (en) 2008-12-30
KR20010075561A (ko) 2001-08-09
EP1118107A1 (en) 2001-07-25
TW523803B (en) 2003-03-11
WO2000020900A2 (en) 2000-04-13

Similar Documents

Publication Publication Date Title
JP2002526649A (ja) 炭化珪素の堆積方法とバリヤ層およびパッシベーション層としての使用
US20030089992A1 (en) Silicon carbide deposition for use as a barrier layer and an etch stop
US6951810B2 (en) Barrier film integrity on porous low k dielectrics by application of a hydrocarbon plasma treatment
US7425506B1 (en) Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US6410462B1 (en) Method of making low-K carbon doped silicon oxide
EP1050599B1 (en) Method of forming interlayer insulating film
KR100743775B1 (ko) 확산을 감소시키도록 낮은 유전상수 k의 유전층을 처리하기 위한 방법 및 장치
US7193325B2 (en) Reliability improvement of SiOC etch with trimethylsilane gas passivation in Cu damascene interconnects
JP2001326224A (ja) 半導体装置及びその製造方法
Whitman et al. Ultralarge scale integrated metallization and interconnects
JP2005033203A (ja) シリコンカーバイド膜の形成方法
US7981790B2 (en) Semiconductor device and method of fabricating the same
US6753258B1 (en) Integration scheme for dual damascene structure
JP4034227B2 (ja) 半導体装置の製造方法
US7199043B2 (en) Method of forming copper wiring in semiconductor device
US7056825B2 (en) Method for manufacturing a semiconductor device that includes plasma treating an insulating film with a mixture of helium and argon gases
US20060115980A1 (en) Method for decreasing a dielectric constant of a low-k film
EP1507289A2 (en) Diffusion barrier for copper lines in integrated circuits
US20010051228A1 (en) Method of forming interlayer insulating film
US20060017166A1 (en) Robust fluorine containing Silica Glass (FSG) Film with less free fluorine
TW465031B (en) Copper manufacture process for semiconductor
JP2002526804A (ja) 低誘電率反射防止被膜に用いるシリコンカーバイドの堆積
JP2010287653A (ja) 半導体装置およびその製造方法
JP2006147895A (ja) 半導体装置の製造方法
JP2006054326A (ja) 半導体装置の製造方法及び半導体装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060830

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060830

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091104

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100406