KR20010075561A - 낮은 κ 실리콘 카바이드 배리어층, 에칭 정지층, 및다마신 적용을 위한 반사방지 코팅층의 동일 현장 증착 - Google Patents

낮은 κ 실리콘 카바이드 배리어층, 에칭 정지층, 및다마신 적용을 위한 반사방지 코팅층의 동일 현장 증착 Download PDF

Info

Publication number
KR20010075561A
KR20010075561A KR1020017004231A KR20017004231A KR20010075561A KR 20010075561 A KR20010075561 A KR 20010075561A KR 1020017004231 A KR1020017004231 A KR 1020017004231A KR 20017004231 A KR20017004231 A KR 20017004231A KR 20010075561 A KR20010075561 A KR 20010075561A
Authority
KR
South Korea
Prior art keywords
layer
substrate
dielectric
dielectric layer
etch stop
Prior art date
Application number
KR1020017004231A
Other languages
English (en)
Other versions
KR100650226B1 (ko
Inventor
주디 후앙
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/165,248 external-priority patent/US20030089992A1/en
Priority claimed from US09/219,945 external-priority patent/US6635583B2/en
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010075561A publication Critical patent/KR20010075561A/ko
Application granted granted Critical
Publication of KR100650226B1 publication Critical patent/KR100650226B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • C30B25/105Heating of the reaction chamber or the substrate by irradiation or electric discharge
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/36Carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/02447Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/0445Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising crystalline silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/931Silicon carbide semiconductor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/932Boron nitride semiconductor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/952Utilizing antireflective layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

본 발명은 IC 적용분야에서 프리메탈 유전체(PMD) 레벨을 포함하여, 멀티플 레벨에서 배리어층, 에칭 정지층 및 ARC로서 유용한, 특정의 처리 방법에 따라서 형성된 SiC 물질을 제공하며, 배리어층, 에칭 정지층 및 ARC에 대한 SiC 물질과의 동일 현장에서 증착된 유전체층을 제공한다. 상기 유전체층은 SiC 물질과는 상이한 전구물질로 증착될 수 있지만, SiC 물질과 동일하거나 유사한 물질로 증착되는 것이 바람직하다. 본 발명은 전도성 물질로서 고확산성 구리를 사용하는 IC에 특히 유용하다. 본 발명은 또한 구리로 충전된 특징부와 같은 금속 표면상에서 특히 발생할 수 있는 모든 옥사이드를 제거하기 위해 암모니아와 같은 환원제를 함유하는 플라즈마를 이용할 수 있다. 본 발명은 또한 가능하게는 그 밖의 다른 어떠한 탄소원 및 수소원과 무관하게 그리고 상당량의 산소의 부재하에 실리콘 및 탄소원으로서 유기실란을 이용하여 유전 상수가 7.0 미만인 SiC를 생성시키는 것을 포함하는 처리 방법을 제공한다. 이러한 특정의 SiC 물질은 다마신 구조와 같은 복잡한 구조에 유용하며, 특히 배리어층, 에칭 정지층 및 ARC와 같은 상이한 층에 대하여 다중 용량으로 사용될 때의 현장 증착에 도움이 되며, 관련된 유전체층(들)의 현장 증착을 포함할 수 있다.

Description

낮은 κ 실리콘 카바이드 배리어층, 에칭 정지층, 및 다마신 적용을 위한 반사방지 코팅층의 동일 현장 증착 {IN SITU DEPOSITION OF LOW K SI CARBIDE BARRIER LAYER, ETCH STOP, AND ANTI-REFLECTIVE COATING FOR DAMASCENE APPLICATIONS}
집적 회로 디자인 및 제조방법에 있어서의 일관되고 분명히 예견할 수 있는 개선점이 지난 십년 동안 연구되어 왔다. 성공적인 개선을 위한 하나의 핵심 기술은 집적 회로(IC) 디바이스의 디바이스들 사이의 전도성 통로를 제공하는 멀리레벨 상호연결 기술이다. 초대규모 집적(VLSI) 및 극초대규모 집적(ULSI) 기술에서 수평 상호연결(전형적으로는 라인으로 불리움) 및 수직 상호연결(전형적으로는 콘택트 또는 바이어라 불리움; 콘택트는 하부 기판상의 디바이스로 연장되는 반면, 바이어는 M1, M2 등과 같은 하부 금속층으로 연장된다)과 같은, 1/4 미크론 미만 및이보다 더 작은 범위로 존재하는 특징부의 축소 치수는 상호연결 라인들 사이의 전기 용량적 커플링 및 다수층의 유전 상수를 감소시키는 중요성을 증가시켰다. 집적 회로의 속도를 추가로 개선시키기 위해, 낮은 저항 및 낮은 κ(7.0 미만의 유전 상수) 절연체를 지닌 물질을 사용하여 근접한 금속 라인들 사이의 전기 용량적 커플링을 감소시킬 필요가 있게 되었다. 낮은 κ 물질에 대한 요건은 사진석판기술에서 사용된 배리어층, 에칭 정지층 및 반사방지 코팅층으로 확대된다. 그러나, 전형적인 배리어층, 에칭 정지층 및 반사방지 코팅층 물질은 유전 상수를 현저히 감소시키지 않는 조합된 절연체를 생성시키는 7.0 보다 상당히 큰 유전 상수를 갖는다. 이와 같이, 보다 우수한 물질은 낮은 κ 값을 갖는 배리어층, 에칭 정지층 및 반사방지 코팅층을 필요로 한다. 낮은 κ 물질에 대한 요건도 또한 유전체층으로 확대된다. 예를 들어, 현재의 연구가 추구하고자 하는 방향은 유전체층(들)에 대한 유전 상수가 3.0 미만, 바람직하게는 2.5 미만이 되게 하는 것이다.
회로 밀도가 증가하는 경우, 특징부 크기를 보다 작아지도록 하기 위해 추가의 공정 변화가 요구된다. 예를 들어, 보다 정확한 패턴 에칭을 위한 사진석판술 공정을 개선시키는 쪽으로 연구가 진행되고 있다. 사진석판술은 기판 표면상에 미세 규모의 패턴을 전개시키기 위해 광 패턴 및 포토레지스트 물질(전형적으로는 유기 중합체)를 사용하는 집적 회로를 제조하는데 사용된 기술이다. 포토레지스트 물질의 예로는 전형적으로 나프토퀴논 디아지드가 포함된다. 많은 경우에, 기판을 사진석판술로 적절하게 처리하고 원치않는 패턴화를 피하기 위해, 광선 반사가 감소되도록 패턴화시키려는 층의 고반사도가 개선되어야 한다. 반사도는 보통 100%의 값을 갖는 베어 실리콘(bare silicon)과 같은 공지된 표준물의 %로서 표현된다. 하부층으로부터의 외래 반사광은 포토레지스트로 반사될 수 있으며 포토레지스트를 비요망 영역에서 노광시킬 수 있다. 어떠한 원치않는 노광도 라인, 바이어, 및 그 밖의 형성하고자 의도되는 특징부를 왜곡시킬 수 없다. 하기된 다마신(damascene) 구조의 반사도는 보다 우수한 사진석판술 방법에 대한 요건을 증가시켰다.
다층 구조의 경우, 증가된 반사도는 부정확한 에칭의 원인이었다. 유전체층은 본질적으로 포토레지스트를 노광시키는데 사용된 자외선광을 통과시킨다. 이와 같이, 다단계 유전체 및 다마신 구조의 특징부는 증가되고 원치않는 반사를 유발시킨다. 결과적으로, 반사방지 코팅층(ARC)은 에칭 대상 층상에 증착되며, 여기에서 ARC는 하부층 보다 반사도가 낮으며 하부층을 에칭시키는데 사용되는 동일한 또는 유사한 화학물질에 의해 에칭되는 얇은 전기방식용 층이다. 상기 ARC는 개선된 특징부 치수 및 정밀도가 보다 근접하게 이격될 수 있어 ULSI 회로에 요망되는 증가된 전류 밀도를 생성시킬 수 있도록 외래 반사를 감소시키거나 제거한다.
ARC 물질은 본원에 참고문헌으로 인용되는 미국특허 제 5,710,067호에 기술된 바와 같이 유기 또는 무기 물질일 수 있다. 유기 ARC는 여러 물질 중에서도 특히 스핀-온(spin-on) 폴리이미드 및 폴리술폰을 포함하며, 무기 ARC 보다 일반적으로 바싸며 복잡한 가공을 필요로 한다. 무기 ARC는 실리콘 니트라이드, 실리콘 옥시니트라이드, α-탄소, 티타늄 니트라이드, 실리콘 카바이드 및 비결정질 실리콘을 포함한다. 본 발명 이전에, 무기 ARC는 전형적으로 높은 κ 값을 특징으로 하였으며, 낮은 κ 구조와는 양립성이 없었다. 높은 κ ARC의 용도는 높은 κ 물질을 낮은 κ 층의 스택에 부가하기 때문에 낮은 κ 물질을 사용하여 얻어지는 장점을 부분적으로 무효화시켰다. 일부 분야에서, 높은 κ ARC는 기판으로부터 제거될 수 있지만, 이러한 제거로 인해 가공 순서가 복잡해진다. 유기 ARC가 사용될 수 있지만, 이들 ARC는 일반적으로 보다 비싸고 추가 가공을 필요로 한다.
도 1은 사진석판술 처리의 일부로서, 유전체상에 증착된 포지티브 포토레지스트를 갖는 전형적인 기판을 도시하는 도면이다. 포지티브 포토레지스트는 노광된 영역에서 현상되는 반면, 네거티브 포토레지스트는 노광되지 않은 영역에서 현상된다. 집적 회로(10)는 그 상에 형성된 콘택트, 바이어, 라인 또는 트렌치와 같은 특징부(11)를 갖는 하부 기판(12)을 포함한다. 본원에서 사용되는 용어 "기판"은 하부 물질을 나타낼 수 있으며, 에칭 정지층 또는 배리어층과 같은 문제의 층 아래에 있는 일련의 하부층을 나타내는데 사용될 수 있다. 배리어층(13)이 기판상에 증착된 후, 유전체층(14)이 증착될 수도 있다. 유전체층은 비도핑된 실리콘 유리(USG)로도 공지되어 있는 비도핑 실리콘 디옥사이드, 플루오린 도핑된 실리콘 유리(FSG) 또는 일부 다른 낮은 κ 물질일 수 있다. 이러한 예의 경우, ARC(15)가 유전체층상에 증착된 후, 포토레지스트층(19)이 증착된다.
ARC의 목적은 전형적으로 ARC 물질의 세가지 요소, 즉, ARC의 굴절지수(n), 흡수율(k, "낮은 k 유전체"의 "κ"와는 구별됨) 및 두께(t)를 조정하여 반사광의 위상을 상쇄시키고 흡수시킴으로써 모든 반사된 광파를 감소시키거나 제거하는 것이다. 전형적으로, 요구된 n, k 및 t 값은 하부층의 두께 및 특성에 좌우되며 각각의 특정 적용에 대하여 조정할 필요가 있다. 텍사스 오스틴에 소재하는 핀레 테크놀로지즈(Finle Technologies)로부터 입수할 수 있는 PROLITH/2, v.4.05의 "더 포지티브/네거티브 레지스트 옵티칼 리토그래프 모델(The Positive/Negative Resist Optical Lithography Model)"이라는 명칭이 부여된 것과 같은 컴퓨터 시뮬레이션 프로그램은 n, k 및 t 값 및 특정 층의 반사도에 대한 효과를 시뮬레이션한다. 결과를 분석한 후, 실제로 시험하여 다양한 주사 전자 현미경(SEM) 기술을 통해 상기 결과를 검토한다. 다양한 n, k 및 t 값의 적절한 조합은 상기 분야에 대한 반사된 광을 감소시키는 쪽으로 선택된다. n, k 및 t 값은 각각의 적용 및 각각의 기판 두께에 따라 다르기 때문에, 이러한 적절한 선택은 시간 소모적이며 성가실 수 있다. 또한, n, k 및 t 값의 선택은 기판으로부터 기판으로의 증착 공정의 반복을 더 어렵게 할 수 있는 하부층의 좁은 두께 범위에만 적용될 수 있다.
도 2는 광원(23)이 포토레지스트층(19)상으로 투사될 광의 패턴을 규정하는 패턴화된 템플레이트 또는 마스크(21)를 통해 자외선광과 같은 광을 방출시켜 최종적으로 패턴화된 기판을 생성시키는 사진석판술 공정을 개략적으로 도시하는 도면이다. 전형적으로, 상기 광에 의해 유기 용매에 대한 노광된 영역(25)의 포토레지스트의 용해도가 변화된다. 이와 같이, 노광된 영역은 비노광 영역을 보유하케 하면서 노광 영역을 적시거나 그렇지 않은 경우 청결케 함으로써 제거될 수 있다.
도 3은 에칭 공정을 사용하여 특징부(27)가 기판상에 형성된 기판을 개략적으로 도시하는 도면이다. 포토레지스트의 나머지 부분이 제거되었고, 특징부가 적합한 레벨로 에칭되었으며, 기판이 라이너(liner), 전도체 또는 그 밖의 층(들)의 증착과 같은 후속적인 공정을 위해 제조된다.
상호연결을 형성시키는 고전적인 증착/에칭 방법은 또한 회로 밀도를 보다 높게 하여 보다 정확한 패턴 에칭을 달성시킴으로써 개선되었다. 이와 같이, 신규한 방법이 개발중에 있다. 예를 들어, 회로를 형성시키는 고전적인 방법은 전도체의 블랭킷(blanket)층을 증착시키고, 상기 전도체를 에칭시켜 특징부를 패턴화시킨 후, 유전체 물질을 상기 특징부들 위와 사이에 증착시키는 것이었다. 증가된 회로 밀도를 강조하는 경우, 유전체층을 증착시키고, 상기 유전체층을 에칭시켜 특징부를 형성시키고, 상기 특징부를 전도성 물질로 충전시켜 바이어, 라인 및 그 밖의 특징부를 형성시킴으로써 공정 순서가 다소 역전되었다.
금속화의 현재 경향은 다마신 구조를 사용하는 것이다. 이중 다마신 구조의 경우, 유전체층은 다중층 기판의 콘택트/바이어 및 상호연결 라인 둘 모두를 규정하도록 에칭된다. 이후, 금속은 규정된 패턴으로 다마신 세공되고, 어떠한 초과량의 물질도 화학 기계 연마(CMP)와 같은 평탄화 공정으로 구조물의 상부로부터 제거된다.
다마신 구조와 같은 다단계 구조의 반사도는 ARC 물질의 요구된 성능 수준을 향상시켰다. 이러한 구조 이전에, 에칭시키려는 층은 전형적으로 광을 투과시키지 않는 단일 금속층이었다. 이와 같이, 하부층으로부터의 원치않는 포토레지스트 노광은 사실상 포토레지스트 아래에 있는 단일 금속층으로 제한된다. 그러나, 다마신 및 그 밖의 구조에서, 전도체층 위의 증가된 층수는 현재 다단계 패턴화로 사용된다. 특징부에 있는 유전체층(들), 및 전도체층을 제외한 그 밖의 층은 노광을 비교적 잘 투과시켜 특징부의 다중 레벨로부터 보다 많은 수준의 반사가 상부층의사진석판술 처리를 방해할 수 있다. 예를 들어, 라인 및 바이어/콘택트는 기판에서 상이한 수준으로 나타날 수 있다. 상이한 특징부로부터 상이한 수준으로 반사된 광은 보정되지 않는다면 포토레지스트의 원치않는 노광을 야기시킬 수 있는 포토레지스트층으로 다시 반시된 광 패턴을 생성시킨다.
이와 같이, 특징부 크기의 감소, 낮은 κ 스택에 대한 강조, 및 구리의 사용, 및 복잡한 이중 다마신 구조의 경우에, 개선된 ARC 특성을 제공하기 위해서는 신규한 방법 및 물질이 필요하다. 실리콘 니트라이드 및 옥시니트라이드는 ARC를 목적으로 사용되는 전형적인 물질이었지만, 비교적 높은 유전 상수(7.0 초과의 유전 상수)를 가지며 상호연결 라인들 사이의 전기용량적 커플링을 상당히 증가시킬 수도 있다. 상기 전기용량적 커플링은 디바이스의 전체 성능을 떨어뜨리는 혼선 및/또는 저항-전기용량(RC) 지연(즉, 저장된 에너지를 소산시키는데 필요한 시간)을 유발시킬 수 있다.
신규한 물질을 조사하던 중에, 다른 사람들은 일부 적용분야에 대한 실리콘 카바이드(SiC)의 약간의 잠재성을 인지했다. 그러나, 본 발명자들이 알고 있는 바로는, SiC를 사용하는 적합한 ARC, 배리어층, 및 에칭 정지층을 찾아내고 개발하였다는 문헌은 없었다. 또한, 공지된 어떠한 문헌에서도 예를 들어 동일 챔버내에서 낮은 κ 유전체층 및 SiC 배리어층, 에칭 정지층 및 ARC를 증착시킬 수 있는 화학물질의 적합한 조합을 발견하지 못했다. 푸테(Foote) 등의 미국특허 5,710,067호를 포함한 일부 문헌에는 ARC와 같은 형태의 실리콘 카바이드가 기재 또는 암시되어 있다. 본 발명자들이 알고 있는 바로는, 이러한 고전적인 방법을 사용하여 생성된 실리콘 카바이드는 낮은 κ 구조에서의 신규한 처리 요건을 충족시키는데는 효과적이지 않았다. 예를 들어, 본원에 참고문헌으로 인용되어 있는 오가와(Ogawa)의 미국특허 제 5,591,566호에 개시된 화학은 실리콘, 탄소 및 수소의 별도 공급원을 사용한다. 이러한 보다 고전적인 접근법은 특히 다마신 구조에서 ULSI 연구의 낮은 κ 강조에 바람직한 것보다 높은 κ를 초래한다. 본원에 참고문헌으로 인용되는 케어리(Carey) 등의 미국특허 제 5,360,491호에 기술된 또 다른 예는 β-SiC로서 표시된 결정상 실리콘 카바이드로의 전환을 필요로 한다.
SiC와 관련된 또 다른 참고문헌은 본원에 참고문헌으로 인용되는 엔도(Endo) 등의 미국특허 제 4,532,150호이며 SiC를 기판 표면에 제공하기 위한 특정 식 SixC1-x(여기에서 x는 0.2 내지 0.9의 양수)으로 언급하고 있다. 엔도 등의 상기 특허문헌에는 배리어층, 에칭 정지층 또는 ARC로서의 SiC에 대해서는 기술되어 있지 않으며, 이 특허문헌의 실시예에 제시된 공정 파라미터는 본 발명의 바람직한 또는 가장 바람직한 파라미터에는 미치지 못한다.
본원에 참고문헌으로 인용되는 로보다(Loboda)의 미국특허 제 5,465,680호에는 CVD 챔버에서의 SiC 박막이 기술되어 있으나, 약 600℃ 미만의 낮은 온도에서 박막을 제조하는 방법에 대해서는 기술되어 있지 않다. 본원에 참고문헌으로 인용되는 또 다른 로보다의 특허문헌인 미국특허 제 5,818,071호에는 낮은 κ 유전체층과 함께 배리어층으로서 사용하기 위한 SiC 박막이 기술되어 있으나, SiC 자체가 낮은 κ 물질일 수 있다고는 기재되어 있지 않다. 본 발명자들이 알고 있는 바로는, 낮은 κ SiC는 본 발명 이전까지 당해 기술분야에서 발견되지 않았다. 또한, 로보다의 미국특허 제 5,818,071호는 현재의 기술이 채택하고 있는 다마신 증착이 아닌 서브트랙티브(subtractive) 증착하도록 설계되어 있는데, 이러한 서브트랙티브 증착은 금속층을 증착시킨 후, 금속을 에칭시키고 SiC를 상기 에칭된 금속층에 증착시켜 다마신 구조보다 훨씬 더 다양한 구조를 생성시킨다.
본원에 참고문헌으로 인용되는 또 다른 문헌인 볼즈(Bolz) 등의 미국특허 제 5,238,866호는 혈액과의 개선된 생체 적합성을 갖는 의료분야용의 탈수화된 실리콘 카바이드를 생성시키기 위해 메탄, 실란 및 포스핀을 사용하고 있다. 그러나, 이들 참고문헌중의 어떠한 문헌에도 배리어층, 에칭 정지층, 또는 낮은 κ ARC로서 사용된 본 발명의 공정과 관련한 SiC에 대한 설명은 기재되어 있지 않다.
점진적으로 증가하는 회로의 복잡성은 처리 단계에 추가되었다. 챔버 사이에서 이송되면서 처리하는 방법은 생산 공정을 더디게 하며 오염물질로 인한 오염의 위험성을 증가시킨다. 이와 같이, 처리는 밀폐된 챔버 클러스터의 외부로의 이송을 감소시키거나 심지어는 다중층상에의 동일 현장 처리를 수행함으로써 처리 챔버 자체로부터 외부로의 이송을 감소시키게 된다면 유리할 것이다. 바람직하게는, 처리는 배리어층(들), 에칭 정지층(들), ARC층(들) 및 유전체층(들)과 같은 다중층에 사용된 동일하거나 유사한 전구물질을 포함할 것이다.
그러므로, 낮은 κ 배리어층, 에칭 정지층, 및 IC, 특히 다마신 구조를 위한 ARC층으로서 실리콘 카바이드를 사용하는 개선된 처리, 및 서로와 그리고 관련된 유전체층과의 동일 현장에서 상기 층들을 증착시키는 것이 여전히 요구되고 있다.
본 발명은 기판상에 집적 회로를 제조하는 것에 관한 것이다. 보다 구체적으로, 본 발명은 특정의 처리 조건하에서 유기실란 전구물질을 이용하여 낮은 κ 배리어층, 에칭 정지층 및 반사방지 코팅층으로서 유용한 낮은 유전 상수(낮은 κ) 실리콘 카바이드 박막을 생성시키기 위한 저온 방법 및, 특히, 유전체층을 포함한 다수의 층을 동일 현장에서 증착시키는 저온 방법에 관한 것이다.
발명의 요약
본 발명은 IC 적용시에 프리메탈(pre-metal) 유전체(PMD) 레벨을 포함한 다중 레벨에서 배리어층, 에칭 정지층 및/또는 ARC로서 유용한, 특정의 처리 방법에 따라 형성된 SiC 물질을 제공하고, 배리어층, 에칭 정지층 및 ARC로서 유용한 SiC 물질과의 동일 현장에서 증착된 유전체층을 제공한다. 바람직하게는, 챔버는 배리어층, 에칭 정지층, ARC 및 유전체층에 대한 동일하거나 유사한 전구물질을 제공하도록 구성된다. 그러나, 유전체층(들)은 상이한 전구물질로 증착될 수 있다. 본 발명은 전도성 물질로서 확산성이 높은 구리를 사용하는 IC에 특히 유용하다. 본 발명은 또한 특히 구리로 충전된 특징부와 같은 금속 표면상에 발생할 수도 있는 모든 옥사이드를 제거하기 위해 암모니아와 같은 환원제를 함유하는 플라즈마를 이용할 수도 있다. 본 발명은 또한 가능하게는 그 밖의 어떠한 탄소원 또는 수소원과는 무관하게, 바람직하게는 상당량의 산소의 부재하에서 실리콘 및 탄소원으로서 유기실란을 사용하여 7.0 미만의 유전 상수를 갖는 SiC를 생성시키는 것을 포함하는 처리 방법을 제공한다. 이러한 특정의 SiC 물질은 다마신 구조와 같은 복잡한 구조에 유용하며 현장 증착에 도움이 되는데, 특히 배리어층, 에칭 정지층 및 ARC와 같은 여러 층에 대하여 다중 용량으로 사용될 때 그러하며, 관련된 유전체층(들)의 현장 증착을 포함할 수 있다.
기판상에 실리콘 카바이드층을 형성시키기 위한 바람직한 공정 순서는 실리콘, 탄소 및 희가스를 챔버내로 도입시키고, 챔버내에서 플라즈마를 개시시키고,실리콘과 탄소를 플라즈마의 존재하에 반응시켜 실리콘 카바이드를 형성시키고, 7.0 미만의 유전 상수를 갖는 실리콘 카바이드를 챔버내 기판상에 증착시키고, 실리콘 카바이드층과의 동일 현장에서 제 1 유전체층을 증착시키는 것을 포함한다.
본 발명의 또 다른 일면은 실리콘 카바이드 배리어층을 기판상에 증착시키고, 배리어층과의 동일 현장에서 배리어층상에 제 1 유전체층을 증착시키고, 제 1 유전체층과의 동일 현장에서 제 1 유전체층상에 에칭 정지층을 증착시키고, 에칭 정지층과의 동일 현장에서 에칭 정지층상에 제 2 유전체층을 증착시키고, 제 2 유전체층과의 동일 현장에서 제 2 유전체층상에 반사방지 코팅층을 증착시키는 것을 포함하여, 기판상에 실리콘 카바이드를 동일 현장에서 증착시키는 것을 포함한다.
본 발명의 또 다른 일면은 7.0 미만의 유전 상수를 가지며 기판상에 증착된 실리콘 카바이드층 및 실리콘 카바이드층과의 동일 현장에서 실리콘 카바이드층상에 증착된 제 1 유전체층을 갖는 기판을 포함한다.
도면의 간단한 설명
본 발명에 관한 상기 열거된 특징, 장점 및 목적이 어떠한 방식으로 달성되는지 그리고 본 발명이 보다 상세하게 이해될 수 있도록 하기 위해, 본 발명의 보다 구체적인 설명(상기에서는 간략하게 요약되었지만)은 첨부된 도면을 참조하여 기술될 것이다.
그러나, 첨부된 도면이 본 발명의 전형적인 구체예를 예시하는 것에 불과할 뿐이며 본 발명의 범위를 한정하는 것으로 이해되어서는 않되고 동일한 효과를 갖는 다른 구체예가 있을 수 있다는 사실에 주목해야 한다.
도 1은 기판에 있어서의 ARC상에 존재하는 포토레지스트 물질의 개략도이다.
도 2는 도 1의 포토레지스트를 노광시키는 과정을 개략적으로 도시하는 도면이다.
도 3은 특징부에서의 후속적인 증착을 위해 에칭되고 제조된, 도 1 및 도 2의 기판의 개략도이다.
도 4는 유전체층의 동일 현장 증착과 함께 배리어층, 에칭 정지층 및 ARC로서 본 발명의 SiC를 사용하여 얻어진 다마신 구조의 개략도이다.
도 5는 특정의 결합 구조를 나타내는 본 발명의 SiC의 푸리에 변환 적외선(FTIR) 분광법 도표이다.
도 6은 본 발명의 SiC와는 다른 결합 구조를 나타내는 이전의 SiC의 FTIR 도표이다.
도 7은 다양한 물질에 대한 굴절지수와 비교되는 유전 상수의 그래프이다.
도 8은 2종의 물질에 대한 흡수지수와 비교되는 굴절지수의 그래프로서, 본 발명의 SiC가 여러 흡수 및 굴절 지수를 갖게 될 수 있음을 나타내다.
도 9는 도 4의 구체예의 반사도 윤곽을 나타내는 시뮬레이션 그래프이다.
도 10은 주사 전자 현미경 사진의 선도(line drawing)로서, 상부층으로서 본 발명의 ARC를 사용하는 패턴화된 포토레지스트층을 나타낸다.
도 11은 SiC가 수분 배리어로서 사용될 때 본 발명의 SiC의 수분 시험의 FTIR 도표이다.
도 12는 ARC 상부층의 사용 없이 ARC로서 에칭 정지층을 사용한 도 4의 대안적인 구체예를 도시하는 도면이다.
도 13은 에칭 정지층과 비교되는 상부 유전체층의 두께를 나타내는, 도 12 구체예의 반사도 맵(map)이다.
도 14는 에칭 정지층 아래에 있는 하부 유전체층과 비교되는 에칭 정지층의 두께를 나타내는, 도 12 구체예의 반도사 맵이다.
도 15는 에칭 정지층을 사용하지 않으면서 ARC로서 배리어층을 사용한 도 4 및 12의 대안적인 구체예를 도시하는 도면이다.
도 16은 ARC로서 배리어층을 사용하여, 배리어층과 비교되는 배리어층 위의 유전체층의 두께를 나타내는, 도 15 구체예의 반사도 맵이다.
도 17은 SiC ARC층이 포토레지스트층 아래에 부가된 도 15 구체예와 유사한 또 다른 구체예를 도시하는 도면이다.
도 18은 ARC 하의 유전체층의 두께와 비교되는 ARC의 두께를 나타내는, 도 17 구체예의 반사도 맵이다.
도 19는 본 발명의 SiC 물질내로의 구리 확산을 나타내는 그래프이다.
바람직한 구체예의 상세한 설명
본 발명은 IC 적용분야에서 프리메탈 유전체(PMD) 레벨을 포함하는 다중 레벨에서 배리어층, 에칭 정지층 및 또는 ARC로서 유용한, 특정의 처리 방법에 따라서 형성된 SiC 물질을 제공하며, 배리어층, 에칭 정지층 및 ARC에 유용한 SiC 물질과의 동일 현장에서 증착된 유전체층을 제공한다. 유전체층은 SiC 물질과 다른 전구물질로 증착될 수 있지만, SiC 물질과 동일하거나 유사한 전구물질로 증착되는것이 바람직하다. 본 발명은 전도성 물질로서 확산성이 높은 구리를 사용하는 IC에 특히 유리하다. 본 발명은 또한 구리로 충전된 특징부와 같은 금속 표면상에서 특히 발생할 수도 있는 모든 옥사이드를 환원시키기 위해 암모니아와 같은 환원제를 함유하는 플라즈마를 이용할 수도 있다. 본 발명은 또한 가능하게는 그 밖의 어떠한 탄소원 또는 수소원과 무관하게, 바람직하게는 상당량의 산소가 부재한 상태에서 실리콘 및 탄소원으로서 유기실란을 사용하여 7.0 미만의 유전 상수를 갖는 SiC를 생성시키는 것을 포함하는 처리 방법을 제공한다. 이러한 특정의 SiC 물질은 다마신 구조와 같은 복잡한 구조에 유용하며 현장 증착에 도움이 되는데, 특히 배리어층, 에칭 정지층 및 ARC와 같은 여러 층에 대하여 다중 용량으로 사용될 때 그러하며, 관련된 유전체층(들)의 동일 현장 증착을 포함할 수 있다.
후술되는 바와 같이, 요망 특성은 물질을 중간 오염 환경에 노출시키지 않으면서 현장, 즉, 바람직하게는 제시된 챔버(예, 플라즈마 챔버) 또는 시스템(예, 집적된 클러스터 툴 장치)에서 물질을 증착시키는 것을 포함한다. 동일 현장에서의 처리는 구리 전도체의 경우에 특히 중요할 수 있는데, 그 이유는 구리 전도체가 빠르게 산화되기 때문이다. 후술되는 전구물질과 동일하거나 유사한 전구물질을 사용하여 여러 가지 저리 방법으로 SiC 물질을 생성시키고 산소와 반응시킴으로써, 낮은 κ 유전체 물질과 같은 여러 가지 물질이 생성될 수 있다. 공정 챔버(들)에서의 다양한 층의 동일 현장 증착의 가능성은 본 발명을 사용하여 증대된다. 예를 들어, 배리어층, 하부 유전층, 에칭 정지층, 상부 유전층, ARC 및 그 밖의 층이 여러 처리 방법으로 하나의 챔버내에서 증착되어 상이한 물질을 형성시킬 수 있다.
도 4는 본 발명을 이용하여 생성된 이중 다마신 구조의 바람직한 구체예를 도시한다. 특히, 이러한 구조는 하나 이상의 유전체층(들), 및 SiC 배리어층(들), 에칭 정지층(들) 및 ARC층을 동일 현장에서 증착시켜 생성된 본 발명의 SiC 및 유전체층을 포함한다. 상기 유전체층은 SiC 물질과 동일하거나 유사한 전구물질로 증착될 수 있거나 상이한 전구물질로 증착될 수 있다. 이러한 구체예는 또한 특징부에 증착된 구리와 같은 금속 표면상에서 특히 발생할 수도 있는 모든 옥사이드를 제거하기 위해 암모니아와 같은 환원제를 함유하는 플라즈마를 이용한다.
2가지 이상의 방법을 사용하여 이중 다마신 구조를 생성시킬 수 있지만, 이 경우 라인/트렌치는 바이어/콘택트로 공동으로 충전된다. "카운터보어(counterbore)" 방법의 경우, 예를 들어 배리어층, 제 1 유전체층, 에칭 정지층, 제 2 유전체층, ARC 및 포토레지스트를 증착시킨 후, 기판을 에칭시킴으로써 IC가 형성된다. 도 4에서, 집적 회로(10)는 일련의 층들이 증착될 수 있고 특징부(62)가 형성되어 있는 하나의 하부 기판(60)을 포함한다. 구리와 같은 전도체가 특징부(62)상에 증착되는 경우, 상기 전도체는 산화될 수 있다. 여러 층들을 동일 현장에서 증착시키는 경우, 옥사이드는 암모니아와 같은 질소와 수소로 구성된 환원제를 함유하는 플라즈마에 노출되어 환원될 수 있다. 하나의 구체예가 본원에 참고문헌으로 인용되는 공동계류중인 미국 출원 제 09/193,920호에 기술되어 있는데, 이 문헌에는 암모니아 유량을 약 100 내지 1000sccm으로 하고, 챔버 압력 범위를 약 1 내지 9torr로 하며, 200mm의 웨이퍼에 대하여 RF 동력을 약 100 내지 1000watt로 하며, 샤워헤드에서 기판에 이르는 거리를 200 내지 600mil로 하는 플라즈마 공정 파라미터가 기술되어 있다.
본 발명의 SiC는 유전체층의 동일 현장 증착과 함께 배리어층, 에칭 정지층 및 ARC로서 동일 현장에서 증착될 수 있다. 예를 들어, SiC 배리어층(64), 바람직하게는 약 500Å 두께의 SiC 배리어층(64)이 기판 및 특징부상에 증착된다. 기판을 제거할 필요성이 없다면, 유전체층(66)은 배리어층(64)의 전면에 바람직하게는 약 5000Å 두께로 동일 현장에서 증착될 수 있다. 바람직하게는, 유전체층은 낮은 κ 특성을 갖는 옥사이드 기재 유전체 물질이다. 유전체층은 비도핑된 실리콘 유리(USG)로도 공지되어 있는 비도핑 실리콘 디옥사이드, 플루오린 도핀된 실리콘 유리(FSG), 또는 그 밖의 실리콘-탄소-산소 기재 물질일 수 있으며, 이들중 일부는 낮은 κ 물질일 수 있다. 본 발명에 따른 SiC 물질의 낮은 κ 에칭 정지층(68)은 이후 동일 현장에서 약 200Å 내지 약 1000Å의 두께, 바람직하게는 약 500Å의 두께로 유전체층(66)상에 증착된다. 에칭 정지 물질은 전형적으로 에칭되는 유전체층과 비교하여 보다 느린 에칭 속도를 가지며 에칭 공정에서의 약간의 가요성을 허용하여 소정의 깊이가 도달되게 하는 물질이다. 에칭 공정이 어느 정도 잘 특성화되어 있다면, 에칭 정지층은 불필요할 수 있다. 또 다른 유전체층(70)은 약 5,000Å 내지 약 10,000Å, 바람직하게는 약 7000Å의 두께로 에칭 정지층(68)상에 증착된다. 유전체층(70)은 유전체층(66)과 동일한 물질일 수 있다. 마찬가지로, 유전체층(70)은 배리어층(64), 유전체층(66) 및 에칭 정지층(68)과의 동일 현장에서 증착될 수 있다. SiC 물질의 ARC(72)로서 바람직하게는 약 600Å의 두께를 갖는 ARC는 하부 에칭 정지층 및 배리어층과 동일하거나 유사한 화학을 사용하여 유전체층상에 증착된다. ARC 증착 이후, 도 1에 도시된 포토레지스트층(19)과 유사한 포토레지스트층(도시하지 않음)이 ARC(72)상에 증착된다. 포토레지스트의 증착 및 노광 그리고 에칭은 보통은 다른 챔버에서 수행될 것이다. 통상의 사진석판술을 사용하여 포토레지스트층을 노광시키게 되면 바어스/콘택트(20a)에 대한 패턴이 형성된다. 이후, 상기 층은 통상의 에칭 공정을 사용하여, 전형적으로는 불소, 탄소 및 산소 이온을 사용하여 에칭되어 바이어/콘택트(20a)가 형성된다. 포토레지스트층이 그 다음으로 제거된다. 또 다른 포토레지스트층이 증착되고 노광되어 라인/트렌치(20b)와 같은 특징부가 패턴화되고 상기 층이 에칭되어 라인/트렌치(20b)가 형성된다. 포토레지스트층이 그 다음으로 제거된다. 라이너(22)는 전형적으로 Ta, TaN, Ti, TiN 및 그 밖의 물질로부터 형성되는 특징부상의 전면에 걸쳐 또는 특징부들 사이의 영역상에 요구될 수 있다. 이후, 구리 또는 알루미늄과 같은 전도성 물질(20)이 바이어/콘택트(20a) 및 라인/트렌치(20b) 둘 모두에 동시적으로 증착된다. 전도성 물질(20)이 특징부(들)의 전면에 걸쳐 일단 증착되면, 암모니아와 같은 환원제를 함유하는 플라즈마에 노광되어 모든 옥사이드를 환원시킬 수 있다. 또 다른 SiC 배리어층(75)이 전도성 물질(20)의 전면에 걸쳐 증착되어 후속적인 층을 통한 전도체의 확산을 방지할 수 있다.
이중 다마신 구조를 생성시키는 또 다른 방법은 "자체 정렬 콘택트(SAC: self-aligning contact)"로 공지되어 있다. SAC 방법은 포토레지스트층이 에칭 정지층의 전면에 증착되고 에칭 정지층이 에칭되고 포토레지스트가 제거된다는 점을 제외하고는 카운터보어 방법과 유사하다. 이후, 또 다른 유전체층과 같은 후속적인 층이 패턴화된 에칭 정지층의 전면에 증착되고, ARC가 유전체층의 전면에 증착되고, 제 2 포토레지스트층이 ARC의 전면에 증착되며, 여기에서 다시 스택이 에칭된다. 예를 들어, 도 4의 구체예에서, 포토레지스트층(도시하지 않음)이 전형적으로는 에칭 정지층 증착이 수행되는 챔버와는 별개의 챔버에서 에칭 정지층(68)의 전면에 증착된다. 에칭 정지층(68)이 에칭되면 바이어/콘택트(20a)에 대한 패턴이 형성된다. 포토레지스트층이 그 다음으로 제거된다. 이후, 유전체층(70) 및 ARC(72)가 에칭 정지층이 증착되는 챔버와 동일한 챔버에서 현장 증착될 수 있다. 또 다른 포토레지스트층이 ARC(72)상에 증착된다. 이후, 포토레지스트를 노광시키게 되면 라인/트렌치(20b)에 대한 패턴이 형성된다. 그 다음, 라인/트렌치(20b) 및 바이어/콘택트(20a)이 동시에 에칭된다. 포토레지스트층이 그 다음으로 제거된다. 전도성 물질(20) 및 요망되는 경우 또 다른 배리어층(75)이 기판의 전면에 걸쳐 증착된다.
현장 처리능력은 상이한 물질 및 체제의 수가 감소되었고 특히 SiC가 배리어층, 에칭 정지층, ARC 층 및 심지어는 패시베이션층 및 수분 배리어로서 사용될 수 있기 때문에 증대된다. 현장 처리능력은 바람직한 구체예로서 동일한 또는 유사한 전구물질을 사용하여 유전체층을 증착시킴으로써 추가로 증대될 수 있다. 챔버 세정 등을 목적으로 하여 층을 증착시키는 사이에 공정 챔버로부터 기판을 제거할 필요성을 감소시키거나 없앰으로써, 작업처리량이 개선되고, 휴지시간이 줄어들며 오염의 위험성이 감소된다.
일부 경우에, 에칭은 처리 조건을 조정함으로써 동일한 챔버에서 수행될 수있다. 그러나, 대부분의 경우에, 기판은 에칭 챔버로 이동될 수 있다. 이러한 경우, 처리는 본 발명의 현재 출원인에게 양도되고 본원에 참고문헌으로 인용되는 미국특허 제 4,951,601호에 도시된 클러스터 툴(cluster tool)과 같은, 증착 챔버 및 에칭 챔버 둘 모두를 갖는 클러스터 툴내에서 수행될 수 있다. 밀봉 가능한 클러스터 툴은 클러스터 툴내에서의 처리를 가능하게 하여 주위 상황에의 불필요한 노출이 없게 한다. 그러나, 가능하다면, 바람직한 배치는 동일한 챔버내에서의 처리를 가능하게 하여 챔버들간의 이송 시간을 줄여 작업처리량을 높일 수 있다.
또한, 동일한 현장에서의 처리는 증착된 층과 이전 층 사이의 전환 속도를 정확하게 조절한다. 두 개 층 사이의 전환은 층을 증착시키는데 사용된 화학물질 및 관련된 공정 파라미터 사이의 전환에 의해 조절된다. 본 발명의 방법은 플라즈마, 처리 가스 유량 및 그 밖의 처리 파라미터를 조절함으로써 이러한 전환을 정확하게 조절할 수 있다. 이러한 전환은 신속하게 이루어질 수 있으며, 예를 들어 기판을 챔버내에 유지시킨 상태에서 플라즈마를 진화시킨 후 유전체층 및 다양한 SiC 층을 증착시킴으로써 달성될 수 있다. 점진적인 전환은 또한 예를 들어 처리 가스의 유량을 변경시킴으로써 달성될 수 있다. FSG 유전체층을 증착시키는 처리의 경우, FSG 증착에 통상적으로 사용되는 실리콘 테트라플루오라이드의 유량은 헬륨 또는 아르곤 흐름을 증가시켜 유전체층으로부터 SiC 층으로의 전환을 원활하게 하면서 감소될 수 있다. 전환의 탄력성은 다수의 층을 동일한 현장에서 증착시키는 능력에 의해 가능해진다.
상기된 설명은 예시적 순서를 언급하는 것이며, 이러한 동일 현장에서의 처리가 다양한 순서로 적용될 수 있기 때문에 이러한 순서로 한정하는 것으로 해석되어서는 않된다.
후술되어 있는 바와 같이 SiC가 다양한 용도로 사용될 수 있기 때문에, 표 1은 배리어층, 에칭 정지층 및 ARC로서의 본 발명의 SiC의 세가지 이상의 용도 중 요망 특성을 보여주고 있다.
배리어/에칭 스톱/ARC의 요망 특성
반사방지 코팅 지수 n, k ·다중 분야에 적합함·다중 목적 사용·특정의 n, k를 위해 보유된 낮은 κ·안정성 및 반복성·비요망 반사의 제거·다수의 사진석판술에 사용됨
구리에 대한 양호한 배리어 특성 ·양호한 접착성·400℃ 내지 450℃의 아닐링 스테이지에서 어떠한 구리 확산도 없음
USG/FSG/그 밖의 낮은 κ 유전 물질에 관한 높은 에칭 선택도 ·에칭 정지 >20:1
보다 낮은 유전 상수 ·IMD 다마신 스택에서 유효 유전 상수(Keff)의 전체적인 감소
양호한 전기적 특성 ·높은 파괴 전압·적은 양의 전기 누출
생산능력/제조능력 ·처리 안정성 및 입자 조절·작업처리량 개선을 위한 동일 현장 처리, 예, 에칭 정지층 증착과 함께 USG 증착이 이루어짐
서두에 기술된 바와 같이, 낮은 κ 물질은 배리어층 물질로서 전통적으로 사용된 실리콘 니트라이드의 유전 상수 보다 낮은 7.0 미만의 유전 상수를 갖는 물질로서 정의된다. 다중 레벨을 갖는 기판 스택의 복합 유전 상수인 "유효" 유전 상수는 낮은 유전 상수 값과 관련된다. 유효 유전 상수는 층의 두께, 층의 유전 상수, 특징부들 간의 이격거리 및 특징부 치수와 같은 요소들을 기초한 값이다. 아반트 코포레이션(Avant Corporation)으로부터 입수할 수 있는 "라파엘(Rafael)"과같은 소프트웨어를 사용하여 예상 유효 상수를 계산할 수도 있다. 예를 들어, 낮은 κ 유전 상수로서의 전형적인 값은 약 2.7이다. SiN 층은 7.0 이상의 κ 값을 가질 수도 있다. 낮은 κ 유전 상수 물질과 함께 SiN 물질이라면 복합체의 유효 κ 값을 증가시킬 것이며 낮은 κ 유전체 물질을 사용하여 얻는 장점의 일부를 상쇄시킬 것이다. 5 미만, 바람직하게는 4.2 미만의 κ 값을 갖는 본 발명의 SiC를 사용하는 경우와 비교해 볼 때, 낮은 κ 유전체 물질을 사용하여 얻는 이득 보다 더 많은 이득이 얻어지게 된다. 복합체 구조에 대하여 요망되는 유효 유전 상수는 약 5.0 이하, 가장 바람직하게는 3.0 이하일 것이다.
SiC가 ARC로서 사용된다면, 요망되는 특성은 다른 값들이 선택될 수도 있지만 약 5% 미만의 낮은 반사율을 달성할 수 있게 하는 ARC의 흡수지수 "k" 및 두께 "t"와 결부된 적합한 굴절지수 "n" 뿐만 아니라 상기된 낮은 κ 특성을 포함할 것이며, 그 결과 코팅층은 본 발명 이전에는 요구되었던 각각의 적용분야에 대한 처리 체제 조정 및 변화를 필요로 하지 않으면서 다수의 적용분야에서 사용될 수 있게 된다. SiC를 생성시키는 공정은 일관성을 위해 안정적이며 반복할 수 있어야 한다.
ARC가 이의 낮은 κ 속성으로 인해 기판상에 남을 수도 있기 때문에, 상기 ARC는 예를 들어 하부 유전체 물질과 구리와 같은 전도체 물질 사이의 배리어층으로도 기능할 수도 있다. 층들간의 접착은 층들간의 탈적층화를 감소시키는데 중요하며, 일부 경우에, 층들간의 전기용량 및 저항을 감소시키는데 중요하다. ARC가 배리어층으로서 사용될 때, 상기 물질은 예를 들어 400℃ 내지 450℃의 기판 아닐링 온도에서 어떠한 실질적인 확산도 일어나지 않아야 한다. 용어 "어떠한 실질적인" 확산은 층으로의 어떠한 실제적인 확산이 배리어층 및/또는 에칭 정지층으로서 기능하는 층의 능력에 영향력을 미치는 것보다 덜한 기능적 용어이다. 예를 들어, 본 발명의 SiC는 확산을 약 250Å으로 제한한다. 구리 확산은 요망되는 전류 및 전압 경로를 손상시키고 혼선을 야기시킬 수도 있다. 상기된 바와 같이, 특징부 크기의 감소로 인해, 유전 상수를 낮추게 되면, 바람직하게는 7.0 미만으로 낮추게 되면, 혼선 및 RC 지연의 확률은 그 만큼 줄어든다.
SiC가 하나의 구체예에서 논의된 바와 같이 다마신 구조에서 사용되고 다중 목적 배리어층, ARC 및 에칭 정지층으로서 기능할 수 있기 때문에, USG, FSG 또는 그 밖의 낮은 κ 유전체 물질에 관하여 20 대 1 또는 그 이상의 에칭 선택비와 같은 적합한 에칭 정지 특성을 갖는 것이 유리할 것이다. 또한, 상기 물질은 2MV 이상이라는 높은 파괴전압(즉, 분자 파괴가 일어나서 유해한 전류를 통과시키는 전압 구배)을 가져야 한다. SiC는 또한 층을 통한 낮은 누출 특성(즉, 전기용량이 물질을 통해 흐르는 낮은 탈선 직류)을 가져야 한다.
표 2는 200mm 웨이퍼 증착 반응기에서 본 발명의 SiC 층을 형성시키는데 사용되는 공정 파라미터를 보여주고 있다. 바람직한 구체예에서, 실리콘 및 탄소는 실란 기재 화합물과 같은 보통의 화합물로부터 유도되었다. 그러나, 탄소는 메탄과 같은 다른 화합물로 보충될 수 있었다. 비제한적인 적합한 실란 기재 화합물로는 메틸실란(CH3SiH3), 디메틸실란((CH3)2SiH2), 트리메틸실란((CH3)3SiH), 디에틸실란((C2H5)2SiH2), 프로필실란(C3H8SiH3), 비닐 메틸-실란((CH2=CH)CH3SiH2), 1,1,2,2-테트라메틸 디실란(HSi(CH3)2-Si(CH3)2H), 헥사메틸 디실란((CH3)3Si-Si(CH3)3), 1,1,2,2,3,3-헥사메틸 트리실란(H(CH3)2Si-Si(CH3)2-SiH(CH3)2), 1,1,2,3,3-펜타메틸 트리실란(H(CH3)2Si-SiH(CH3)-SiH(CH3)2), 디메틸 디실라노 에탄(CH3-SiH2-(CH2)2-SiH2-CH3), 디메틸 디실라노 프로판(CH3-SiH-(CH2)3-SiH-CH3), 테트라메틸 디실라노 에탄((CH)2-SiH-(CH2)2-SiH-(CH)2), 테트라메틸 디실라노 프로판((CH3)2-Si-(CH2)3-Si-(CH3)2) 및 그 밖의 실란 관련 화합물이 있다. 본 발명의 목적상, 본원에서 사용되는 용어 "유기실란(organosilane)"은 달리 명시하지 않는한, 앞서 수록된 화합물을 포함하여, 1개 이상의 탄소 원자가 결합되어 있는 모든 실란 기재 화합물을 포함한다. 바람직한 유기실란은 n이 1 내지 3인 화학식 SiHn(CH3)4-n또는 m이 1 내지 5인 화학식 Si2Hm(CH3)6-m로 제시된다. 다른 가스들이 존재할 수 있음에도 불구하고, 헬륨 또는 아르곤과 같은 희가스가 존재하였으며, 이들 가스는 공정의 안정화를 돕는 것으로 믿어진다.
후술되는 공정 체제는 표 1에 기재된 배리어층, 에칭 정지층 및/또는 ARC의 특성들의 적어도 일부를 충족시키는 SiC 물질을 제공한다. 또한, 여기에 기재된 SiC 특성은 박막이 증착되게 하여 원하는 박막 특성이 달성되게 할 수 있다. 도 4에 도시된 하나의 구체예에서, 상부층 ARC와 같은 SiC는 이 분야에서 이전의 시도의 대표적인 SiC ARC 두께를 조정할 필요 없이 하부 유전체 두께의 다양한 범위를 허용한다. 본 발명의 SiC 물질은 구리 확산에 대한 높은 저항성을 가지며, 시험 데이터는 구리 확산 한계가 배리어층에서 약 200 내지 250Å 깊이임을 보여주고 있다. 도 12에 도시된 또 다른 구체예에서, SiC 물질은 도 4의 상부층 ARC를 사용하지 않으면서 낮은 κ 에칭 정지층/ARC를 제공한다. 도 15에 도시된 구체예에서, 유전체층 아래의 배리어층은 ARC로서 기능을 한다.
파라미터 범위 바람직한 범위 가장 바람직한 범위
실리콘 및 탄소 (3MS 또는 MS-sccm) 10-1000 30-500 50-200
희가스(He 또는 Ar-sccm) 50-5000 100-2000 200-1000
압력(torr) 1-12 3-10 6-10
RF 동력(Watts) 100-1000 300-700 400-600
동력 밀도(Watts/cm2) 0.11-2.2 0.67-1.55 0.88-1.33
주파수(MHz) 13.56 13.56 13.56
온도(℃) 100-450 200-400 300-400
이격 거리(Mils) 200-600 300-600 300-500
배리어층/에칭 정지층/ARC
상기 공정 체제에 의해 개발된 특성은 일반적으로 용인된 SiC 특성과 다르다. 본 발명에 따른 SiC 박막은 캘리포니아 산타 클라라에 소재하는 어플라이드 머티어리얼스, 인코포레이티드(Applied Materials, Inc.)로부터 입수할 수 있는 DxZ 챔버에서 증착시켰다. 트리메틸실란과 같은 반응성 가스원을 약 30 내지 500(sccm: standard cubic centimeters), 보다 바람직하게는 약 50 내지 200sccm의 속도로 챔버내로 흐르게 하였다. 헬륨 또는 아르곤과 같은 희가스를 약 100 내지2000sccm, 보다 바람직하게는 약 200 내지 1000sccm의 속도로 챔버내로 흐르게 하였다. 챔버 압력은 약 3 내지 10torr, 바람직하게는 약 6 내지 10torr로 유지시켰다. 단일 13.56MHz RF 전력원을 전력 밀도가 약 0.67 내지 1.55watts/cm2인 약 300 내지 700watts, 보다 바람직하게는 전력 밀도가 약 0.88 내지 1.33watts/cm2인 약 400 내지 600watts를 애노드 및 캐소드에 인가시켜 실란 기재 가스와 함께 챔버에서 플라즈마를 형성시켰다. RF 전력원은 전형적으로 13.56MHz의 높은 RF 주파수로 그리고 360kHz의 낮은 RF 주파수로 전력을 공급하여 챔버내로 도입된 반응성 종의 분해를 증대시키는 혼성 주파수의 RF 전원장치일 수 있다. 기판 표면 온도는 SiC를 증착시키는 동안에 약 200℃ 내지 400℃, 보다 바람직하게는 약 300℃ 내지 400℃로 유지시켰다. "샤워헤드"와 같은 가스 분산 요소로부터의 가스는 약 300 내지 600mils, 보다 바람직하게는 300 내지 500mils의 기판 이격 거리로 샤워헤드를 통해 분산시켰다. 탄소는 다른 탄소원과는 무관하게 트리메틸실란 또는 메틸실란으로부터 유도하였다. 반응은 상당량의 산소원이 반응 영역으로 도입되지 않으면서 일어났다.
표 2의 파라미터의 경우, 다양한 결합 구조는 도 6에 도시된 종래의 SiC 박막과 비교되는 도 5에 도시된 본 발명의 SiC 박막에서 일어난다. 도 5는 본 발명의 SiC에 대한 푸리에 변환 적외선(FTIR) 분광법 도표를 보여주고 있다. 다양한 파수에서의 다양한 피크는 구조에 따라 특이적으로 나타나며, 이러한 그래프는 특정의 간극 결합 구조를 나타낸다. 트리메틸실란과 함께 표 2의 가장 바람직한 공정 파라미터 범위를 사용한 증착으로 CH2/CH3, SiH, SiCH3, Si-(CH2)n 및 SiC를 함유하는 결합 구조를 생성시켰다.
도 6은 실란 및 메탄을 사용하여 증착된 종래의 SiC 물질과의 비교 결과를 보여주고 있다. 이 그래프를 통해 알 수 있듯이, Si-(CH2)n에 대한 상응하는 피크는 존재하지 않으며 심지어 SiCH3에 대한 피크도 눈에 띄지 않는다. 본 발명의 SiC는 이전에 공지된 SiC의 증착 보다 우수한 배리어층/에칭 정지층/ARC 성능을 제공하는 이러한 예기되지 않았던 결과를 내었다. 이들 특성은 SiC가 본원에 기술된 다중 전기용량에서 사용될 수 있게 한다.
도 7-19는 본 발명의 SiC ARC의 다양한 특성을 보여주고 있다. 도 7은 상이한 물질 및 이들의 유전 상수 대 굴절 지수와 비교하여, 표준으로서 633nm 파장의 노광을 사용하여 얻은 시험 결과를 나타내는 그래프이다. x-축은 상기된 굴절 지수(n)를 나타낸다. x-축상의 값이 작으면 작을수록 바람직하며 보다 광학적 성질 및 투명성이 보다 우수하게 된다. y-축은 유전 상수를 나타낸다. y-축상의 값이 작으면 작을수록 "낮은 κ" 기판 스택을 얻는데 바람직하다. 예를 들어, SiN은 전형적으로 약 2.0의 n 값 및 7.3의 유전 상수를 갖는데, 이는 낮은 k 적용에는 부적합하다. 현재 최고 기술 수준으로 평가된 ARC는 실리콘 옥시니트라이드의 전형인 DARC(상표명)이지만, 248nm 파장에의 노광시 약 2.2의 n 값과 함께 유전 상수는 약 8.5-9.0이다. 본 발명의 바람직한 SiC는 약 4.2의 유전 상수를 갖는다.
SiC#1은 별도의 메탄/에탄/프로판 및 이원자 수소와 함께 실란을 사용하는,오가와의 미국특허 제 5,591,566호에 기술된, SiC를 생성시키기 위해 고전적인 화학을 사용하여 얻은 시험 결과와 대응된다. 이러한 SiC는 낮은 κ 디바이스에서의 증착에는 요망되지 않는 값으로서 약 2.4의 n 값 및 약 7.8의 유전 상수를 갖는다.
SiC#2는 본 발명의 화학적인 방법을 사용하여 증착된 하나의 SiC이다. 이의 n 값은 약 2.3이며 유전 상수는 약 5.1이다. 상기 표 2에 기재된 파라미터를 사용하여, SiC#3은 보다 우수한 광학 특성, 즉, 약 4.2의 유전 상수와 함께 도 7의 633nm 노광 파장에서의 약 1.9의 n 값을 생성시킨다. 이와 같이, 광학 및 저항에 있어서, 본 발명의 SiC는 현재 강조되고 있는 낮은 κ 구조에 적합하며 배리어층, 에칭 정지층 및 ARC로서 사용될 수 있다. 중요하게는, 본 발명의 SiC는 고전적인 높은 κ SiC 또는 SiN ARC와는 대조적으로, 스택의 낮은 κ 특성을 보존시키기 위해 포토레지스트가 노광되고 기판이 에칭된 후 층으로부터 제거될 필요가 없어, 결국에는 공정 단계의 수를 보다 적어지게 한다.
도 8은 248nm 노광 파장을 사용하여, SiC 및 실리콘 옥시니트라이드에 대한 흡수 지수(k)와 비교된 굴절 지수(n)의 그래프이다. 본 발명의 SiC는 상이한 n 및 k 값으로 조정될 수 있으며 실리콘 옥시니트라이드 ARC와 비교된다. 실리콘 옥시니트라이드 ARC는 가파른 기울기를 통해 알 수 있듯이 약 9의 높은 유전 상수를 가지며, n 값의 작은 변화에 대하여 빠르게 증가하는 k 값을 조절하는 것은 어렵다. 비교해 보면, 본 발명의 SiC는 보다 평탄한 곡선으로 나타내어진 바와 같이, 약 4.5의 유전 상수 및 보다 안정하거나 조절 가능한 흡수 지수(k)를 갖는다. 높은 흡수 지수는 외래 반사광을 보다 많이 흡수시키기에 바람직하다. 그러나, 흡수 지수가 증가함에 따라 유전 상수도 증가한다. 이와 같이, 원하는 광학 특성과 유전 상수는 서로 평형을 이룬다. 비교적 낮은 유전 상수 및 안정한 공정 체제를 갖는, 본 발명의 SiC에 적합한 값은 도 8의 248nm 노광 파장에서의 n 값에 대하여 약 2.2이며, 특정의 k 값에 대하여 약 0.4이다. 248nm 노광 파장에서의 n 값과 k 값 사이의 관계는 선형 관계인 것으로 보이며 대략적으로 다음 방정식을 따른다:
k/0.65 + 1.57 = n
흡수 지수(k)는 약 0.2 내지 약 1.0의 범위의 값이며, 일반적으로 사진석판술에서 상업적인 목적으로 사용하기 위한 값은 약 0.3 내지 1.0 범위의 값이다. 본 발명의 SiC는 실리콘 옥시니트라이드 ARC의 광학 특성에 근접할 수 있으며 유전 상수를 약 50% 정도까지 감소시킬 수 있다. 도 8의 x-축 값에서 시작하여, SiC n 및 k 관계를 나타내는 선의 기울기는 약 20°내지 약 60°의 범위일 수 있으며, 이 도면에서는 약 35°의 기울기로 도시되어 있다.
낮은 κ 유전체층은 반응성 산소 원자를 발생시키기 위해 RF 또는 마이크로파 전력을 사용하여, 유기실란, 유기실록산 또는 이들의 혼합물의 PECVD에 의해 생성될 수 있다. 유전체 물질은 일산화질소(N2O)와 같이, 산소의 존재하여 반응되어진, 메틸실란 또는 트리메틸실란과 같은 상기된 전구물질을 사용하여 증착된다. 바람직하게는, 표 2에 제시된 처리 방법은 유전체층(들)을 생성시키는데 사용될 수 있다. 그러나, 그 밖의 다른 처리 방법은 유전체 물질을 형성시키는데 사용될 수 있다. 하나의 구체예에서, 예를 들어, 유기-실리콘 화합물은 바람직하게는 N2O로산화되며, 그 결과로 증착된 박막의 탄소 함량은 1 내지 50원자중량%, 바람직하게는 약 5 내지 30원자중량%가 된다. 혼성 주파수 RF 전원장치는 PECVD 시스템의 샤워헤드에 13.56MHz의 높은 주파수의 전력을 공급하고 시스템의 페데스탈(pedestal)에 약 360KHz의 낮은 RF 주파수의 전력을 공급한다. 펄스식 RF 전력은 본래 주기의 약 10% 내지 30% 동안에 약 20watts 내지 500watts의 13.56MHz RF 전력을 제공하였다. 200mm 웨이퍼를 사용하는 경우, 고주파수 RF 전력의 전력 밀도는 바람직하게는 약 1watt/cm2미만이었다. 저주파수 전력은 본래 주기의 약 10% 내지 30% 동안에 펄스식 RF 전력에 대하여 약 0watt 내지 50watts에서 유도된다. 일정 RF 전력은 약 10watts 내지 200watts로 13.56MHz RF 전력을 제공하였다. 유기실란 및/또는 유기실록산은 5sccm 내지 500sccm의 유량으로 PECVD 챔버내로 흐르게 하였고, 산화 가스는 약 5sccm 내지 2000sccm의 유량으로 흐르게 하였다. 낮은 전력 증착은 약 -20℃ 내지 40℃ 범위의 온도에서 일어났다. 챔버 압력은 약 10torr 미만의 낮은 압력이었다. 증착된 박막은 저압 및 약 100℃ 내지 450℃의 온도에서 경화되었다. 예시 목적의 낮은 κ 유전체 물질에 대한 설명은 본원에 참고문헌으로 인용되는 미국특허출원 제 09/162,915호에 기술되어 있다.
도 9는 상기에서 참조된, 프로그램명이 "The Positive/Negative Resist Optical Lithography Model"인 컴퓨터 시뮬레이션 프로그램을 사용하여, 층 두께의 다양한 조합으로 반사도 값을 표시한 반사도 윤곽선의 시뮬레이션 그래프이다. 이러한 시뮬레이션 그래프는 증분율로 기판 반사도를 예측하는데 사용되며, 이러한방식으로 작성된 그래프는 인접한 층의 두께 대한 본래 층 두께의 반사도에 대한 효과를 지도화한 반사도의 지형도이다. 도 9에서, 각각의 윤곽선은 2% 반사도를 나타내는 윤곽선을 가장 낮은 윤곽선으로 하고 16% 반사도를 나타내는 윤곽선을 가장 높은 윤곽선으로 하여 2%씩 증분하도록 설정된다. 이 도면에서, x-축은 하부층, 즉, 도 4의 경우 유전체층(70)의 두께를 나타낸다. y-축은 도 4의 ARC(72)에 상응하는, ARC로서 사용된 SiC의 두께를 나타낸다. 낮은 반사도를 얻기 위한 목표는 이 경우 포토레지스트층과 ARC 사이의 포토레지스트 인터페이스에서 기판으로부터의 외래 반사를 최소화시키기 위함이다. 최적의 반사도 값은 0% 지만, 상업적으로 허용되는 반사도 값은 약 7% 미만이며, 사진석판술 처리의 반복성을 보장하기 위해서는 약 5% 이하의 반사도 값을 목표로 하는 것이 바람직하다. 일부 구체예에서 10% 반사도가 허용될 수 있지만, 10% 반사도는 전형적으로 기판에서의 특징부의 밀도 및 현재 크기에 대한 실제 한계치이다. 이와 같이, 하부층 두께를 알고 그 값을 x-축상에서 선택하게 되면, y-축상에서의 상응하는 바람직한 ARC 두께가 5%와 같은 선택된 반사도를 갖는 ARC 두께를 위치시킴으로써 예견될 수 있다. 예를 들어, 도 9에서 범위 76으로 도시된 약 6500Å 내지 약 6750Å의 유전체 두께는 5% 이하의 반사도 표준을 충족시키기 위해 예상대로 200Å의 ARC 두께를 필요로 할 것이다. 그러나, 유전체 증착의 이러한 좁은 범위는 일관되게 생성시키기가 곤란할 수도 있으며, 층들간의 회로의 전기절연에 필요한 디바이스 요건을 충족시키지 못할 수도 있다. 더욱이, 두께가 200Å인 층은 예를 들어 구리가 에칭후에 ARC상에 증착되었다면 구리에 대한 배리어층으로서 불충분할 수도 있다. 이와 같이, 광학적인 면에서 보면, ARC 층은 충분하겠지만, 상기된 바와 같은 다른 특성은 고려할 필요가 있다. 이 도면에서, 약 500Å을 초과하는 ARC 두께는 그래프에서 유전체층 두께의 범위를 가로질러 5% 미만의 반사도를 생성시킨다.
반대로, 유전체층의 두께가 주의하여 조절될 수 있다면, ARC층의 두께가 변화되거나 최소화될 수 있다. 예를 들어, 유전체층의 두께가 약 6600± 약 100Å 또는 약 1.5%인 경우에, 상기 유전체층은 50Å 이상의 ARC 두께를 가질 수 있으며, 5% 이하 반사도의 광학 파라미터를 충족시킬 수 있다. 이와 같이, 본 발명의 SiC는 배리어층, 에칭 정지층 및 ARC를 제공하는 경우에 다중 목적 물질에 대한 요구를 만족시키며 단일 ARC 두께가 주어진 반사도에 대한 다중 유전체 두께의 광학 요건을 충족시킬 수 있다는 점에서 다중 적용 물질에 대한 요구를 만족시킨다.
바람직한 구체예에서, 약 600Å의 바람직한 두께를 갖는 층은 그래프에서 값 78로 도시된, 유전체층 두께의 전 범위를 가로질러 가장 낮은 반사도 값 중의 하나를 제공한다. 본 발명의 SiC가 독특한 특성을 가지고 있다면, 하부층 두께와 사실상 무관하게 ARC가 증착될 수 있다. SiC는 약 500Å 내지 약 1000Å 이상, 바람직하게는 약 600Å 범위로 증착되는 것이 바람직한데, 이러한 층은 바람직한 5% 이하의 반사도 범위내에서 약 2% 이하로 예견되는 반사도 값을 갖는다. 이러한 발견은 ARC 층의 n, k 및 t 특성을 각각의 적용을 위한 하부층의 특정 두께로 조정할 필요가 있는 전형적인 요건과는 대조를 이룬다. 여기에서, 본 발명의 SiC를 사용하는 경우, ARC 층이 하부 유전체층 두께와는 무관한, 약 600Å의 고정된 증착 두께일 수 있다. 이러한 고정된 두께는 하부 유전체층 두께 및 요망되는 반사도에 따라서ARC 두께 조정을 일반적으로 필요로 하는 종래의 ARC 물질과는 대조를 이룬다.
본 발명의 SiC는 또한 연마 공정의 종료점을 결정하기 위한 연마 정지층로서 사용될 수 있다. 스택이 에칭되고 특징부가 전도성 물질로 충전된 후에, 일부 공정은 기판 표면중의 상부 표면을 연마하여 초과량의 전도성 물질을 제거하고 스택의 상부 표면을 평탄화시킨다. 전형적으로, 기판은 상이한 물질간의 연마 속도의 차이를 이용하여 연마의 한계점을 결정하는 화학 기계 연마(CMP) 공정에 의해 연마된다.
SiC ARC가 낮은 κ 값을 갖기 때문에, ARC는 기판상에 남아 있을 수 있으며 유효한 낮은 κ 기판을 유지시키기 위해 제거될 필요는 없다. 이와 같이, 물질이 특징부를 충전시키면서 ARC의 전면에 걸쳐 증착될 수 있다. 이후, CMP 공정으로 SiC 층 위의 모든 여분의 전도성 물질 또는 그 밖의 물질을 제거한다. CMP 공정은 SiC ARC와 직면하고 CMP 공정이 중지될 때 연마 속도의 차이를 결정한다.
도 10은 주사 전자 현미경 사진의 선도(line drawing)로서, 본 발명의 SiC ARC(72)의 전면에 걸쳐 증착된 패턴화된 포토레지스트층(74)의 횡단면을 도시하는 도면이다. 도 10은 도 4에 도시된 바와 같은 구체예의 사진석판술 결과를 도시하며, 여기에서 ARC는 포토레지스트층 증착 및 사진석판술 처리 이전의 기판의 상부 표면인 것으로 간주된다. 포토레지스트층(74)의 라인의 폭(80)은 약 1/4 미크론이며, 이는 특징부의 현재 크기를 나타낸다. 본 발명의 SiC ARC(72)의 경우, 포토레지스트층(74)의 사진석판술 패턴화는 ULSI 감소된 특징부 크기에 중요한 정연한 직선(82)을 생성시킨다. 특징부에서의 패턴닝은 균일하며, 코너에서의 실질적인 둥근 "푸팅(footing)" 없이 완전히 노광된 바닥(86) 및 정사각형 코너(88)를 갖는 곧은 직사각형의 측벽(84)을 갖는다. 즉, 어떠한 정상파도 외래 반사광으로부터 연유되지 않는다. 라인들간의 포토레지스트 폭(90)의 최소값에서 최대값으로의 변화율은 처리에 대한 표준 허용 범위인 5% 미만이다. 라인으로부터 라인으로의 반복성도 또한 도시된다. 이와 같이, 패턴화된 포토레지스트의 균일성은 본 발명의 SiC ARC가 상기된 실리콘 옥시니트라이드 ARC와 같은 그 밖의 다른 ARC 물질과 대조적으로, 소규모 특징부를 갖는 처리된 기판을 생성시키고 낮은 κ 값을 계속 유지하고 있음을 입증한다.
본 발명에 따라 증착된 SiC는 수분 배리어로도 사용될 수 있다. CMP 공정은 전형적으로 습식 공정이며 수분으로 인해 기판 회로가 오염될 수 있다. 그러므로, 습식 공정으로부터 야기될 수 있는 수분으로 인한 역효과를 방지하기 위해 기판상에 또 다른 층이 층착되는 것이 바람직하다. SiC ARC가 연마 정지층 및 상부층으로 사용된다면, SiC ARC는 수분 배리어로서 역할을 할 것이다.
도 11은 30분 동안 비등수에 노출된 SiC 물질의 수분 시험의 FTIR 결과를 나타내는 도면이다. 노출전의 수분 결과를 나타내는 상부 라인은 노출후의 수분 결과를 나타내는 하부 라인으로부터 상쇄되어 동일한 그래프상에 라인 둘 모두를 나타낸다. 시험 결과는 본 발명의 SiC가 CMP 공정을 거치는 동안 수분 배리어로서 역할을 하며 이로써 수분 배리어 양상도 만족시키게 됨을 보여주고 있다. 수분 레벨은 H-OH 피크에 상응하는 1640의 파수에서 특히 현저한데, 이러한 결과는 두 개의 라인이 사실상 동일하며, 사실상의 어떠한 수분 흡수도 일어나지 않음을 나타낸다.
도 12는 별도의 ARC를 사용하지 않는, 도 4의 대안적인 구체예이다. 스택은 ARC으로 기능하는 인접한 층들 사이에 있는 본 발명의 SiC, 즉, 유전체층들(66 및 70) 사이에 있는 에칭 정지층(68)의 특성에 의존한다. 도 12에 도시된 층 및 번호는 도 4에 도시된 배열에 상응하며, 포토레지스트층(74) 아래에 ARC(72)가 없다는 점이 다르다. 또한, 환원제를 함유하는 플라즈마를 사용하게 되면 후속 층이 증착되기 전에 전도체상에, 예를 들어 특징부에 형성된 모든 옥사이드를 제거할 수 있다. 이러한 구체예에서, SiC 에칭 정지층(68) 위에 있는 유전체층(70)의 두께는 반사를 목적으로 한 유전체층들(66 및 70) 사이에 있는 SiC 에칭 정지층(68)의 두께와 관련하여 조정된다. 유전체층(66)의 두께는 일정하게 유지된다. 포토레지스트층(74)은 상기된 바와 같이 노광될 것이다. 배리어층(64)의 두께는 약 500Å이다. 이러한 구체예에서, 기판은 상부 유전체층(70) 아래에 있는 SiC 에칭 정지층(68)의 반사 및 흡수 특성에 따라 달라질 것이다. 이와 같이, 상기된 2개층의 두께는 주어진 반사 목적에 따라 상호 의존적이다.
도 13은 에칭 정지층(68)과 비교되는 상부 유전체층(70)의 두께를 나타내는, 도 12의 구체예의 반사도 맵(map)이다. y-축은 유전체층(70)의 두께를 나타내며, x-축은 SiC 에칭 정지층(68)의 두께를 나타낸다. 도 9에서 논의된 바와 유사하게, 적절한 두께는 약 5% 미만과 같이 주어진 반사도 비에 따라 선택될 수 있다. 예를 들어, 두께가 약 150ű약 50Å인 에칭 정지층은 도 13에서 그래프화된 유전체층(70) 두께에 대한 요건을 광학적으로 만족시킬 것이며 약 5% 미만의 반사도를 가질 것이다.
또한, 두께가 약 720Å인 SiC 에칭 정지층은 두께가 약 6500Å 또는 약 7300Å인 상부 유전체층과 함께 사용될 수 있다. 도 13 및 그 밖의 유사한 도면에 도시된 두께는 상업적인 목적으로 사용되는 두께를 대표한다. 반사도 패턴이 반복되기 때문에, 도식화되지 않은 그 밖의 다른 층 두께가 사용될 수 있다. 보다 높은 반사도, 예를 들어 6%가 허용되었다면, 약 720Å의 에칭 정지층 두께는 도 13에서 그래프화된 두께에 대한 반사도에 대한 광학 요건을 만족시킬 것이다. 역으로, 유전체층 두께가 먼저 선택되고 생성된 에칭 정지층의 두께가 결정된다면, 예를 들어 유사한 허용 오차를 갖는 약 6600Å 및 약 7400Å의 유전체층 두께는 약 5% 이하의 반사도로 약 100Å 내지 약 350Å의 에칭 정지층 두께를 허용할 것이다. 이들 예는 ARC로서 기능을 하는 SiC가 계획되고 선택된 반사도 목적을 위한 유전체층들 사이에 존재하는 경우 에칭 정지층의 두께와 에칭 정지층에 인접한 유전체층의 두께가 서로에 대하여 고려되어야 함을 보여주고 있다.
도 14는 에칭 정지층 아래의 하부 유전체층의 두께와 비교되는 에칭 정지층의 두께를 나타내는, 도 12의 구체예의 또 다른 반사도 맵이다. 에칭 정지층(68)의 아래에 있는 유전체층(66)의 두께는 계획된 반사도 목적을 위해 에칭 정지층(68)의 두께와 관련하여 조정된다. 상부 유전체층(70)은 7000Å과 같은 특정 두께를 유지하는 반면, 에칭 정지층(68) 및 하부 유전체층(66)의 두께는 특정의 반사도 목적에 따라 결정된다. 도 14에서, 에칭 정지층의 두께는 y-축으로 나타내어져 있고 유전체층(66)의 두께는 x-축으로 나타내어져 있다. 예를 들어, 500Å의SiC 에칭 정지층의 경우, 유전체층(66)의 두께는 5% 이하의 반사도를 유지시키기 위해 약 4600Å 또는 약 5400Å일 수 있다. 그러나, 유전체층(66)의 두께는 유전체층(70)의 두께에 따라 변경될 수 있다. 이와 같이, 반복 해결책은 다양한 처리 요건을 만족시키고 목표 수준의 반사, 여기에서는 약 5% 이하의 반사도를 여전히 집합적으로 만족시키는 각각의 유전체층에 대한 두께를 결정하는 것이 요구될 수 있다.
도 15는 배리어층(64)이 ARC로서 사용되는, 에칭 정지층이 없는 도 4 및 12의 대안적인 구체예이다. 층 및 번호는 도 4 및 12에 제시된 배열에 상응하며, eh 12 alc 15에 도시된 포토레지스트층(74) 아래에 ARC(72)가 없으며 에칭 정지층(68)도 없다는 점이 다르다. 또한, 환원제를 함유하는 플라즈마는 후속층이 증착되기 전에 전도체상에, 예를 들어 특징부에 형성된 모든 옥사이드를 제거하는데 사용될 수 있다. 에칭 정지층이 제거된다면, 기판 처리 작업량은 보다 적은 수의 단계를 가짐으로써 증가되며, 기판의 보다 낮은 유효 유전 상수가 달성될 수 있다. 처리가 잘 특정화되고 에칭 속도 및 깊이를 충분히 조절한다면, 에칭 정지층이 필요하지 않을 수도 있다. 도 15의 구체예에서, 유전체층(66)의 두께가 증가되어 제 2 유전체층(70)의 부족분을 보충하게 되면 회로는 전기적으로 절연되고 이의 두께는 약 10,000Å 내지 약 12,000Å일 수 있다. 유전체층(66)의 두께는 계획된 반사도 목적에 따라 SiC 배리어층의 두께와 관련하여 조정된다. 포토레지스트층(74)은 상기된 바와 같이 노출될 것이다. 그러나, 기판은 유전체층(66)의 아래에 있는 SiC 배리어층(64)의 반사 특성 및 흡수 특성에 의존할 것이며, 여기에서 2개층의 두께는 선택된 두께(들) 및 요망 반사도에 따라서 상호 의존적이거나 서로 무관하다.
도 16은 SiC 배리어층(64)과 비교되는 유전체층(66)의 두께를 나타내는, 도 15의 구체예의 반사도 맵이다. 도 16에서, y-축은 유전체층의 두께를 나타내며, x-축은 배리어층의 두께를 나타낸다. SiC 배리어층이 에칭 정지층의 개입없이 ARC로서 사용될 때, 이의 바람직한 두께는 약 700 내지 약 800Å이다. 바람직한 두께는 유전체층의 모든 그래프화된 두께에 대하여 예견되었던 5%의 반사도를 생성시키며, 이로써 SiC는 유전체층의 두께와는 사실상 무관하게 ARC 광학 결과를 제공한다.
도 17은 ARC로서 포토레지스트(74) 아래에 있는 SiC를 사용하는, 도 15의 구체예와 유사한 또 다른 구체예이다. 도 4의 에칭 정지층(68)은 도 17의 구체예에서 사용되지 않으며, 유전체층(66)은 도 4의 분리 유전체층 보다 두꺼운데, 예를 들어 약 10,000Å 내지 약 12,000Å이다. SiC 배리어층(64)의 두께는 변할 수 있지만 약 500Å이 바람직하다. 도 18의 하부에 도시된 바와 같이, 유전체층(66)의 두께는 SiC ARC(72) 두께가 적절하게 선택될 때 포토레지스트층(74)의 반사도값에 사실상 영향을 미치지 않으면서 변할 수 있다.
도 18은 계획된 반사도를 달성하기 위한 ARC 아래의 유전체층의 두께와 비교되는 ARC의 두께를 나타내는, 도 17의 구체예의 반사도 맵이다. 도 18에서, ARC(72)의 두께는 x-축상에 제시되어 있으며 유전체층(66)의 두께는 y-축상에 제시된다. 반사도 맵은 ARC의 두께가 520Å 이상인 경우에 유전체층(66)의 모든 그래프화된 두께로 약 5% 이하의 반사도를 생성시킬 수 있음을 보여주고 있다. SiCARC의 바람직한 두께는 약 600Å이다. 패턴이 다른 반사도 맵에서와 같이 반복되고 있으므로 옥사이드 및/또는 SiC 층의 그 밖의 두께는 외삽법에 의해 결정될 수 있다. 본 발명의 SiC를 사용하는 경우, ARC 층은 약 5% 이하의 계획된 반사도를 얻기 위해 하부층 두께와 무관하게 약 600Å의 증착 두께를 가질 수 있다.
본 발명의 SiC가 인접층을 통해 확산하려는 경향이 있는 구리와 같은 전도성 물질 근처에서 사용될 수 있기 때문에, SiC가 확산 내성적인 것이 바람직하다. 도 19는 시험용 견본의 확산 결과를 도시하고 있으며, 여기에서 하부 곡선은 구리 함량을 나타내는 것인데, 이로써 본 발명의 SiC 물질의 구리에 대한 확산 내성을 나타낸다. 시험 견본은 구리층의 두께가 200Å이고 구리층상에 증착된 SiC 층의 두께가 800Å이며 SiC 층상에 증착된 옥사이드층의 두께가 1000Å인 기판이었다. y-축에서 시작하여, 도 19는 두께가 1000Å인 옥사이드층의 표면으로부터 0Å의 깊이에서 약 3×1017원자/cc(평방 센티미터당 원자수의 수)의 값(46)을 보여주고 있다. 이러한 값은 1570Å의 조합된 깊이에서 옥사이드층을 통해 800Å SiC 층으로 약 1×1016원자/cc의 값(48)으로 감소된다. 이후, 구리 확산 레벨은 그 다음 230Å에 대하여 대수적으로 상승하여 구리 대 구리 배리어 인터페이스에서 약 3×1021원자/cc의 값(50)으로 상승한다. 이와 같이, 구리 레벨은 인터페이스의 약 200Å 내지 250Å 범위내에서 거의 4차수 크기, 즉, 1/10,000까지 감소한다. 구리 레벨에서의 이러한 감소는 본 발명의 SiC 물질이 유효함을 나타낸다.
본 발명은 챔버, 챔버내의 반응 영역, 기판을 반응 영역에 정위시키기 위한기판 홀더 및 진공 시스템을 갖는 기판 처리 시스템을 추가로 제공한다. 이러한 처리 시스템은 실란 기재 화합물 및 불활성 가스를 제공하는 진공 챔버의 반응 영역을 연결하는 가스/액체 분배 시스템, 및 반응 영역내에서 플라즈마를 발생시키기 위해 가스 분배 시스템과 커플링된 RF 발생기를 추가로 포함한다. 이러한 처리 시스템은 플라즈마 반응기, 가스 분배 시스템 및 RF 발생기를 조절하기 위한 컴퓨터를 포함하는 조절기, 및 조절기와 커플링된 메모리를 포함하며, 상기 메모리는 실란 기재 화합물의 플라즈마로 낮은 유전 상수 박막을 증착시키기 위한 처리 단계를 선택하기 위한 컴퓨터 판독 가능한 프로그램 코드를 포함하는 컴퓨터 이용 가능한 매체를 포함한다.
처리 시스템은 하나의 구체예로서, 실란 기재 화합물의 배리어층 및/또는 에칭 정지층을 증착시키는 단계, 상이한 유전체층을 증착시키는 단계 및 임의로 실란 기재 화합물의 캡핑 패시베이션층을 증착시키는 단계를 포함하는 처리 단계를 선택하기 위한 컴퓨터 판독 가능한 프로그램 코드를 추가로 포함할 수 있다.
이상에서와 같이 도시되고 기술된 구체예는 청구의 범위에 제시된 사항을 제외하고는 본 발명을 한정하는 것으로 의도되지 않는다. 더욱이, 구체예에서, 층의 순서는 변경될 수 있으며, 명세서 및 청구의 범위에 기재된 용어 "상에 증착된" 등은 이전층 위에 증착된 층을 포함하지만, 반드시 이전층에 바로 인접하여 증착된 층을 의미하는 것은 아니며 스택에서는 더 높은 층을 의미할 수 있다. 예를 들어, 다양한 라이너 층이 유전체층, 배리어층, 에칭 정지층, 금속층 및 그 밖의 다른 층에 인접하여 증착될 수 있다.
상기된 내용은 본 발명의 바람직한 구체예에 관한 것이며, 본 발명의 다른 및 추가의 구체예가 본 발명의 기본 범위를 벗어나지 않는 범위내에서 있을 수 있으며, 본 발명의 범위는 하기의 청구의 범위에 의해 결정된다. 더욱이, 특히 청구의 범위를 포함한 본원에 사용된 용어로서 "불특정(a)" 또는 "특정(the)"하는 "포함하는" 및 이의 변형 용어는 참조된 용어(들) 또는 목록(들)이 열거된 용어(들) 또는 목록(들)을 포함하고, 또한 달리 명시하지 않는한, 다수의 열거된 용어(들) 또는 목록(들)을 포함할 수 있다. 또한, 청구의 범위를 포함한 본원에 기술된 방법 모두 논리적인 순서로 제시되어 있으나, 달리 명시하지 않는한 기재된 순서로만 한정되지 않는 것으로 이해되어야 한다.

Claims (29)

  1. a) 유전 상수가 7.0 미만이고 기판상에 증착된 실리콘 카바이드층; 및 b) 실리콘 카바이드층과의 동일 현장에서 실리콘 카바이드층상에 층착된 제 1 유전체층을 포함하는 기판.
  2. 제 1항에 있어서, a) 제 1 유전체층과의 동일 현장에서 제 1 유전체층상에 증착된 실리콘 카바이드 에칭 정지층; 및 b) 실리콘 카바이드 에칭 정지층과의 동일 현장에서 에칭 정지층상에 증착된 제 2 유전체층을 추가로 포함함을 특징으로 하는 기판.
  3. 제 2항에 있어서, 제 2 유전체층과의 동일 현장에서 제 2 유전체층상에 증착된 실리콘 카바이드 반사방지 코팅층을 추가로 포함함을 특징으로 하는 기판.
  4. 제 2항에 있어서, 제 2 유전체층상에 증착된 포토레지스트층을 추가로 포함함을 특징으로 하는 기판.
  5. 제 1항에 있어서, 제 1 유전체층상에 증착된 포토레지스트층을 추가로 포함함을 특징으로 하는 기판.
  6. 제 1항에 있어서, a) 제 1 유전체층과의 동일 현장에서 제 1 유전체층상에 증착된 실리콘 카바이드 반사방지 코팅층; 및 b) 반사방지 코팅층상에 증착된 포토레지스트층을 추가로 포함함을 특징으로 하는 기판.
  7. 제 1항에 있어서, 실리콘 카바이드층의 유전 상수가 약 5 미만임을 특징으로 하는 기판.
  8. 제 1항에 있어서, 기판의 유효 유전 상수가 약 5 이하임을 특징으로 하는 기판.
  9. 제 1항에 있어서, 실리콘 카바이드층이 실리콘 및 탄소원으로서 유기실란을 약 30 내지 약 500sccm의 유량으로 제공하고 희가스를 약 100 내지 약 2000sccm의 유량으로 제공하는 것을 포함하고, 챔버에 평방 센티미터 당 약 0.67 내지 약 1.55와트의 전력밀도를 제공하는 RF 전력원과 함께 약 3 내지 약 10torr 범위의 챔버 압력 및 약 200℃ 내지 약 400℃의 기판 표면 온도에서 실리콘과 탄소를 반응시키는 것을 추가로 포함하여, 챔버를 갖는 플라즈마 반응기에서의 처리에 의해 생성됨을 특징으로 하는 기판.
  10. 제 3항에 있어서, 실리콘 카바이드층, 에칭 정지층 및 반사방지 코팅층이 7.0 미만의 유전 상수를 갖는 실리콘 카바이드를 포함함을 특징으로 하는 기판.
  11. 제 3항에 있어서, 약 7% 이하의 반사도를 생성시키는 두께를 갖는 반사방지 코팅층을 선택하는 것을 추가로 포함함을 특징으로 하는 기판.
  12. 제 6항에 있어서, 약 7% 이하의 반사도를 생성시키는 두께를 갖는 반사방지 코팅층을 선택하는 것을 추가로 포함함을 특징으로 하는 기판.
  13. 제 1항에 있어서, 기판이 다마신(damascene) 기판을 추가로 포함함을 특징으로 하는 기판.
  14. a) 실리콘, 탄소 및 희가스를 챔버내로 도입시키는 단계; b) 플라즈마를 챔버내에서 개시시키는 단계; c) 플라즈마의 존재하에서 실리콘과 탄소를 반응시켜 실리콘 카바이드를 형성시키는 단계; d) 챔버내에서 유전 상수가 7.0 미만인 실리콘 카바이드층을 기판상에 증착시키는 단계; 및 e) 실리콘 카바이드층과의 동일 현장에서 제 1 유전체층을 증착시키는 단계를 포함하여, 기판상에 실리콘 카바이드층을 형성시키는 방법.
  15. 제 14항에 있어서, a) 제 1 유전체층과의 동일 현장에서 실리콘 카바이드 에칭 정지층을 증착시키는 단계; 및 b) 실리콘 카바이드 에칭 정지층과의 동일 현장에서 제 2 유전체층을 증착시키는 단계를 추가로 포함함을 특징으로 하는 방법.
  16. 제 15항에 있어서, 제 2 유전체층과의 동일 현장에서 실리콘 카바이드 반사방지 코팅층을 증착시키는 것을 추가로 포함함을 특징으로 하는 방법.
  17. 제 15항에 있어서, 포토레지스트층을 제 2 유전체층상에 증착시키는 것을 추가로 포함함을 특징으로 하는 방법.
  18. 제 14항에 있어서, 포토레지스트층을 제 1 유전체층상에 증착시키는 것을 추가로 포함함을 특징으로 하는 방법.
  19. 제 14항에 있어서, 제 1 유전체층과의 동일 현장에서 실리콘 카바이드 반사방지 코팅층을 증착시키는 것을 추가로 포함함을 특징으로 하는 방법.
  20. 제 14항에 있어서, 유효 유전 상수가 약 5 이하인 기판을 생성시키는 것을 추가로 포함함을 특징으로 하는 방법.
  21. 제 14항에 있어서, 실리콘 및 탄소가 다른 탄소원과 무관하게 통상의 유기실란으로부터 유도됨을 특징으로 하는 방법.
  22. 제 14항에 있어서, 실리콘 및 탄소가 통상의 공급원으로부터 유도되고, 실리콘 카바이드를 생성시키기 위해 플라즈마의 존재하에서의 실리콘과 탄소의 반응이 별도의 수소원의 존재와는 무관하게 일어남을 특징으로 하는 방법.
  23. 제 14항에 있어서, 실리콘 및 탄소가 통상의 공급원으로부터 유도되고, 실리콘 카바이드를 생성시키기 위해 플라즈마의 존재하에서의 실리콘과 탄소의 반응이 별도의 탄소원의 존재와는 무관하게 일어남을 특징으로 하는 방법.
  24. 제 14항에 있어서, 기판이 다마신 구조를 포함함을 특징으로 하는 방법.
  25. 반사방지 코팅층 아래의 하부 유전체층이 약 5000Å 내지 약 10000Å의 두께를 가질 때 약 7% 이하의 반사도를 생성시키기 위해 단일의 선택된 두께를 갖는 반사방지 코팅층을 선택하는 것을 추가로 포함함을 특징으로 하는 방법.
  26. a) 실리콘 카바이드 배리어층을 기판상에 증착시키는 단계; b) 배리어층과의 동일 현장에서 제 1 유전체층을 배리어층상에 증착시키는 단계; c) 제 1 유전체층과의 동일 현장에서 에칭 정지층을 제 1 유전체층상에 증착시키는 단계; d) 제 1 에칭 정지층과의 동일 현장에서 제 2 유전체층을 에칭 정지층상에 증착시키는 단계; 및 e) 제 2 유전체층과의 동일 현장에서 반사방지 코팅층을 제 2 유전체층상에 증착시키는 단계를 포함하여, 실리콘 카바이드를 기판상에 현장 증착시키는 방법.
  27. 제 26항에 있어서, 배리어층, 에칭 정지층 및 반사방지 코팅층이 7.0 미만의 유전 상수를 갖는 실리콘 카바이드를 포함함을 특징으로 하는 방법.
  28. 제 26항에 있어서, 유효 유전 상수가 약 5 이하인 기판을 제조하는 것을 추가로 포함함을 특징으로 하는 방법.
  29. 제 26항에 있어서, a) 질소 및 수소를 포함하는 환원제를 챔버내로 도입시키는 단계; b) 챔버에서의 플라즈마 환원을 개시시키는 단계; c) 기판층상의 옥사이드를 환원제에 노출시키는 단계에 의해 기판층상에 존재하는 오염물질을 제거하는 것을 추가로 포함함을 특징으로 하는 방법.
KR1020017004231A 1998-10-01 1999-09-27 낮은 κ 실리콘 카바이드 배리어층, 에칭 정지층, 및다마신 적용을 위한 반사방지 코팅층의 동일 현장 증착 KR100650226B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US09/165,248 US20030089992A1 (en) 1998-10-01 1998-10-01 Silicon carbide deposition for use as a barrier layer and an etch stop
US09/165,248 1998-10-01
US09/219,945 1998-12-23
US09/219,945 US6635583B2 (en) 1998-10-01 1998-12-23 Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
US09/270,039 US6974766B1 (en) 1998-10-01 1999-03-16 In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US09/270,039 1999-03-16

Publications (2)

Publication Number Publication Date
KR20010075561A true KR20010075561A (ko) 2001-08-09
KR100650226B1 KR100650226B1 (ko) 2006-11-24

Family

ID=27389120

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020017004231A KR100650226B1 (ko) 1998-10-01 1999-09-27 낮은 κ 실리콘 카바이드 배리어층, 에칭 정지층, 및다마신 적용을 위한 반사방지 코팅층의 동일 현장 증착
KR1020017004208A KR100696034B1 (ko) 1998-10-01 1999-09-27 유전상수가 낮은 반사 방지막으로 사용되는 규소 탄화물및 그 증착방법
KR1020017004234A KR20010075563A (ko) 1998-10-01 1999-09-27 실리콘 카바이드 증착 방법 및 배리어 층과 패시베이션층으로써의 용도
KR1020067026340A KR100716622B1 (ko) 1998-10-01 1999-09-27 유전상수가 낮은 반사 방지막으로 사용되는 규소 탄화물 및그 증착방법

Family Applications After (3)

Application Number Title Priority Date Filing Date
KR1020017004208A KR100696034B1 (ko) 1998-10-01 1999-09-27 유전상수가 낮은 반사 방지막으로 사용되는 규소 탄화물및 그 증착방법
KR1020017004234A KR20010075563A (ko) 1998-10-01 1999-09-27 실리콘 카바이드 증착 방법 및 배리어 층과 패시베이션층으로써의 용도
KR1020067026340A KR100716622B1 (ko) 1998-10-01 1999-09-27 유전상수가 낮은 반사 방지막으로 사용되는 규소 탄화물 및그 증착방법

Country Status (6)

Country Link
US (3) US6974766B1 (ko)
EP (3) EP1118025A2 (ko)
JP (2) JP2002526916A (ko)
KR (4) KR100650226B1 (ko)
TW (3) TW432476B (ko)
WO (3) WO2000019498A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100462759B1 (ko) * 2002-05-06 2004-12-20 동부전자 주식회사 확산 장벽층을 갖는 금속 배선 및 그 제조 방법
CN103539122A (zh) * 2013-10-12 2014-01-29 台州市一能科技有限公司 一种碳化硅的制备方法

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
GB0001179D0 (en) 2000-01-19 2000-03-08 Trikon Holdings Ltd Methods & apparatus for forming a film on a substrate
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
DE10042948C2 (de) * 2000-08-31 2002-07-18 Infineon Technologies Ag Integriertes Bauelement und Verfahren zu dessen Herstellung
TW535253B (en) * 2000-09-08 2003-06-01 Applied Materials Inc Plasma treatment of silicon carbide films
US6465366B1 (en) * 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
JP4698813B2 (ja) * 2000-10-19 2011-06-08 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP3516941B2 (ja) 2000-11-30 2004-04-05 キヤノン販売株式会社 半導体装置及びその製造方法
DE10062660B4 (de) * 2000-12-15 2010-05-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Siliciumoxynitrid-ARC-Schicht über einer Halbleiterstruktur
US6429121B1 (en) * 2001-02-07 2002-08-06 Advanced Micro Devices, Inc. Method of fabricating dual damascene with silicon carbide via mask/ARC
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6566242B1 (en) * 2001-03-23 2003-05-20 International Business Machines Corporation Dual damascene copper interconnect to a damascene tungsten wiring level
US20030027413A1 (en) * 2001-08-01 2003-02-06 Ting Tsui Method to improve the adhesion of dielectric layers to copper
US6489238B1 (en) * 2001-08-21 2002-12-03 Texas Instruments Incorporated Method to reduce photoresist contamination from silicon carbide films
US6759327B2 (en) * 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
GB0129567D0 (en) 2001-12-11 2002-01-30 Trikon Technologies Ltd Diffusion barrier
DE10297447T5 (de) * 2001-12-11 2004-11-11 Trikon Technologies Limited, Newport Diffusionsbarriere
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6838393B2 (en) 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
KR100799118B1 (ko) * 2001-12-19 2008-01-29 주식회사 하이닉스반도체 다층 구리 배선의 형성 방법
US20030181034A1 (en) * 2002-03-19 2003-09-25 Ping Jiang Methods for forming vias and trenches with controlled SiC etch rate and selectivity
JP4340040B2 (ja) * 2002-03-28 2009-10-07 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US6656840B2 (en) 2002-04-29 2003-12-02 Applied Materials Inc. Method for forming silicon containing layers on a substrate
US7097923B2 (en) * 2002-04-30 2006-08-29 Hitachi Global Storage Technologies Method for forming thin film heads using a tri-layer anti-reflection coating for photolithographic applications and a structure thereof
US7105442B2 (en) 2002-05-22 2006-09-12 Applied Materials, Inc. Ashable layers for reducing critical dimensions of integrated circuit features
KR100475931B1 (ko) * 2002-07-02 2005-03-10 매그나칩 반도체 유한회사 반도체 소자의 다층 배선 형성방법
JP4282054B2 (ja) * 2002-09-09 2009-06-17 東京応化工業株式会社 デュアルダマシン構造形成プロセスに用いられる洗浄液および基板の処理方法
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US6908846B2 (en) * 2002-10-24 2005-06-21 Lam Research Corporation Method and apparatus for detecting endpoint during plasma etching of thin films
US6815332B2 (en) * 2002-10-30 2004-11-09 Asm Japan K.K. Method for forming integrated dielectric layers
US6939800B1 (en) * 2002-12-16 2005-09-06 Lsi Logic Corporation Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures
AU2003287518A1 (en) * 2002-12-23 2004-07-29 Advanced Micro Devices, Inc. Method of forming a cap layer having anti-reflective characteristics on top of a low-k dielectric
US20040124420A1 (en) 2002-12-31 2004-07-01 Lin Simon S.H. Etch stop layer
JP3898133B2 (ja) 2003-01-14 2007-03-28 Necエレクトロニクス株式会社 SiCHN膜の成膜方法。
US7091133B2 (en) * 2003-01-27 2006-08-15 Asm Japan K.K. Two-step formation of etch stop layer
US6943111B2 (en) * 2003-02-10 2005-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier free copper interconnect by multi-layer copper seed
JP2004247675A (ja) 2003-02-17 2004-09-02 Renesas Technology Corp 半導体装置の製造方法
JP4454242B2 (ja) * 2003-03-25 2010-04-21 株式会社ルネサステクノロジ 半導体装置およびその製造方法
JP4295730B2 (ja) 2003-04-28 2009-07-15 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
KR100541185B1 (ko) * 2003-07-28 2006-01-11 삼성전자주식회사 캡핑막을 포함하는 층간절연막 및 이를 포함하는 금속배선형성 방법
US20050064629A1 (en) * 2003-09-22 2005-03-24 Chen-Hua Yu Tungsten-copper interconnect and method for fabricating the same
DE102004036753B4 (de) * 2004-07-29 2008-11-06 Advanced Micro Devices Inc., Sunnyvale Verfahren zur Herstellung einer stickstofffreien ARC-Deckschicht
DE102004042168B4 (de) * 2004-08-31 2009-08-20 Advanced Micro Devices, Inc., Sunnyvale Halbleiterelement mit einem Metallisierungsschichtstapel mit kleinem ε mit erhöhter Widerstandsfähigkeit gegen Elektromigration und Verfahren zum Bilden des Halbleiterelements
WO2006044868A1 (en) 2004-10-20 2006-04-27 Nervonix, Inc. An active electrode, bio-impedance based, tissue discrimination system and methods and use
US7361584B2 (en) * 2004-11-04 2008-04-22 International Business Machines Corporation Detection of residual liner materials after polishing in damascene process
US8084294B2 (en) 2005-02-18 2011-12-27 Nec Corporation Method of fabricating organic silicon film, semiconductor device including the same, and method of fabricating the semiconductor device
US7265437B2 (en) * 2005-03-08 2007-09-04 International Business Machines Corporation Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
US7442649B2 (en) * 2005-03-29 2008-10-28 Lam Research Corporation Etch with photoresist mask
JP5096669B2 (ja) 2005-07-06 2012-12-12 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
WO2007032261A1 (ja) 2005-09-13 2007-03-22 Nec Corporation 多孔質絶縁膜の形成方法および半導体装置
JP4521349B2 (ja) * 2005-10-13 2010-08-11 富士通セミコンダクター株式会社 半導体集積回路装置
WO2007061134A1 (ja) 2005-11-24 2007-05-31 Nec Corporation 多孔質絶縁膜の形成方法、半導体装置の製造装置、半導体装置の製造方法及び半導体装置
KR100720526B1 (ko) 2005-12-28 2007-05-22 동부일렉트로닉스 주식회사 반도체소자의 금속배선 형성방법
WO2007132879A1 (ja) 2006-05-17 2007-11-22 Nec Corporation 半導体装置、半導体装置の製造方法及び半導体製造装置
US7615427B2 (en) * 2006-06-05 2009-11-10 Chartered Semiconductor Manufacturing, Ltd. Spacer-less low-k dielectric processes
US20070290347A1 (en) * 2006-06-19 2007-12-20 Texas Instruments Incorporated Semiconductive device having resist poison aluminum oxide barrier and method of manufacture
US8790785B2 (en) 2006-07-21 2014-07-29 Renesas Electronics Corporation Method of forming a porous insulation film
EP2118003A4 (en) * 2007-03-01 2017-12-27 Plasmatrix Materials AB Method, material and apparatus for enhancing dynamic stiffness
US7964442B2 (en) * 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
US8987039B2 (en) 2007-10-12 2015-03-24 Air Products And Chemicals, Inc. Antireflective coatings for photovoltaic applications
KR101225642B1 (ko) * 2007-11-15 2013-01-24 삼성전자주식회사 H2 원격 플라즈마 처리를 이용한 반도체 소자의 콘택플러그 형성방법
JP4675393B2 (ja) 2008-05-12 2011-04-20 パナソニック株式会社 半導体装置および半導体装置の製造方法
JP4891296B2 (ja) * 2008-07-03 2012-03-07 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US8053361B2 (en) * 2008-09-04 2011-11-08 Globalfoundries Singapore Pte. Ltd Interconnects with improved TDDB
US8455849B2 (en) 2010-11-30 2013-06-04 Applied Materials, Inc. Method and apparatus for modulating wafer treatment profile in UV chamber
US8994178B2 (en) 2012-03-29 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method for forming the same
CN102623396B (zh) * 2012-04-17 2014-05-14 上海华力微电子有限公司 连接孔的形成方法
US9269678B2 (en) 2012-10-25 2016-02-23 United Microelectronics Corp. Bond pad structure and method of manufacturing the same
US9196583B1 (en) * 2014-05-09 2015-11-24 Qualcomm Incorporated Via material selection and processing
US9590157B2 (en) 2015-06-04 2017-03-07 The Silanna Group Pty Ltd Efficient dual metal contact formation for a semiconductor device
US10574210B2 (en) 2016-03-31 2020-02-25 Qorvo Us, Inc. BAW devices having top electrode leads with reduced reflectance
CN109427650B (zh) * 2017-08-24 2021-03-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR102540963B1 (ko) 2017-12-27 2023-06-07 삼성전자주식회사 미세 패턴 형성 방법 및 기판 처리 장치
RU2687343C1 (ru) * 2018-03-22 2019-05-13 Открытое акционерное общество "Композит" Способ получения композиционного материала
DE102018107563B4 (de) * 2018-03-29 2022-03-03 Infineon Technologies Austria Ag Halbleitervorrichtung mit kupferstruktur und verfahren zur herstellung einer halbleitervorrichung
US11171200B2 (en) * 2019-09-26 2021-11-09 Texas Instruments Incorporated Integrated circuits having dielectric layers including an anti-reflective coating
KR20210111017A (ko) * 2020-03-02 2021-09-10 주식회사 원익아이피에스 기판 처리 방법 및 이를 이용하여 제조된 반도체 소자
CN113991092B (zh) * 2021-09-27 2023-05-05 杭州电子科技大学 一种硅电极材料的制备方法

Family Cites Families (269)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
GB1147014A (en) * 1967-01-27 1969-04-02 Westinghouse Electric Corp Improvements in diffusion masking
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4262631A (en) 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
FI64878C (fi) 1982-05-10 1984-01-10 Lohja Ab Oy Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer
JPS59128281A (ja) * 1982-12-29 1984-07-24 信越化学工業株式会社 炭化けい素被覆物の製造方法
JPH07111957B2 (ja) 1984-03-28 1995-11-29 圭弘 浜川 半導体の製法
US4759947A (en) 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4895734A (en) 1987-03-31 1990-01-23 Hitachi Chemical Company, Ltd. Process for forming insulating film used in thin film electroluminescent device
US5028566A (en) * 1987-04-10 1991-07-02 Air Products And Chemicals, Inc. Method of forming silicon dioxide glass films
IT1226701B (it) * 1988-07-29 1991-02-05 Eniricerche Spa Procedimento per la deposizione di organosilani su substrati di silicio o di ossido di silicio per dispositivi del tipo eos o chemfet.
GB8827933D0 (en) 1988-11-30 1989-01-05 Plessey Co Plc Improvements relating to soldering processes
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US5011706A (en) 1989-04-12 1991-04-30 Dow Corning Corporation Method of forming coatings containing amorphous silicon carbide
JPH03105974A (ja) 1989-09-19 1991-05-02 Kobe Steel Ltd 多結晶ダイヤ薄膜合成によるシヨツトキー・ダイオードの製作法
ES2087968T3 (es) * 1990-03-23 1996-08-01 At & T Corp Interconexion de circuito integrado.
EP0449117A3 (en) 1990-03-23 1992-05-06 Matsushita Electric Industrial Co., Ltd. Organic polymer and preparation and use thereof
US5401613A (en) 1990-12-13 1995-03-28 Brewer Science Method of manufacturing microelectronic devices having multifunctional photolithographic layers
US5232871A (en) 1990-12-27 1993-08-03 Intel Corporation Method for forming a titanium nitride barrier layer
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
US5525550A (en) 1991-05-21 1996-06-11 Fujitsu Limited Process for forming thin films by plasma CVD for use in the production of semiconductor devices
US5238866A (en) 1991-09-11 1993-08-24 GmbH & Co. Ingenieurburo Berlin Biotronik Mess- und Therapiegerate Plasma enhanced chemical vapor deposition process for producing an amorphous semiconductive surface coating
JPH05144811A (ja) * 1991-11-22 1993-06-11 Hitachi Ltd 薄膜半導体装置及びその製造方法
US5472829A (en) 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern by using an anti-reflective layer
JP2953349B2 (ja) * 1991-12-30 1999-09-27 ソニー株式会社 レジストパターン形成方法、反射防止膜形成方法、反射防止膜および半導体装置
US5472827A (en) 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern using an anti-reflective layer
KR970003646B1 (ko) 1992-05-15 1997-03-20 신에쯔 세끼에이 가부시끼가이샤 종형열처리장치 및 보온체와 그 제조방법
JPH05335299A (ja) * 1992-05-29 1993-12-17 Kawasaki Steel Corp 半導体装置の製造方法
US5739579A (en) 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JP2734915B2 (ja) 1992-11-18 1998-04-02 株式会社デンソー 半導体のドライエッチング方法
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5409543A (en) 1992-12-22 1995-04-25 Sandia Corporation Dry soldering with hot filament produced atomic hydrogen
TW347149U (en) 1993-02-26 1998-12-01 Dow Corning Integrated circuits protected from the environment by ceramic and barrier metal layers
US5360491A (en) 1993-04-07 1994-11-01 The United States Of America As Represented By The United States Department Of Energy β-silicon carbide protective coating and method for fabricating same
US5627105A (en) 1993-04-08 1997-05-06 Varian Associates, Inc. Plasma etch process and TiSix layers made using the process
US5526244A (en) 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
US5465680A (en) 1993-07-01 1995-11-14 Dow Corning Corporation Method of forming crystalline silicon carbide coatings
US5468978A (en) 1993-07-07 1995-11-21 Dowben; Peter A. Forming B1-x Cx semiconductor devices by chemical vapor deposition
US5427621A (en) 1993-10-29 1995-06-27 Applied Materials, Inc. Method for removing particulate contaminants by magnetic field spiking
JP2899600B2 (ja) 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
JP3254875B2 (ja) * 1994-02-03 2002-02-12 富士通株式会社 半導体装置の製造方法
US5451263A (en) 1994-02-03 1995-09-19 Harris Corporation Plasma cleaning method for improved ink brand permanency on IC packages with metallic parts
US5618619A (en) 1994-03-03 1997-04-08 Monsanto Company Highly abrasion-resistant, flexible coatings for soft substrates
JP3326974B2 (ja) 1994-07-28 2002-09-24 ソニー株式会社 多層配線の形成方法および半導体装置の製造方法
US5565084A (en) 1994-10-11 1996-10-15 Qnix Computer Co., Ltd. Electropolishing methods for etching substrate in self alignment
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
US5736457A (en) 1994-12-09 1998-04-07 Sematech Method of making a damascene metallization
US5818071A (en) * 1995-02-02 1998-10-06 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
US5710067A (en) 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
KR0167248B1 (ko) 1995-07-24 1999-02-01 문정환 반도체 기판의 전처리방법
US5804488A (en) 1995-08-24 1998-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a tungsten silicide capacitor having a high breakdown voltage
DE19531369A1 (de) * 1995-08-25 1997-02-27 Siemens Ag Halbleiterbauelement auf Siliciumbasis mit hochsperrendem Randabschluß
US5623160A (en) 1995-09-14 1997-04-22 Liberkowski; Janusz B. Signal-routing or interconnect substrate, structure and apparatus
US5789776A (en) 1995-09-22 1998-08-04 Nvx Corporation Single poly memory cell and array
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
JP2973905B2 (ja) * 1995-12-27 1999-11-08 日本電気株式会社 半導体装置の製造方法
US5660682A (en) 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US5741626A (en) 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
US5780163A (en) 1996-06-05 1998-07-14 Dow Corning Corporation Multilayer coating for microelectronic devices
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5869396A (en) 1996-07-15 1999-02-09 Chartered Semiconductor Manufacturing Ltd. Method for forming a polycide gate electrode
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5801098A (en) 1996-09-03 1998-09-01 Motorola, Inc. Method of decreasing resistivity in an electrically conductive layer
US5776235A (en) 1996-10-04 1998-07-07 Dow Corning Corporation Thick opaque ceramic coatings
US5711987A (en) 1996-10-04 1998-01-27 Dow Corning Corporation Electronic coatings
US5730792A (en) 1996-10-04 1998-03-24 Dow Corning Corporation Opaque ceramic coatings
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US6136700A (en) * 1996-12-20 2000-10-24 Texas Instruments Incorporated Method for enhancing the performance of a contact
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
JPH10308283A (ja) 1997-03-04 1998-11-17 Denso Corp El素子およびその製造方法
US5789316A (en) 1997-03-10 1998-08-04 Vanguard International Semiconductor Corporation Self-aligned method for forming a narrow via
US5817579A (en) 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
KR19990005812A (ko) * 1997-06-30 1999-01-25 김영환 반사방지막의 형성방법
US5821168A (en) 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US6013553A (en) 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US5926740A (en) 1997-10-27 1999-07-20 Micron Technology, Inc. Graded anti-reflective coating for IC lithography
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6197683B1 (en) 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6291334B1 (en) 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6287990B1 (en) * 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100278657B1 (ko) 1998-06-24 2001-02-01 윤종용 반도체장치의금속배선구조및그제조방법
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6316167B1 (en) 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
JP2000031387A (ja) 1998-07-14 2000-01-28 Fuji Electric Co Ltd 誘電体薄膜コンデンサの製造方法
US6103456A (en) 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
US6245662B1 (en) 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR20000013654A (ko) 1998-08-12 2000-03-06 윤종용 원자층 증착 방법으로 형성한 알루미나/알루미늄나이트라이드복합 유전체막을 갖는 캐패시터와 그제조 방법
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
US6071809A (en) * 1998-09-25 2000-06-06 Rockwell Semiconductor Systems, Inc. Methods for forming high-performing dual-damascene interconnect structures
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100297719B1 (ko) * 1998-10-16 2001-08-07 윤종용 박막제조방법
US6528426B1 (en) 1998-10-16 2003-03-04 Texas Instruments Incorporated Integrated circuit interconnect and method
JP3580159B2 (ja) 1998-12-18 2004-10-20 東京エレクトロン株式会社 タングステン膜の成膜方法
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
KR100347379B1 (ko) 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6218298B1 (en) 1999-05-19 2001-04-17 Infineon Technologies North America Corp. Tungsten-filled deep trenches
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6114259A (en) 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6984415B2 (en) * 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6593653B2 (en) 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
TW515032B (en) 1999-10-06 2002-12-21 Samsung Electronics Co Ltd Method of forming thin film using atomic layer deposition method
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
KR100705926B1 (ko) * 1999-12-22 2007-04-11 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100624903B1 (ko) 1999-12-22 2006-09-19 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
JP4817210B2 (ja) 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
JP4362919B2 (ja) 2000-02-04 2009-11-11 株式会社デンソー 原子層エピタキシャル成長法による成膜方法
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6921712B2 (en) * 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
KR100647442B1 (ko) 2000-06-07 2006-11-17 주성엔지니어링(주) 원자층 증착법을 이용한 박막 형성방법
KR100403611B1 (ko) 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
WO2001099166A1 (en) * 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
KR100387255B1 (ko) * 2000-06-20 2003-06-11 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US6372661B1 (en) 2000-07-14 2002-04-16 Taiwan Semiconductor Manufacturing Company Method to improve the crack resistance of CVD low-k dielectric constant material
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
KR100630666B1 (ko) * 2000-08-09 2006-10-02 삼성전자주식회사 금속 콘택 및 커패시터를 포함하는 반도체 소자 제조방법
KR100396879B1 (ko) * 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
US6903005B1 (en) 2000-08-30 2005-06-07 Micron Technology, Inc. Method for the formation of RuSixOy-containing barrier layers for high-k dielectrics
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
JP4333900B2 (ja) 2000-11-30 2009-09-16 エーエスエム インターナショナル エヌ.ヴェー. 磁気メモリセル、磁気構造体及び磁気素子の製造方法、並びに磁気構造体用金属層の成長方法
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100386034B1 (ko) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
KR20020049875A (ko) 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
JP3963078B2 (ja) 2000-12-25 2007-08-22 株式会社高純度化学研究所 ターシャリーアミルイミドトリス(ジメチルアミド)タンタルとその製造方法及びそれを用いたmocvd用原料溶液並びにそれを用いた窒化タンタル膜の形成方法
KR20020056260A (ko) 2000-12-29 2002-07-10 박종섭 반도체 소자의 금속 게이트 형성방법
US20020086111A1 (en) 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
KR100400031B1 (ko) 2001-01-17 2003-09-29 삼성전자주식회사 반도체 소자의 콘택 플러그 및 그 형성 방법
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100400033B1 (ko) 2001-02-08 2003-09-29 삼성전자주식회사 다층 배선 구조를 갖는 반도체 소자 및 그의 제조방법
KR100395766B1 (ko) 2001-02-12 2003-08-25 삼성전자주식회사 강유전체 기억 소자 및 그 형성 방법
EP1421607A2 (en) 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20020121241A1 (en) 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6369430B1 (en) 2001-04-02 2002-04-09 Motorola, Inc. Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same
EP1300887B1 (en) 2001-04-02 2007-05-23 Matsushita Electric Industrial Co., Ltd. Method of manufacturing a semiconductor device
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US6420189B1 (en) 2001-04-27 2002-07-16 Advanced Micro Devices, Inc. Superconducting damascene interconnected for integrated circuit
US6447933B1 (en) 2001-04-30 2002-09-10 Advanced Micro Devices, Inc. Formation of alloy material using alternating depositions of alloy doping element and bulk material
US6596643B2 (en) 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6673721B1 (en) 2001-07-02 2004-01-06 Lsi Logic Corporation Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
TW581822B (en) 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
KR101013231B1 (ko) 2001-09-14 2011-02-10 에이에스엠 인터내셔널 엔.브이. 환원펄스를 이용한 원자층증착에 의한 질화금속증착
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030057526A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6423619B1 (en) 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6674138B1 (en) 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6753618B2 (en) 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US7164165B2 (en) * 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
KR100468852B1 (ko) * 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
JP4188033B2 (ja) * 2002-08-30 2008-11-26 本田技研工業株式会社 油圧緩衝機の取付構造
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100462759B1 (ko) * 2002-05-06 2004-12-20 동부전자 주식회사 확산 장벽층을 갖는 금속 배선 및 그 제조 방법
CN103539122A (zh) * 2013-10-12 2014-01-29 台州市一能科技有限公司 一种碳化硅的制备方法
CN103539122B (zh) * 2013-10-12 2015-12-02 台州市一能科技有限公司 一种碳化硅的制备方法

Also Published As

Publication number Publication date
KR20070005025A (ko) 2007-01-09
WO2000020900A3 (en) 2000-09-08
US20090130837A1 (en) 2009-05-21
WO2000019498A1 (en) 2000-04-06
US20060089007A1 (en) 2006-04-27
JP2002526916A (ja) 2002-08-20
US6974766B1 (en) 2005-12-13
EP1118025A2 (en) 2001-07-25
TW492138B (en) 2002-06-21
KR100716622B1 (ko) 2007-05-09
KR100696034B1 (ko) 2007-03-16
WO2000019508A1 (en) 2000-04-06
JP2002526649A (ja) 2002-08-20
KR20010079973A (ko) 2001-08-22
KR20010075563A (ko) 2001-08-09
EP1118109A1 (en) 2001-07-25
US7670945B2 (en) 2010-03-02
KR100650226B1 (ko) 2006-11-24
TW432476B (en) 2001-05-01
US7470611B2 (en) 2008-12-30
EP1118107A1 (en) 2001-07-25
TW523803B (en) 2003-03-11
WO2000020900A2 (en) 2000-04-13

Similar Documents

Publication Publication Date Title
KR100650226B1 (ko) 낮은 κ 실리콘 카바이드 배리어층, 에칭 정지층, 및다마신 적용을 위한 반사방지 코팅층의 동일 현장 증착
US6635583B2 (en) Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
US6927178B2 (en) Nitrogen-free dielectric anti-reflective coating and hardmask
US6541397B1 (en) Removable amorphous carbon CMP stop
US7638440B2 (en) Method of depositing an amorphous carbon film for etch hardmask application
US7200460B2 (en) Method of depositing low dielectric constant silicon carbide layers
EP1176226B1 (en) Method of deposition of silicon carbide film in integrated circuit fabrication
US6777171B2 (en) Fluorine-containing layers for damascene structures
KR20240046474A (ko) 층간 유전체층
US20040009676A1 (en) Nitrogen-free dielectric anti-reflective coating and hardmask
KR101106425B1 (ko) 질소-비함유 유전성 반사방지 코팅부 및 하드마스크
US20040115407A1 (en) Diffusion barrier with low dielectric constant and semiconductor device containing same
JP4763131B2 (ja) 低誘電率反射防止被膜に用いるシリコンカーバイドの堆積
EP1128421A2 (en) Method of fabricating an interlayer insulating film comprising Si, O, C and H for semiconductor devices
Ahn et al. Advanced PECVD-Based Anti-Reflective Coating for 90nm Generation Interconnects

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121030

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20131030

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20141014

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150930

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20161028

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee