KR100716622B1 - 유전상수가 낮은 반사 방지막으로 사용되는 규소 탄화물 및그 증착방법 - Google Patents

유전상수가 낮은 반사 방지막으로 사용되는 규소 탄화물 및그 증착방법 Download PDF

Info

Publication number
KR100716622B1
KR100716622B1 KR1020067026340A KR20067026340A KR100716622B1 KR 100716622 B1 KR100716622 B1 KR 100716622B1 KR 1020067026340 A KR1020067026340 A KR 1020067026340A KR 20067026340 A KR20067026340 A KR 20067026340A KR 100716622 B1 KR100716622 B1 KR 100716622B1
Authority
KR
South Korea
Prior art keywords
silicon carbide
antireflection film
substrate
dielectric layer
thickness
Prior art date
Application number
KR1020067026340A
Other languages
English (en)
Other versions
KR20070005025A (ko
Inventor
크리스토퍼 벤쳐
조 펭
메이-이 쉑
크리스 나이
주디 후앙
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/165,248 external-priority patent/US20030089992A1/en
Priority claimed from US09/219,945 external-priority patent/US6635583B2/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070005025A publication Critical patent/KR20070005025A/ko
Application granted granted Critical
Publication of KR100716622B1 publication Critical patent/KR100716622B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • C30B25/105Heating of the reaction chamber or the substrate by irradiation or electric discharge
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/36Carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/02447Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/0445Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising crystalline silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/931Silicon carbide semiconductor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/932Boron nitride semiconductor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/952Utilizing antireflective layer

Abstract

본 발명은 넓게는 실란기 물질을 사용하여, 적절한 ARC를 형성하는데 유용한 공정변수로 규소 탄화물을 증착하기 위한, IC 응용을 위한 공정을 제공한다. 공정이 복잡하고 구리와 같이 확산이 큰 도전체에서도, 동일한 물질이 배리어 층 및 에칭 정지부로서 사용될 수 있다. 특정 작업 변수 하에서, 고정된 두께의 규소 탄화물이 다양한 두께의 하부 층 위에 사용될 수 있다. 규소 탄화물 ARC의 두께는 주어진 반사율에 대해 하부 층의 두께와 실질적으로 독립적인데, 이는 주어진 반사율을 얻기 위해 각 하부 층의 ARC 두께를 조절해야하는 경우와는 다르다. 기판 위에 규소 탄화물 반사 방지막을 형성하는 바람직한 공정 순서는, 반응 챔버 내로 규소, 탄소 및 희가스를 도입하는 단계; 반응 영역 내에서 플라즈마를 발생시키는 단계; 플라즈마의 존재하여 규소와 탄소를 반응시켜 규소 탄화물을 형성하는 단계; 챔버 내에서 기판 위에 규소 탄화물 반사 방지막을 형성하는 단계를 포함한다. 본 발명의 다른 특징에서는, 유전상수가 7.0 보다 작고 바람직하게는 6.0 또는 그보다 작은 규소 탄화물 반사 방지막과 유전체 층을 포함하는 규소 탄화물 반사 방지막을 가지는 기판을 포함한다.

Description

유전상수가 낮은 반사 방지막으로 사용되는 규소 탄화물 및 그 증착방법{SILICON CARBIDE FOR USE AS A LOW DIELECTRIC CONSTANT ANTI-REFLECTIVE COATING AND ITS DEPOSITION METHOD}
도 1은 기판 내의 ARC 상의 포토레지스트 재료의 개략도이며,
도 2는 도 1의 포토레지스트를 노광하는 빛의 개략도이며,
도 3은 미세구조물 내의 후증착을 위해 에칭되고 준비되는 도 1 내지 도 2의 기판의 개략도이며,
도 4는 예시적인 다마신 구조물의 개략도이며,
도 5는 특정 결합 구조물을 나타내는 본 발명에 따른 SiC의 푸리에 변환 적외선(FTIR) 차트이며,
도 6은 본 발명의 SiC와 상이한 결합 구조물을 나타내는 선행 기술의 SiC의 FTIR이며,
도 7은 다양한 재료에 대한 굴절률 대 유전상수의 그래프이며,
도 8은 두 개의 재료에 대한 흡수율 대 굴절률에 대한 그래프이고, 본 발명에 따른 SiC는 상이한 지수 값으로 조절될 수 있으며,
도 9는 배리어 층, 에칭 정지부, 및 ARC로서 본 발명에 따른 SiC를 사용한 적층의 개략도이며,
도 10은 도 9의 실시예의 반사율 경사에 대한 시뮬레이션 그래프이며,
도 11은 상부 층으로서 본 발명에 따른 ARC를 사용한 패턴화된 포토레지스트 층을 도시한 주사 전자 현미경의 라인 선도이며,
도 12는, SiC ARC가 흡수 층으로서 사용될 때, 본 발명에 따른 SiC의 흡수 시험에 대한 FTIR 결과이며,
도 13은 ARC 상부 층을 사용하지 않고 ARC로서 에칭 정지부를 사용한 도 9의 선택적인 실시예를 도시하며,
도 14는 에칭 정지부와 비교된 상부 절연 층의 두께를 도시한 도 13의 실시예의 반사 지도이며,
도 15는 에칭 정지부 아래의 하부 유전체 층과 비교된 에칭 정지부의 두께를 도시한 도 13의 실시예의 반도 지도이며,
도 16은 에칭 정지부 없이 ARC로서 배리어 층을 사용한 도 9 내지 도 13의 선택적인 실시예이며,
도 17은 ARC로서 배리어 층을 사용하여, 배리어 층과 비교된 배리어 층 상의 유전체 층의 두께를 도시한 도 16의 실시예의 반사 지도이며,
도 18은 포토레지스트 층 하부에 SiC ARC를 부가한 도 16의 실시예와 유사한 또 다른 실시예이며,
도 19는 ARC 하부의 유전체 층의 두께와 비교된 ARC의 두께를 도시한 도 18의 실시예의 반사 지도이며,
도 20은 본 발명에 따른 구리의 SiC 재료 내로의 확산 그래프이다.
본 발명은 일반적으로 기판 상에 집적회로를 제조하는 것에 관한 것이며, 더 구체적으로, 소정의 처리 조건 하에서 유기 실란을 사용하여 저유전상수를 갖고 반사 방지막으로 사용되는 저유전상수의 규소 탄화물 박막을 저온에서 제조하는 방법에 관한 것이다.
지난 수십 년간 집적회로 설계 및 제조 분야에서의 지속적이고 예측 가능한 발전이 이루어졌다. 이러한 개선을 성공적으로 만드는 중요한 요소의 하나로서 다층 상호연결(interconnect) 기술이 있는데, 이 방법에서는 IC 소자의 소자들 사이에 도전성 경로를 제공한다. VLSI 또는 ULSI 기술에서, 현재 1/4 마이크론 미만 또는 그보다 작은 범위의 수평 상호연결(일반적으로 라인이라 지칭됨) 및 수직 상호연결[일반적으로 접점 또는 바이어스(바이어스는 M1, M2 등의 하부 금속 층으로 연장되는 반면 접점은 하부 기판 위의 소자로 연장됨)라 지칭됨] 구조물의 크기 축소는 특히 상호연결 라인들 사이의 용량 결합 감소의 중요성을 증가시켰다. 집적회로 상의 반도체 소자의 속도를 향상시키기 위해, 인접한 금속 라인 사이의 용량 결합을 감소시키는 낮은 저항과 낮은 유전상수(7.0이하의 유전상수)를 갖는 도전성 재료의 사용이 필요하게 되었다. 저유전상수를 갖는 재료는 배리어 층, 에칭 정지부, 및 포토리소그래피에 사용되는 반사 방지막에도 필요하게 되었다. 그러나, 일반적인 배리어 층, 에칭 정지부, 및 반사 방지막 재료는 7.0 보다 상당히 큰 유전 상수를 가지며, 이는 유전상수를 상당히 감소시키지 않는 결합된 절연체를 형성한다. 그러므로, 저유전상수를 갖는 기판내의 배리어 층, 에칭 정지부, 및 반사 방지막을 위한 보다 양호한 재료가 요구된다.
회로의 밀도가 변화되면, 부가적인 공정 변화도 요구된다. 예를 들어, 보다 정확한 패턴 에칭을 위해 포토리소그래피 공정을 개선시키기 위한 노력이 행해진다. 포토리소그래피는 기판 표면 상에 미세한 크기의 패턴을 개선시키기 위해 라이트(light) 패턴 및 일반적으로 유기 폴리머(포토레지스트 재료)를 사용하는 집적회로 제조 기술이다. 포토레지스트 재료로는 일반적으로, 예를 들어, 나프토퀴논 디아자이드(naphthoquinone diazide)를 포함한다. 많은 경우에, 기판을 포토리소그래피 공정으로 적합하게 처리하고 원치 않는 패턴을 피하기 위해, 패턴 층의 고반사율이 개선되어 라이트 레이(light ray) 반사는 감소되어야 한다. 반사율은 일반적으로 100 %의 값을 갖는 순수 규소와 같은 공지된 표준치에 대한 %로 표현된다. 하부 층으로부터의 이질적인 반사가 포토레지스트에 반사되어 원치 않는 영역에서 포토레지스트를 노출시킬 수 있다. 원치 않는 노출로 인해 형성되도록 의도된 라인, 바이어스, 및 다른 미세구조물을 변형시킬 수 있다. 후술될 다마신(damascene) 구조물의 반사율은 보다 양호한 포토리소그래피 공정의 필요성을 증가시켰다.
다층 구조물과 증가된 유전체의 사용으로, 증가된 반사율은 부정확한 에칭에 기여한다. 유전체 층은 본래 포토레지스트를 노광시키는데 사용되는 자외선에 반투명하다. 그러므로, 다마신 구조물에서 다층 절연체의 사용은 증가되고 원치 않 는 반사를 초래한다. 결과적으로, 반사 방지 코팅(ARC)이 에칭될 층 상에 증착되며, 여기서 ARC는 하부 층보다 낮은 반사율을 가지며 하부 층을 에칭시키는데 사용되는 동일 또는 유사한 화합물에 의해 에칭되는 박막의 희생 층이다. ARC는 이질적인 반사를 감소시키거나 제거하여 개선된 미세구조물의 치수와 정확도가 치밀하게 유지되며, ULSI 회로에 요구되는 증가된 회로 밀도를 나타낸다.
ARC 재료는 유기물 또는 무기물일 수 있으며, 미국 특허 제 5,710,067호에 개시되며, 본원에 참조되었다. 유기 ARC는, 다른 재료들 중에서, 스핀-온(spin-on) 폴리이미드와 폴리술폰을 포함하며, 일반적으로 매우 고가이며 무기 ARC 보다 복잡한 처리를 요구한다. 무기 ARC는 규소 질화물, 규소 산화질화물, α탄소, 티타늄 질화물, 규소 탄화물, 및 비정질 규소를 포함한다. 본 발명 이전에, 무기 ARC는 일반적으로 높은 k값에 의해 특징화되고 낮은 k값을 갖는 구조물에는 적합하지 않았다. 높은 유전상수를 갖는 ARC의 사용은 저유전상수를 갖는 적층부에 높은 유전상수를 갖는 재료를 부가한다는 점에서 저유전상수를 갖는 재료로 변화시키는 장점을 부분적으로 떨어뜨린다. 소정의 적용에서, 높은 유전상수를 갖는 ARC는 기판으로부터 제거될 수 있지만, 그러한 제거는 처리 과정을 복잡하게 한다. 유기 ARC가 사용될 수 있지만, 일반적으로 다소 고가이며 부가적인 공정을 요구한다.
도 1은 포토리소그래피 공정의 일부분으로서, 유전체 상에 증착된 양의 포토레지스트를 갖는 기판을 도시한다. 양의 포토레지스트는 빛에 노출된 영역에서 현상되지만, 음의 포토레지스트는 빛에 노출되지 않은 영역에서 현상된다. 집적회로(10)는 콘택트, 비아, 라인, 또는 트렌치와 같은 미세구조물(11)을 갖는 하부 기 판(12)을 포함한다. 본원에서, "기판"은 하부 재료를 나타내는데 사용되고, 배리어 층과 같은 해당 층 아래의 일련의 하부 층을 나타내는데 사용될 수 있다. 배리어 층(13)은 기판 상에 증착될 수 있으며, 유전체 층(14)이 뒤따른다. 유전체 층은 도핑되지 않은 규소 유리(USG), 플루오르가 도핑된 규소 유리(FSG), 또는 다른 소정의 저유전상수를 갖는 재료로서 공지된 도핑되지 않은 규소 이산화물일 수도 있다. 이러한 실시예에서, ARC(15)는 유전체 층 상에 증착되고, 포토레지스트 층(19)이 뒤따른다.
ARC의 목적은, 일반적으로 ARC 재료의 세 측면, 굴절률(n), 흡수율(k, "저유전상수"를 갖는 유전체의 "k"와 구별됨), 및 반사광의 위상 상쇄(phase cancellation)와 흡수를 형성하는 ARC의 두께(t)를 조절함으로써 소정의 반사된 광파를 감소시키거나 제거한다. 일반적으로, 소정의 n, k, 및 t값은 하부 층의 두께와 물성에 의존하며 각각의 특정 응용에 대해 조절을 요구한다. v. 4.05 "양/음의 레지스트 광학 리소그래피 모델"이라는 명칭과 같은 컴퓨터 시뮬레이션 프로그램은 n, k, 및 t값의 효과와 특정 층의 반사율을 시뮬레이팅한다. 그 결과가 분석되고 일반적으로 주사 전자 현미경(SEM) 기술을 통해 그 결과를 실제 조사하고 재검사한다. 다양한 n, k, 및 t값 중 적절한 조합이 적용에 있어서 반사광을 감소시키도록 선택된다. n, k, 및 t값은 각각의 응용과 각각의 기판 두께에 의존하기 때문에, 적절한 선택은 시간을 요하며 성가신 일이다. 게다가, 상기 선택은 하부 층의 좁은 두께 범위에만 응용될 수 있으며, 이는 기판마다의 증착 공정의 반복 가능성에서 부가적인 난점을 야기할 수도 있다.
도 2는 광원(23)이 포토레지스트 층(19) 상에 투영될 빛의 패턴을 한정하는 패턴화된 템플레이트(21, 마스크)를 통해서 자외선과 같은 빛을 방출하는 포토리소그래피 공정의 개략도이다. 빛은 노출된 영역(25)의 포토레지스트가 일반적으로 예를 들어 자외선에 노출되었을 때 유기 용매에 대한 용해도를 변화시킨다. 그러므로, 노출된 영역은 노출되지 않은 영역을 유지하면서 노출된 영역을 소킹(soaking) 또는 세정함으로써 제거될 수 있다.
도 3은 에칭 공정을 사용하여 기판 상에 형성된 미세구조물(27)을 갖는 기판의 개략도이다. 포토레지스트의 잔류물은 제거되고, 미세구조물은 적절한 정도로 에칭되며, 기판은 라이너, 유전체, 도전체, 또는 다른 층의 증착과 같은 후공정을 위해 준비된다.
상호연결부를 형성하기 위한 통상의 증착/에칭 공정은 보다 정확한 패턴화 에칭을 얻는 보다 높은 회로 밀도로 개선된다. 그러므로, 새로운 공정이 개발되고 있다. 예를 들어, 회로를 형성하는 통상의 방법은 블랭킷 도전체 층을 증착시키는 단계, 미세구조물을 패턴화하도록 도전체를 에칭하는 단계, 및 미세구조물을 유전체 재료로 채우는 단계이었다. 증가된 회로 밀도에 초점을 두어, 공정은 유전체 층을 증착시키는 단계, 미세구조물을 형성하도록 유전체 층을 에칭하는 단계, 및 비아, 라인, 및 다른 미세구조물을 형성하기 위해 미세구조물을 도전성 재료로 채우는 단계에 의해 어느 정도 전환되었다. 현재의 경향은 다마신 구조물을 사용하는 것이다. 이중 다마신 구조물에서, 유전체 층은 다층 기판 내의 콘택트/비아와 상호연결 라인 모두를 한정하도록 에칭된다. 금속은 한정된 패턴으로 들어가고 소 정의 초과 금속은 화학 기계적 연마(CMP)와 같은 평탄화 공정으로 기판의 상부로부터 제거된다.
도 4는 이중 다마신 공정의 한 실시예를 도시한다. 두 개의 유력한 구조가 현재 이중 다마신 구조물을 개발하는데 사용되며, 라인/트렌치는 비아/콘택트와 동시에 채워진다. "카운터보어(counterbore)" 구조에서, 집적회로(10)는 하부 기판(12)을 포함하며, 하부 기판은 기판 상에 배열된 일련의 층을 포함하며 미세구조물(11)이 형성된다. 배리어 층(13)은 기판 상에 증착되며, 유전체 층(14)이 후속된다. 라이너(22)가 요구되며, 일반적으로 Ta, TaN, Ti, TiN, 및 다른 재료이다. 유전체 층은 도핑되지 않은 규소 유리(USG), 플루오르가 도핑된 규소 유리(FSG), 또는 다른 저유전상수를 갖는 재료로 공지된 도핑되지 않은 규소 이산화물일 수도 있다. α-C, α-FC, 파릴렌(parylene), AF4, BCB, PAE, 산화질화물 또는 규소 탄화물과 같은 저유전상수를 갖는 에칭 정지부(16)가 약 200 Å 내지 약 1,000Å의 두께로 유전체 층(14) 상에 증착된다. 에칭 정지부 재료는 일반적으로 소정의 깊이에 도달되는 것을 보장하는 에칭 공정에서 에칭되고 소정의 유동성을 허용하는 유전체 층과 비교할 때 더 느린 에칭 속도를 갖는 재료이다. 소정의 특징화된 에칭 공정에서, 에칭 정지부는 불필요할 수도 있다. 또 다른 유전체 층(18)이 약 5,000 Å 내지 약 10,000 Å의 두께로 에칭 정지부(16) 상에 증착된다. 도 1의 ARC(15)와 유사한 ARC(15)가 유전체 층(18) 상에 증착되고, 도 1에 도시된 포토레지스트 층(19)과 유사한, 포토레지스트 층(도시 않음)이 뒤따른다. 포토레지스트 층은, 통상의 포토리소그래피 공정을 사용하여, 비아/콘택트(20a)를 위한 패턴을 형성하기 위해 노광된다. 상기 층은 플루오르, 탄소, 및 산소 이온을 사용하여 비아/콘택트(20a)를 형성하는 것과 같은 통상의 에칭 공정을 사용하여 에칭되며, 포토레지스트 층은 제거된다. 또 다른 포토레지스트 층이 라인/트렌치(20b)를 패턴하도록 증착되고 노광되며, 상기 층은 라인/트렌치(20b)를 형성하도록 에칭되며, 포토레지스트 층은 제거된다. 도전성 재료(20)는 비아/콘택트(20a)와 라인/트렌치(20b)에 동시에 증착된다. 도전성 재료(20)가 미세구조물을 채우면, 또 다른 배리어 층(24)이, 적용된다면, 다음 일련의 층을 위해, 구리와 같은 도전체의 확산 방지를 위해 증착될 수도 있다.
이중 다마신 구조물을 형성하는 다른 유력한 구조는 "자체 정렬 콘택트(self aligning contact, SAC)" 구조로 공지되어 있다. SAC 구조는 포토레지스트 층이 유전체 층(18)의 증착 전에 에칭 정지부(16) 상에 증착된다는 것을 제외하고는 카운터보어 구조와 유사하다. 에칭 정지부(16)는 비아/콘택트(20a)를 위한 패턴을 형성하도록 에칭된다. 포토레지스트 층은 제거되고 유전체 층(18)과 ARC(15)가 에칭 정지부 상에 증착되며, ARC(15) 상에 증착된 또 다른 포토레지스트 층이 뒤따른다. 포토레지스트는 라인/트렌치(20b)를 위한 패턴을 형성하기 위해 노광되고, 라인/트렌치(20b)와 비아/콘택트(20a)는 동시에 에칭되며, 포토레지스트 층은 제거된다. 도전성 재료(20)와, 요구된다면, 또 다른 배리어 층(24)이 증착된다. 이러한 구조물은 이중 다마신 구조물과 후술되는 다른 구조물을 위한 실시예이며, 특정 응용 분야에 대해 보다 적절할 수도 있다.
다마신 구조물과 같은 다층 구조물의 반사율은 ARC 재료의 성능을 요구된 정도로 상승시켰다. 이러한 구조물 이전에, 에칭될 상기 층은 일반적으로 빛의 노출에 투명하지 않은 단일 금속 층 상에 있었다. 그러므로, 하부 층으로부터 원치 않는 포토레지스트 노광은 포토레지스트 아래의 단일 금속 층에 실질적으로 제한되었다. 그러나, 다마신 및 다른 구조물에서, 도전체 층 상의 증가된 수의 층이 현재 다층 패턴으로 사용된다. 도전체 층 옆의 유전체 층과 다른 층은 빛의 노출에 비교적 투명하며 보다 높은 정도의 반사율은 상부 층의 포토레지스트 공정을 방해할 수 있다. 예를 들어, 라인과 비아/콘택트는 기판 상에 다른 정도로 나타난다. 상이한 미세구조물로부터 상이한 정도로 반사된 빛은 포토레지스트 층 배면에 상이하게 반사된 빛의 패턴을 나타내어 옳지 않다면 상술된 포토레지스트 상에 원치 않는 노광을 야기한다.
그러므로, 감소하는 최소 회선폭, 저유전상수를 갖는 적층의 강조, 구리의 사용, 및 복잡한 이중 다마신 구조물로 인해, 새로운 방법과 재료가 개선된 ARC 특성을 제공하기 위해 요구된다. 규소 질화물과 산화 질화물이 ARC로 사용되는 일반적인 재료이었지만, 상대적으로 높은 유전상수(7.0 이상의 유전상수)를 가지며 상호연결 라인 사이의 전기용량적 커플링을 상당히 증가시킨다. 전기용량적 커플링은 장치의 전체적인 성능을 퇴화시키는 누화 및/또는 저항 용량 지연, 즉, 저장된 에너지를 방산하는데 요구되는 시간을 야기할 수도 있다. 부가적으로, 규소 질화물과 산화질화물은 본 발명에 따른 재료와 비교할 때 상대적으로 불량한 확산 저항을 가진다.
새로운 재료를 찾을 때, 당업자는 소정의 응용 분야에 대해 규소 탄화물(SiC)의 포텐셜을 인식했다. 그러나 본 발명의 발명자의 지식에도, 어떠한 공급원(source)도 SiC를 사용하여 적절한 ARC, 배리어 층, 및 에칭 정지부를 찾지 못하고 개발하지 못했다. Foote 등에 의한 미국 특허 제 5,710,067호를 포함하는 소정의 공급원에 ARC로서 소정의 형태의 규소 탄화물이 개시되어 있다. 본 발명의 발명자의 지식에도, 이러한 통상의 방법을 사용하여 생산된 규소 탄화물은 저유전상수를 갖는 구조물에서 새로운 처리 요구사항을 효과적으로 만족시키지는 못했다. 예를 들어, 본원에 참조된 오가와(Ogawa)에 의한 미국 특허 제 5,591,566호에 개시된 화합물은 규소, 탄소, 및 수소의 분리된 공급원을 사용한다. 이러한 보다 통상의 접근 방식은, 특히 다마신 구조물에서, ULSI 노력의 강조점인 저유전상수에 대해 요구되는 것보다 높은 유전상수를 나타낸다. 본원에 참조된 칼리(Caley) 등에 의한 미국 특허 제 5,360,491호에 개시된 또 다른 실시예는 β-SiC로 표시되는 결정성 규소 탄화물로의 변환을 요구한다.
SiC를 언급하는 또 다른 참조사항은 본원에 참조된 엔도(Endo) 등에 의한 미국 특허 제 4,532,150호이며, 엔도는 SixC1 - xd의 특정식에 관해 언급하며, x는 기판 표면에 SiC를 제공하는 0.2 내지 0.9 범위의 양수이다. 엔도는 배리어 층, 에칭 정지부, 또는 ARC와 같은 SiC에 대해 개시하지 않으며, 실시예에 주어진 변수는 본 발명의 바람직한 또는 가장 바람직한 변수 이하이다. 본원에 참조된 로보다(Loboda)에 의한 미국 특허 제 5,465,680호는 CVD 챔버 내에서의 SiC 박막에 대 해 개시하지만, 약 600 ℃ 이하의 저온에서 박막을 형성하지는 못했다. 본원에 참조된 또 다른 참조사항인 볼즈(Bolz) 등에 의한 미국 특허 제 5,238,866호는 혈액과 개선된 양립성을 갖는 의학 분야에서 사용되기 위한 수소가 첨가된 규소 탄화물 코팅을 형성하는 메탄, 실란, 및 포스핀을 사용한다. 그러나, 이러한 참고문헌 중 어느 것도 배리어 층, 에칭 정지부, 또는 저유전상수를 갖는 ARC로 사용되는 다음의 처리 방식을 갖는 SiC에 대해 개시하지는 않는다.
그러므로, 특히 다마신 구조물에서 IC에 대해 저유전상수를 갖는 ARC로서 규소 탄화물을 사용하는 개선된 공정과, 특히 각각의 응용에 대한 적절한 값에 대한 실험을 필요로 하지 않고 n, k, SiC 층의 두께에 대한 설정 값을 갖는 SiC 물질에 대한 필요성이 존재한다.
본 발명은 일반적으로 IC 응용에 있어서 적합한 ARC를 형성하는데 사용되는 소정의 공정 변수를 갖고 실란 기저 재료를 사용하여 규소 탄화물을 증착시키는 방법을 제공한다. 배리어 층과 에칭 정지부와 동일한 재료가 복잡한 다마신 구조물 내에 사용되며 구리와 같은 고확산 도전체를 갖는다. 소정의 공정 변수 하에서, 규소 탄화물의 고정 두께가 하부 층의 다양한 두께 상에 사용될 수도 있다. 규소 탄화물 ARC의 두께는, 소정의 반사율을 유지하기 위한 각각의 하부 층에 대해 ARC 두께의 일반적인 조절 필요성과 대조적으로, 소정의 반사율에 대해 하부 층의 두께와 실질적으로 독립적이다.
기판 상에 규소 탄화물 반사 방지 코팅을 형성하기 위한 바람직한 공정 시퀀스는 규소, 탄소, 및 희가스를 처리 챔버의 반응 영역 내로 유입시키는 단계, 반응 영역 내에서 플라즈마를 시작하는 단계, 규소 탄화물을 형성하기 위해 플라즈마의 존재 하에서 규소와 탄소를 반응시키는 단계, 및 챔버 내에서 기판 상에 규소 탄화물 반사 방지 코팅을 증착시키는 단계를 포함한다.
본 발명의 또 다른 측면은 반도체 기판, 기판 상에 증착된 유전체 층, 및 약 7.0 바람직하게 약 6.0 이하의 유전상수를 갖는 규소 탄화물 반사 방지 코팅을 포함하는 규소 탄화물 반사 방지 코팅을 갖는 기판을 포함한다.
본 발명의 전술한 특징들, 장점들 및 목적들에 도달하는 방법이 자세히 이해될 수 있도록, 위에서 간략히 요약된 본 발명의 특정 설명에 대해서 참조 도면에 도시된 실시예를 참조했다.
그러나, 상기 첨부된 도면은 본 발명의 일반적인 실시예를 설명하는 것이지 본 발명의 범위를 제한하는 것으로 생각되어서는 안되며, 본 발명에 대해 동등한 효과를 갖는 실시예가 있을 수 있다고 이해해야 한다.
본 발명은 소정의 처리 영역을 따라 형성된, IC용으로 유용한 SiC 재료를 제공한다. 배리어 층 및/또는 에칭 정지부와 동일한 재료가 특히 도전성 재료와 같은 고확산 구리를 사용하는 IC에 있어서 사용된다. 본 발명은 SiC를 생산하기 위해 필요한 소정의 다른 탄소 공급원 또는 수소 공급원과 아마 독립적이며 아마 실질적인 산소량 없이, 규소 및 탄소 공급원으로서 유기실란의 사용을 포함하는 처리 영역을 제공한다. 처리 영역은 본 발명에 따른 SiC를 생산하는 플라즈마 여기 화 학 증착 챔버 내에 헬륨 또는 아르곤과 같은 희가스, 및 소정의 온도, 압력, 전력 공급을 포함한다. 이러한 특정 SiC 재료는 다마신 구조물과 같은 복잡한 구조물에 특히 유용할 수도 있다.
표 1은 ARC로서 소정의 일반적인 요구사항을 나타낸다. 후술되는 것처럼, SiC는 다중 작용에 사용되기 때문에, 표 1은 ARC, 배리어 층, 및 에칭 정지부로서 본 발명에 따른 SiC의 세 가지 이상의 바람직한 사용측면을 나타낸다.
ARC/배리어/에칭 정지부의 바람직한 특징
반사 방지 코팅 지수 n, k ㆍ다중 응용 적합성 ㆍ다중 목적의 사용 ㆍ특정 n, k에 대해 보유된 저유전상수 ㆍ적절 및 반복 가능성 ㆍ바람직하지 않은 반사의 제거 ㆍ다중 포토리소그래피 사용
구리에 대한 양호한 배리어 특성 ㆍ양호한 접착성 400 내지 450 ℃ 범위의 어닐링 단계에서 구리의 확산 없음
USG/FSG/다른 저유전체 상수를 갖는 재료에 관한 높은 에칭 선택도 ㆍ에칭 정지부 >20 : 1
저유전체 상수 ㆍIMD 적층에서 유효 유전체 상수(Keff)의 전체적인 감소
양호한 전기적 특성 ㆍ높은 파괴 전압 낮은 누설
생산성/제조가능성 ㆍ공정 안정성과 입자 제어 ㆍ작업 처리량의 개선, 예를 들어, 에칭 정지부 층의 증착과 함께 USG의 증착에 대한 즉시(In-situ) 공정
SiC가 ARC로서 사용된다면, 바람직한 특성은, 다양한 값이 선택될 수 있지만, 약 5 %이하의 저반사율을 얻기 위해 ARC의 흡수율 "k"와 두께 "t"와 조합된 적합한 굴절률 "n" 뿐만 아니라 상술된 저유전상수 측면을 포함하며, 본 발명의 전에 일반적으로 요구된 것처럼, 코팅은 각각의 응용에 대해 조절과 변화의 필요 없이 다중 응용에 사용될 수 있다. SiC를 생산하는 공정은 동일물을 제조하기 위해 안정하고 반복 가능해야 한다.ARC는 저유전상수의 특성으로 인해 기판 상에 유지되기 때문에, 예를 들어, 하부 유전체와 구리와 같은 도전체 재료 사이의 배리어 층으로서 작용한다. 그러므로, 배리어 특성은 이러한 예에서 중요하다. 층간 접착성은 층간 박리를 감소시키기 위해서 중요하며, 소정의 예에서, 층간 전기 용량과 저항을 감소시키기 위해 중요하다. ARC가 배리어로서 사용될 때, 재료는 기판의 어닐링 온도, 예를 들어, 400 내지 450 ℃ 범위에서 실질적인 확산이 없어야 한다. "실질적인 확산이 없다"라는 용어는 기능적인 용어로 의도된 것이어서, 층 내로의 실제 확산은 배리어 층 및/또는 에칭 정지부로서 작용하는 층의 성능에 영향을 주는 것보다 작다. 예를 들어, 본 발명에 따른 SiC는 확산을 약 250 Å으로 제한한다. 구리의 확산은 소정의 전류 및 전압 경로를 손상시킬 수도 있으며 혼선을 야기할 수도 있다. 상술된 것처럼, 감소하는 최소 배선 폭 때문에, 유전상수가 바람직하게 7.0 이하로 낮아지면, 장치의 전체적인 성능을 퇴화시키는 혼선과 저항 용량 지연의 가능성을 작게 한다. 저유전상수는, 통상적으로 배리어 층의 재료로 사용되었던 규소 질화물(7.0 이상의 유전상수)의 유전상수보다 작은 유전상수를 갖는 재료로 한정된다. "유효" 유전상수는 저유전상수와 관련되며, 다중으로 적층된 기판의 복합 유전상수이다. 유효 유전상수는 층의 두께, 층의 유전상수, 미세구조물 사이의 공간, 및 미세구조물의 치수와 같은 인자에 기초한다. 아반트(Avant)사에 의해 제조되어 사용되고 있는 "라파엘(Rafael)"과 같은 소프트웨어는 예상된 유효 유전상수를 계산하는데 사용될 수도 있다. 예를 들어, 저유전상수를 갖는 유전체 층의 일반적인 값은 약 2.7이다. SiN 층은 7.0의 유전상수값을 가질 수도 있다. 저유전상수 재료로 SiN 재료를 사용하는 것은 복합 재료의 유효 유전상수값을 증가시켜 저유전상수를 갖는 재료를 사용하는 장점을 상쇄시킬 수도 있다. 비교를 위해, 본 발명에 따른 5이하, 바람직하게 4.2의 유전상수값을 갖는 SiC를 사용하는 것은 저유전상수를 갖는 재료로부터 얻을 수 있는 장점을 허용한다. 복합 재료 구조물에 대해 요구되는 유효 유전상수값은 약 5.0 이하, 가장 바람직하게는 3.0 이하이다.
SiC는 다마신 구조물에 사용되고 본 발명의 한 실시예로 후술되는 것처럼 이중 목적인 ARC와 에칭 정지부로 작용하기 때문에, USG, FSG, 또는 다른 저유전상수를 갖는 재료에 관해서 20 대 1 이상의 에칭 선택 비율과 같은 적합한 에칭 정지부로서의 특징을 갖는 것은 유리하다. 부가적으로, 재료는 2 MV 이상의 높은 파괴 전압, 즉, 유해한 전류 통과를 허용하는 분자 파괴의 전압 변화를 가져야 한다. SiC는 층을 통해 낮은 누설 특성, 즉, 재료를 통해 전기 용량적으로 유동하는 낮은 공전 전류를 가져야 한다.
상업적 관점으로부터 또 다른 요구 특성은 재료의 증착이 재료를 중간 오염 환경에 노출시키지 않고 현장에서(in-situ), 즉 플라즈마 챔버 또는 집적 클러스터 장치의 배열과 같은 소정의 챔버 내에서 수행되는 것이다. 이러한 측면은 산화에 대한 신속한 민감성 때문에 구리 전도체에 특히 중요하다.
표 2는 SiC 재료가 배리어 층과 에칭 정지부 뿐만 아니라 ARC로서 사용되는 200mm 웨이퍼 증착 반응실에 사용되는 본 발명에 따른 공정 변수를 나타낸다. 본 발명의 바람직한 실시예에서, 규소와 탄소는 실란 기저 화합물과 같은 통상의 화합물로부터 유도되었다. 그러나, 탄소는 메탄과 같은 다른 화합물로부터 제공될 수 있다. 제한 없이, 적합한 실란 기저 화합물은, 메틸실란(CH3SiH3), 프로필실란[(CH3)2SiH2], 트리메틸실란[(CH3)3SiH], 디에틸실란[(C2H5)2SiH2], 프로필실란(C3H8SiH3), 비닐 메틸실란[(CH2=CH)CH3SiH2], 1,1,2,2-테트라메틸 디실란[HSi(CH3)2-Si(CH3)2H], 헥사메틸 디실란[(CH3)3Si-Si(CH3)3], 1,1,2,2,3,3-헥사메틸 트리실란[H(CH3)2Si-Si(CH3)2-SiH(CH3)2], 1,1,2,3,3-펜타메틸 트리실란[H(CH3)2Si-SiH(CH3)-SiH(CH3)2], 및 다른 실란 관련 화합물을 포함할 수 있다. 본 발명의 목적을 위해, 여기서 사용되는 "유기실란"이란 용어는 다른 지시가 없다면, 선행 리스트를 포함하는, 부착된 하나 이상의 탄소 원자를 갖는 소정의 실란 기저 화합물을 포함한다. 표 2에서, 사용되는 화합물은 트리메틸실란과 메틸실란이었다. 다른 가스가 사용될 수 있었지만, 헬륨과 아르곤과 같은 희가스가 존재했고 공정의 안정화를 돕는다.
본 발명의 발명자는 후술되는 처리 영역이 배리어 층 및/또는 에칭 정지부 뿐만 아니라 ARC의 표 1의 소정 이상의 특징을 충족시키는 SiC 재료를 제공한다는 것을 발견했다. 처리 영역을 사용하면, SiC는 약 7.0 이하, 바람직하게는 약 6.0 이하의 낮은 유전상수를 갖는다. 중요하게, 여기서 설명되는 SiC의 특성으로 인해 보다 얇은 층이 증착되게 할 수 있다. 본 발명에 따른 기판의 유효 유전상수는 약 5.0 이하이다. 이러한 유효 유전상수는 적합한 구리 기저 IC의 필요성을 충족시키고 상술된 규소 질화물 재료와 대조된다. ARC에 대한 상부 층으로서, 본 발명의 한 실시예에서 SiC는 SiC ARC의 두께를 조절할 필요 없이 하부 유전체 두께의 다양한 범위를 허용한다. 또한, 다마신 구조물에서, 본 발명에 따른 SiC는, 일반적으로 포토리소그래피에서, 상부 ARC 층의 필요 없이 에칭 정지부와 ARC의 조합으로서 사용될 수도 있다. 이러한 특정 SiC 재료는 저유전상수, 에칭 정지부 재료로서 사용되기에 적합하다. 여기서 저유전상수를 갖는 재료는 규소 질화물(7.0 이상의 유전상수)의 유전상수보다 낮은 유전상수를 갖는 재료로 한정된다. 여기서 저유전상수를 갖는 에칭 정지부 재료는 규소 질화물의 유전상수보다 작은 유전상수를 가지며 유전체 재료에 관해 20 대 1 이상의 에칭 선택도의 관련 산화물을 갖는 에칭 정지부 재료로 한정된다. 이러한 비율은 에칭 공정에 대해 보다 양호한 제어를 허용하며 다마신 구조물과 같은 복잡한 구조물을 에칭할 때 특히 유용하다. 또한, 구리의 확산 제한이 배리어 층에서 약 200 내지 250 Å 깊이라고 도시하는 시험 데이터에 의해 본 발명에 따른 SiC 재료는 구리에 대해 높은 저항을 갖는다. 도 15 및 도 16에 도시된 본 발명의 한 실시예에서, ARC는 에칭 정지부 없이 ARC로서 작용하는 배리어 층일 수도 있다.
변수
범위 바람직한 범위 가장 바람직한 범위
규소 (3MS 또는 MS-sccm) 10 내지 1000 30 내지 500 50 내지 200
탄소 (3MS 또는 MS-sccm) 10 내지 1000 30 내지 500 50 내지 200
희가스 (헬륨 또는 아르곤-sccm) 50 내지 5000 100 내지 2000 200 내지 1000
압력(Torr) 1 내지 12 3 내지 10 6 내지 10
고주파 전력(와트) 100 내지 1000 300 내지 700 400 내지 600
전력 밀도(와트/㎠) 0.11 내지 2.2 0.67 내지 1.55 0.88 내지 1.33
주파수(㎒) 13.56 13.56 13.56
온도(℃) 100 내지 450 200 내지 400 300 내지 400
공간(Mils) 200 내지 600 300 내지 600 300 내지 500
공정의 실시예 - ARC/ 배리어 층/에칭 정지부
배리어 층 및/또는 에칭 정지부로서 작용하는 ARC를 형성하기 위해, 트리메틸실란 또는 메틸실란과 같은 규소 공급원이 플라즈마 반응실, 특히 당업자에게 공지된 "샤워헤드"와 같은, 기판 표면과 가스 분사 소자 사이에 일반적으로 있는 챔버 내의 반응 영역에 공급된다. 산타클라라 소재의 어플라이드 머티어리얼스(Applied Materials)사로부터 제조된 것과 같은 일반적인 통상의 플라즈마 여기 화학 증착(PECVD) 챔버에 있어서, 30 내지 500 sccm의 규소 공급원의 유동 속도가 사용된다. 통상의 PECVD 챔버의 시퀀스와 작동은 잘 공지되어 있으며 본 발명의 처리 영역에 대한 설명이 필요 없다. 탄소는 트리메틸실란 또는 메틸실란으로부터 유도되며, 다른 탄소 공급원과 독립적이다. 반응은 반응 영역으로 유입된 실질적인 산소의 공급 없이 발생한다. 규소 및 탄소 공급원과 함께, 헬륨 또는 아르곤과 같은 희가스는 약 100 내지 2000 sccm의 속도로 챔버 내로 유동된다. 챔버의 압력은 약 3 내지 10 토르 범위로 유지된다. 단일의 13.56 ㎒의 고주파 전력 공급원은 약 0.67 내지 1.55 와트/㎠의 전력 밀도로 약 300 내지 700 범위로 양극과 음극에 가하여 실란 기저 가스로 채워진 챔버 내에서 플라즈마를 형성한다. SiC의 증착 중에, 기판 표면의 온도는 약 200 ℃ 내지 400 ℃ 범위로 유지된다. "샤워헤드"와 같은 가스 분사 소자로부터의 가스 분사가 샤워헤드에서 약 300 내지 600 mils 범위의 거리에 위치한 기판으로 분사된다.
보다 적절하고, "가장 바람직하다고" 지칭되는 처리 영역에 있어서, 표 2에 나타난 것처럼, 트리메틸실란 또는 메틸실란의 유동 속도는 약 50 내지 200 sccm 범위로 조절되고, 헬륨 또는 아르곤의 유동 속도는 약 200 내지 1000 sccm 범위로 조절되며, 챔버 압력은 약 6 내지 10 토르 범위로 유지되며, 고주파 전력은 약 0.88 내지 1.33 와트/㎠의 전력 밀도에서 약 400 내지 600 와트로 조절되며, 기판 표면 온도는 약 300 ℃ 내지 400 ℃ 범위로 유지되며, 기판에서 샤워헤드는 약 300 내지 400 mils 범위로 위치된다.
바람직하고 가장 바람직한 공정 영역에 의해 개발된 특징은 일반적으로 수용되는 규소 탄화물의 특징과는 다르다. 이러한 변수에서, 상이한 결합 구조물은, 후술되는 도 6에 도시된 선행 기술의 SiC와 비교할 때, 도 5에 도시된 본 발명의 SiC에서 발생한다. 상기 차트는 푸리에 변환 적외선(FTIR) 차트이며, 결합 구조물을 표시하는 표준 실험 시험 중의 하나이며, 당업자에게 공지되어 있으며 상세한 설명을 요하지 않는다. 다양한 파동수에서 다양한 피크는 특정 구조물이며 이 그래프는 특정의 틈간 결합 구조물의 지표이다.
도 5는 본 발명에 따른 SiC에 대한 FTIR을 도시한다. 트리메틸실란을 갖는 표 2의 가장 바람직한 공정 변수의 범위를 사용할 때, CH2/CH3, SiH, SiCH3, Si-(CH2)n, 및 SiC를 포함하는 결합 구조물에 증착이 야기된다. 도 6은 실란과 메탄을 사용하여 증착된 선행 기술의 SiC와의 비교값을 도시한다. 도시된 것처럼, Si-(CH2)n에 대한 대응 피크가 없으며 SiCH3에 대한 피크는 현저하지 않다. 본 발명에 따른 SiC는 선행 기술의 공지된 SiC의 증착보다 양호한 ARC/배리어 층/에칭 정지부의 성능을 제공하는 예상치 않은 결과를 야기했다. 이러한 특성은 SiC가 여기서 개시된 다중 성능에 사용될 수 있게 허용한다.
도 7 내지 도 20은 본 발명에 따른 SiC ARC의 다양한 특성을 도시한다. 도 7은 표준 633㎚ 파장의 노출광(exposure light)을 사용하여 상이한 재료를 사용하여 유전상수 대 굴절률을 비교한 시험 결과의 그래프이다. x 축은 상술된 굴절률(n)을 표시한다. x 축 상에 더 낮은 값이 바람직하며 보다 양호한 광학적 성질과 투명도를 나타낸다. y 축은 유전상수를 표시한다. y 축 상에 더 낮은 값이 "낮은 유전상수"를 갖는 기판 적층을 얻는데 바람직하다. 예를 들어, SiN은 일반적으로 약 2.0의 n 값과 7.3의 유전상수값을 가지며, 이는 낮은 유전상수의 응용에 대해 부적절하다. 현재의 최첨단 기술에 의한 ARC는 규소 산화질화물의 한 형태인 다크(DARC, 등록 상표)이지만, 유전상수는 248 ㎚ 파장의 노출에서 약 2.2의 n에서 약 8.5 내지 9.0이다. 본 발명에 따른 바람직한 SiC는 약 4.2의 유전상수를 갖는다.
SiC #1은 특히 분리된 메탄/에탄/프로판 및 2원자 수소를 갖는 실란을 사용하여 상술된 오가와에 의한 미국 특허 제 5,591,566호에 개시된 것과 같은 SiC를 제조하는 통상의 화합물을 사용한 시험 결과와 일치한다. 이러한 SiC는 약 2.4의 n값과 약 7.8의 유전상수를 가지지만, 저유전상수를 갖는 소자 내에서의 증착에 대해서는 바람직하지 않다. 이러한 통상의 SiC 화학물의 공정 변수를 변화시킨 사내 시험 결과는 여기에 개시된 본 발명에 따른 화합물을 변화시킴으로써 얻어진 결과를 나타내지 않았다.
SiC #2는 본 발명에 따른 화합물을 사용하여 증착된 SiC이다. n값은 약 2.3이고 유전상수는 약 5.1이며, 이는 상기의 통상의 공정에 의해 제조된 SiC #1보다 양호하다. 표 2에 상술된 가장 바람직한 변수를 사용하여, SiC #3은 보다 양호한 광학적 특성, 즉, 약 4.2의 유전상수를 갖고 도 6의 633㎚의 노출 파장에서 약 1.9의 n값을 나타냈다. 그러므로, 광학적으로 및 저항적으로, 본 발명에 따른 SiC는 배리어 층 및 에칭 정지부 뿐만 아니라 ARC로서 사용될 수 있는 저유전상수를 갖는 구조물에 대한 현재의 강조점에 대해 적합하다. 중요하게, 통상의 고유전상수를 갖는 SiC와 대조적으로, 본 발명에 따른 SiC는 적층의 저유전상수 특성을 보존하기 위해 포토레지스트가 노광되고 기판이 에칭된 후에 층으로부터 제거될 필요가 없어서, 보다 작은 처리 단계를 야기한다.
도 8은, 일반적으로 포토레지스트 공정에서 사용되는 248 ㎚ 파장의 노출을 사용하여, 두 가지 재료에 대한 굴절률(n)과 흡수율(k)의 그래프이며, 상기 그래프는 본 발명에 따른 SiC가 상이한 n과 k값으로 조절될 수 있고 규소 산화질화물 ARC와 비교를 도시한다. 규소 산화질화물 ARC는 약 70 °의 가파른 경사와 약 9의 높은 유전상수를 가지며, 이는 작은 n의 변화에 대해 급격한 k의 증가 때문에 각각의 n과 k값을 제어하기 어렵다. 비교상, 약 4.5의 유전상수를 갖는 본 발명에 따른 SiC는 그래프 상에서 35 °상향 기울기의 선에 근접하는 평평한 곡선을 가져, n값의 증가는 그래프 상에서 상대적인 k값의 증가를 야기하여, 보다 제어 가능한 공정을 나타낸다. 더 높은 흡수율이 이질 반사를 양호하게 흡수하는데 바람직하지만, 더 높은 흡수율을 얻을 때, 유전상수는 선의 상방향 기울기에 따라 증가한다. 그러므로, 소정의 특정 실시예에 대해 소정의 광학적 특성과 유전상수 사이에 균형이 있다. 실험치를 통해, 발명가는 본 발명에 따른 SiC에 대한 적절한 값과 상대적으로 낮은 유전상수와 안정한 처리 영역을 갖는 가장 바람직한 값은 도 8의 248 ㎚ 파장의 노출에서 약 2.2의 n값이며 k값에 대해 약 0.4라고 믿는다. 그래프에서, 248 ㎚ 파장의 노출에서 n과 k 지수 사이의 관계는 선형을 나타내며 여기서 개시된 공정 변수를 사용하여 아래 방정식에 근접한다.
k/0.65 + 1.57 = n
흡수율(k)은 약 0.2 내지 약 1.0 범위로 변하며, 일반적으로 포토리소그래피에 상업적으로 사용되기 위해서는 약 0.3 내지 1.0 범위이다. 상기 방정식은 본 발명에 따른 SiC의 n과 k 특성의 대표적인 식이며 이미 상이한 노출 파장에 대해 전환될 수 있다. 도 8의 x 축 값에서 시작할 때, n과 k의 관계인 SiC의 기울기는 약 35 °로 도시된 기울기로 도시된 것처럼, 약 20 °내지 약 60 °범위로 변한다.
중요하게, 규소 산화질화물 ARC의 유전상수는 SiC의 약 두배이며 SiC는 거의 동일한 n과 k값을 가진다. 다르게 표현하면, 본 발명에 따른 SiC를 사용하는 것은 규소 산화질화물 ARC의 광학적 특성에 근접시킬 수 있으며 유전상수를 약 50 % 감소시킨다. 저유전상수를 갖는 적층에서, 상기 차이는 중요하다.
도 9는 배리어 층, 에칭 정지부, 및 ARC로서 본 발명에 따른 SiC를 사용한 적층의 개략도이다. 유전체 층(60)은 콘택트(62)를 가지며, 상기 콘택트는 구리 재료이다. 약 500 Å의 두께를 갖는 SiC의 배리어 층(64)은 유전체 층(60)과 콘택트(62) 상에 증착된다. 약 5000 Å의 두께를 갖는 USG 층과 같은 유전체 층(66)은 배리어 층 상에 증착된다. 다시 약 500 Å의 SiC 재료의 에칭 정지부(68)는 유전체 USG 층 상에 증착되고, 또 다른 유전체 층(70)이 따르며, 상기 유전체 층(70)은 약 7000 Å의 두께를 갖는 USG 재료일 수도 있다. 본 발명의 이러한 실시예에서, 약 600 Å의 두께를 갖는 SiC의 ARC(72)는 선행의 USG 층 상에 증착되고, 포토레지스트 층(74)이 따른다. 도 1 내지 도 3에 도시된 것처럼, 포토레지스트는 마스크를 통해 노광되고, 원치 않는 부분은 제거되며, 층이 에칭되어 미세구조물과 라이너, 배리어, 및 도전성 층과 같은 증착 층을 제조한다. 두께, 층의 수, 및 정렬은 변할 수 있으며 상기 실시예는 예시적인 것이다.
도 10은 v.4.05의 "양/음의 레지스트 광학 리소그래피 모델"이라는 명칭의 컴퓨터 시뮬레이션 프로그램을 사용하여 층 두께의 상이한 조합의 반사율 값을 투영한 반사율 경사의 시뮬레이션 그래프이다. 시뮬레이션 그래프는 증가속도에서 기판의 반사율을 예상하는데 사용되며, 인접한 층의 두께에 대한 한 층의 두께의 반사율에 대한 영향을 지도화하는 반사율 지형을 나타낸다. 도 10에서, 각각의 경사는 최소 2 %의 반사율에서 최대 16 %의 반사율로 2 %씩 증가하도록 설정된다. 상기 도면에서, x 축은 하부 층, 즉, 도 9의 유전체 층(70)의 두께이다. y 축은, 도 9의 ARC(72)에 대응하는, ARC로서 사용된 SiC의 두께이다. 낮은 반사율을 얻으려는 목적은, 이 경우에, 포토레지스트 층과 ARC 사이의 포토레지스트 인터페이스에서 기판으로부터의 이질 반사를 최소화시키는 것이다. 광학적 반사율 값은 0 %이지만, 어플라이드사의 기술자들은 약 7 % 이하의 반사율은 포토리소그래피 공정의 반복 가능성을 보장하기에 바람직한 약 5 % 이하의 목적에 상업적으로 수용할 수 있는 결과를 제공한다는 것을 알았다. 소정의 실시예에서 10 %의 반사율은 수용될 수 있고, 10 %의 반사율은 기판 내의 미세구조물의 현재 크기와 밀도에 실제적인 제한이다. 그러므로, 하부 층의 두께가 알려지고 x 축상에 선택된다면, y 축상에서의 대응되는 바람직한 ARC 두께는 5 %와 같은 선택된 반사율 이하를 갖는 ARC 두께에 위치시킨다고 예상될 수 있다. 예를 들어, 도 10의 범위(76)로 도시된 약 6500 Å 내지 약 6750 Å범위의 유전체 두께는 예상한대로 반사율 표준보다 5 % 이하를 충족시키기 위해 ARC의 약 200 Å을 필요로 할 것이다. 그러나, 좁은 범위의 유전체 증착은 여전히 제조하기 어려우며 층 사이의 전기적 고립에 대한 장치의 요구사항을 충족시키지 못할 것이다. 더욱이, 구리가 에칭 후에 ARC 상에 증착되었다면, 200 Å 층은 구리에 대해 배리어 층으로서 불충분할 것이다. 그러므로, 광학적으로, ARC 층이 충분하다면, 상술된 다른 특성이 고려되어야 할 것이다. 상기 도면에서, 약 500 Å이상의 ARC 두께는 그래프에서 유전체 층의 두께 범위에 걸쳐 5 % 이하의 반사율을 나타낼 것이다. 역으로, 유전체 층의 두께가 주의 깊게 조절된다면, ARC 층의 두께는 변화되거나 최소화될 수 있다. 예를 들어, 약 100 Å 또는 약 1.5 % 차감하여, 약 6600 Å 두께의 유전체는 50 Å 이상의 ARC 두께를 가질 수 있으며 5 % 이하의 반사율의 광학적 변수를 충족시킨다. 그러므로, 본 발명에 따른 SiC는 배리어 층, 에칭 정지부, 및 ARC를 제공하는 다중 목적의 재료에 대한 요구를 만족시키며 단일 ARC의 두께가 소정의 반사율에 대해 다중 유전체 두께의 광학적 필요성을 충족시킨다는 점에서 다중 응용 재료에 대한 요구를 만족시킨다.
본 발명의 바람직한 실시예에서, 약 600 Å의 바람직한 두께를 갖는 층은, 그래프의 값(78)으로 나타낸, 유전체 층의 두께의 전체 스펙트럼을 가로질러 최하의 반사율 값 중 하나를 제공한다. 중요하게, 발명자는 본 발명에 따른 SiC의 독특한 특성을 가지면서, 하부 층의 두께와 실질적으로 독립적인 ARC가 증착될 수 있다는 것을 발견했다. 범위는 약 500 Å 내지 약 1000 Å 이상이며, 약 600 Å의 바람직한 두께는 바람직하게 5 % 범위 이내에서 약 2 % 이하의 예측된 반사율을 가진다. 이러한 발견은 ARC 층의 n, k, 및 t특성을 각각의 응용에 대해 하부 층의 특정 두께에 조절하는 일반적인 필요성과 대조된다. 여기서, 본 발명에 따른 SiC를 사용하면, ARC 층은, 하부 층의 두께에 불구하고, 약 600 Å의 일관된 증착 두께일 수도 있다. 특정 응용에서 유용성을 찾을 수도 있기 때문에, 그래프는 다른 적절한 범위에 대해 분석될 수도 있다.
본 발명에 따른 SiC ARC(72)는 연마 정지부로 사용될 수도 있다. 적층이 에칭되고 미세구조물이 도전체 재료로 채워진 후에, 소정의 처리 방법으로 기판 표면의 상부면을 연마하여 초과 도전체 재료를 제거하고, 적용 가능하다면, 다음 증착을 위해 준비된 상부면을 평탄화한다. 일반적으로, 기판은 당업자에게 공지된 화학 기계적 연마(CMP) 공정에 의해 연마된다. CMP 공정은 하부의 연마 저항 층과 만나기 때문에, CMP 공정은, 예를 들어, 연마의 한계를 결정하기 위해 상이한 재료에 대해 연마 속도의 차이를 사용한다. 본 발명으로, SiC ARC(72)는 연마 정지부로 사용된다. SiC ARC의 저유전상수 때문에, ARC는 일반적으로 기판 상에 잔류하며 낮은 유효 유전상수를 갖는 기판을 유지하기 위해 제거될 필요가 없다. 그러므로, 도전체 재료는 ARC 상에 증착되어, 미세구조물을 채운다. CMP 공정은 SiC 상에 소정의 과잉 도전체 재료 또는 소정의 다른 재료를 제거하는데 사용된다. CMP공정은 SiC ARC를 만날 때 연마 속도의 차이를 결정하기 때문에, CMP 공정은 불연속적이다.
또한, SiC ARC 재료는 수분 배리어로서 사용될 수도 있다. CMP 공정은 일반적으로 습윤 공정이다. 수분은 기판 회로를 파괴시킬 수 있기 때문에, 소정의 층은 수분에 저항할 필요가 있다. 예를 들어, SiC ARC가 연마 정지부로, 그후 상부 층으로서 사용된다면, SiC ARC는 바람직하게 수분 배리어로서 작용한다.
도 11은 본 발명의 SiC ARC(72) 상에 증착된 패턴화된 포토레지스트 층(74)의 단면을 도시한 주사 전자 현미경 사진의 선도이다. 도 11은 도 9에 도시된 실시예의 포토리소그래피의 결과를 도시하며, 여기서 ARC는 포토레지스트 층의 증착과 포토리소그래피 공정에 앞서 기판의 상부 층으로 고려된다. 포토레지스트 층(74)에서 라인(80)의 폭은 현재 미세구조물의 크기를 대표하는 약 0.25 미크론이다. 본 발명에 따른 SiC ARC(72)를 이용하여, 포토레지스트 층(74)의 포토리소그래피 패턴화는 균일하고 곧은 라인(82)을 나타냈으며, 이는 ULSI의 감소된 최소 배선 폭을 위해 중요한 것이다. 미세구조물에서 패턴화는 균일하고 직선의, 정방형 측벽(84)을 가지며, 즉, 정상파는 이질의 광 반사로부터 영향을 받지 않으며, 완전히 노출된 바닥(86)과 정방형 코너(88)는 코너에서 실질적인 둥근 "푸팅(footing)"이 없다. 라인 사이의 포토레지스트 폭(90)의 최소값에서 최대값의 변화는 처리에 있어서 표준 수용 범위인 5 % 이하이다. 라인으로부터 라인까지의 반복가능성이 도시된다. 그러므로, 패턴화된 포토레지스트 층의 균일성은, 상술된 규소 산화질화물 ARC와 같은 다른 ARC 재료와 대조적으로, 본 발명에 따른 SiC ARC가 작은 미세구조물을 갖는 포토리소그래피 처리된 기판을 생산할 수 있으며 여전히 저유전상수값을 보유할 수 있다.
도 12는 끓는 물에 30분 동안 노출된 SiC 재료의 수분 시험의 FTIR 결과를 도시한다. 노출 전의 수분 결과의 상부 라인은 동일한 그래프 상에 모든 라인을 조망하기 위해 노출 후의 수분 결과의 하부 라인으로부터 오프셋된다. 시험 결과는 본 발명에 따른 SiC가 CMP 공정을 걸쳐 수분 배리어로서 작용하고 수분 배리어 측면을 잘 만족시키는 것을 나타낸다. 수분의 정도는 특히 파동수 1640에서 특히 주목되며, 이는 H-OH 피크이며, 상기 결과는 실질적으로 동일하며, 실질적으로 흡수된 수분이 없음을 나타낸다.
도 13은 분리된 ARC를 사용하지 않지만, ARC, 즉, 여기서 유전체 층(66, 70) 사이의 에칭 정지부(68)로서 작용하는 인접 층 사이에 본 발명에 따른 SiC의 특성에 의존하는 도 9의 선택적인 실시예이다. 층과 번호는 도 9에 도시된 정렬에 대응하며, 차이는 포토레지스트 층(74) 아래에 ARC(72)가 없다는 것이다. 이러한 실시예에서, SiC 에칭 정지부(68) 상의 유전체 층(70)의 두께는 투영된 반사에 대해 유전체 층(66, 70) 사이의 SiC 에칭 정지부(68)의 두께에 따라 조절된다. 유전체 층(66)의 두께는 일정하게 유지된다. 포토레지스트 층(74)은 상술된 것처럼 노출된다. 배리어 층(64)은 약 500 Å이다. 그러나, 기판은 상부 유전체 층(70) 아래의 SiC 에칭 정지부(68)의 반사 및 흡수 특성에 의존한다. 그러므로, 두 층의 두께는 소정의 투영된 반사에 대해 상호 의존적이다. SiC 에칭 정지부 두께의 적절한 선택은, 도 14에 도시된 것처럼, 이러한 배열을 안정하게 만든다.
도 14는 에칭 정지부(68)와 비교된 상부 유전체 층(70)의 두께를 도시하는, 도 13의 실시예의 반사 지도이다. y 축은 유전체 층(70)의 두께이며 x 축은 SiC 에칭 정지부(68)의 두께이다. 축은 도 10의 반사 지도와 반대인데, 이러한 실시예에서 상부 층이 유전체 층(70)이기 때문이다. 도 10에서의 논의와 유사하게, 적절한 두께가 약 5 % 이하와 같은 소정의 반사 비율에 대해 선택된다. 예를 들어, 50 Å 차감하여, 약 150 Å의 에칭 정지부 두께는 광학적으로 유전체 층(70)의 도 14에 도시된 모든 두께에 대한 요구사항을 만족시키고 약 5 % 이하의 반사율을 가진다. 그러나, 150 Å의 SiC 층은 구리 배리어 층으로서 작용하기에는 바람직하지 않게 얇다. 에칭 공정에서 제어 요소와 같은 요소, 또는 배리어 특성은 결국 에칭 정지부에 대한 적절한 두께와 반사 방지 코팅의 광학적 특징에 대한 선택적인 두께가 필요한지를 결정한다.
또한, 약 720 Å 두께의 SiC 에칭 정지부는 약 6500 Å 또는 약 7300 Å 두께의 상부 유전체 층과 함께 사용될 수 있다. 반사 패턴은 이러한 영역에서 반복되기 때문에, 챠트되지 않은 다른 층의 두께가 사용될 수 있으며 도 14와 다른 유사한 도면에 도시된 두께는 상업적인 실시예에서 사용되는 일반적인 두께이다. 높은 정도의 반사율, 예를 들어 6 %가 허용된다면, 약 720 Å의 에칭 정지부는 도 14에 그래프로 나타난 모든 두께에 대한 반사율의 광학적 요구사항을 만족시킨다. 역으로, 유전체의 두께가 처음 선택되고 기인된 에칭 정지부가 결정되면, 예를 들어, 정밀한 허용도를 갖는 약 6600 Å 및 약 7400 Å의 유전체 두께는 약 5 % 이하의 반사율을 가지며 약 100 Å 내지 약 350 Å 범위의 에칭 정지부 두께를 허용한다. 도면의 경사를 사용하여, 다른 값이 결정될 수도 있다. 중요하게, 이러한 예는 ARC로 작용하는 SiC가 투영된 또는 선택된 반사율에 대해 유전체 사이에 있을 때, 에칭 정지부의 두께와 에칭 정지부에 인접한 유전체 층이 서로에 대해 고려되어야 한다는 것을 나타낸다.
도 15는 에칭 정지부 아래의 하부 유전체 층의 두께와 비교된 에칭 정지부의 두께를 도시하는 도 13의 실시예의 또 다른 반사 지도이며, 여기서 에칭 정지부(68) 하부의 유전체 층(66)은 투영된 반사에 대해 에칭 정지부(68)의 두께에 관해 조절된다. 여기서, 유전체 층(70)은 7000 Å과 같은 소정의 두께를 유지하며, 에칭 정지부(68)와 유전체 층(66)의 두께는 특정 반사율에 대해 결정된다. 도 15에서, 에칭 정지부(68)는 유전체 층(66)에 관해 상부 층이기 때문에, 에칭 정지부의 두께는 y 축상에 나타나고 유전체 층(66)의 두께는 x 축 상에 나타난다. 예를 들어, 500 Å의 SiC 에칭 정지부에 있어서, 유전체 층(66)의 두께는 5 % 이하의 반사율을 유지하기 위해 약 4600 Å 또는 약 5400 Å일 수 있다. 그러나, 유전체 층(66)의 두께는 유전체 층(70)의 상이한 두께에 대해 변한다. 그러므로, 반복 해법이 다양한 공정의 요구사항을 충족시키고 약 5 % 이하의 반사 목표를 총괄적으로 만족시키는 각각의 유전체 층에 대한 두께를 찾도록 요구된다.
도 16은 에칭 정지부가 없는 도 9 내지 도 13의 선택적인 실시예이며, 여기서 배리어 층(64)은 ARC로 사용된다. 소정의 공정에서, 도 13에 도시된 것처럼, 도 9의 실시예의 상부 층인 ARC(72)는 사용되지 않았다. 다른 공정에서, 도 16에서 도시된 것처럼, 도 13의 에칭 공정(68)은 사용되지 않았다. 에칭 정지부가 제거될 수 있다면, 기판 처리 작업량은 보다 작은 단계로 증가되고 기판의 더 낮은 유효 유전상수가 얻어진다. 에칭 정지부를 제거하는데 있어서 어려운 점은, 에칭 공정의 반복 가능성 및 에칭의 타이밍을 맞춰, 유전체 층을 통해 원하지 않는 에칭이 일어나지 않게 하는 것이다. 그러나 에칭 프로세스가 잘 특징지워지고 충분히 제어되면, 에칭 정지부는 사용되지 않을 수도 있다. 이 층은 도 9 및 도 13에 설명된 장치에 대응되며, 차이점은 포토레지스트(74) 아래에 ARC(72)가 없으며 에칭 정지부(68)가 없다는 점이다. 이 실시예에서, 유전체 층(66)의 두께는 제 2 유전체 층(70)의 두께를 상쇄시켜, 회로가 전기적으로 고립되며 약 10,000Å 내지 12,000Å일 수 있다. 유전체 층(66)의 두께는, 투사된 반사율에 대해 유전체 층(66)과 유전체 층(60) 사이의 SiC 배리어 층(64)의 두께와 관련되어 조정된다. 포토레지스트(74)는 상술한 것과 같이 노출된다. 그러나 기판은 유전체 층(66) 아래의 SiC 배리어 층(64)의 반사 및 흡수 특성에 의존하며, 두 층의 두께는 선택된 두께 및 요구되는 반사율에 따라 독립적일 수도 있고 독립적이지 않을 수도 있다. SiC 배리어 층 두께를 적절하게 선택함으로써, 도 17에 나타난 바와 같이 이 배열이 적절하게 된다.
도 17은 도 16의 반사율 맵으로서, ARC로서의 배리어 층을 사용하여, SiC 배리어 층(64)에 비한 유전체 층(66)의 두께를 나타낸다. 도 17에서 유전체 층(66)이 배리어 층 위에 있기 때문에, y축은 유전체 층의 두께를 나타내며 x축은 배리어 층 두께를 나타낸다. 유전체 층이 회로를 전기적으로 고립할 수 있는 능력과 같은 다른 변수들이 고려될 수 있기 때문에, 유전체 층의 두께가 먼저 선택되고, 배리어 층의 두께는 주어진 반사율에 대해 그래프로부터 선택된다. ARC로서 사용될 때의 SiC 배리어 층의 바람직한 두께는, 이 실시예에서 에칭 정지부를 간섭하지 않고, 약 700 내지 800Å이다. 두께가 바람직하면, 그래프로 나타난 모든 유전체 두께에 대해 예정된 5% 반사율 또는 그보다 작은 반사율을 얻는다. 따라서 이 실시예에서도 본 발명의 SiC는 유전체 층 두께와 실질적으로 무관한 ARC 광학 결과를 제공한다.
도 18은 도 16의 실시예와 유사한 실시예로서, 포토레지스트 층(74) 아래에 SiC ARC(72)가 추가된 실시예이다. 도 9의 에칭 정지부는 도 18의 실시예에서는 사용되지 않으며 유전체 층(66)은 보통 도 9의 분리된 유전체 층보다 두껍다. SiC 배리어 층(64)의 두께는 변할 수 있으나 약 500Å이다. 아래의 도 19에 나타난 바와 같이, SiC ARC(72) 두께가 적절히 선택되면, 유전체 층(66)의 두께는 포토레지스트 층(74)의 반사율에 큰 영향을 미치지 않고 변할 수 있다. 그러나 유전체 층(66)의 전형적인 두께는 약 10,000Å 내지 약 12,000Å이다.
도 19는 도 18의 실시예의 반사 맵으로서, 투사된 반사율에 대해 ARC 아래의 유전체 층과 비교한 ARC의 두께이다. 도 19에서, ARC(72) 두께는 x축 위에 나타나며 유전체 층(66)의 두께는 y축 위에 나타난다. 반사율 맵은 두께가 약 520Å 또는 그보다 큰 ARC 두께에 대해, 그래프로 나타난 유전체 층(66)의 두께는 모두 반사율이 약 5% 또는 그보다 적게 된다. SiC ARC의 바람직한 두께는 600Å이다. 다른 반사율 맵에서와 마찬가지로 패턴은 반복되며, 따라서 산화물 및/또는 SiC 층의 다른 두께는 외삽에 의해 결정될 수 있다. 앞서 논의된 다른 실시예와 유사하게, 본 발명자들은, 본 발명의 SiC의 독특한 성질에 의해, 특정한 투사된 반사율에 대해 인접하는 층 두께에 대해 독립적으로 ARC가 증착될 수 있음을 발견하였다. 여기서 본 발명의 SiC를 사용함으로써, ARC 층은 하부 층 두께와 무관하게 그 증착 두께가 약 600Å이어서, 약 5% 또는 그보다 작은 투사된 반사율을 얻을 수 있다. 그래프는 다른 적절한 범위에 대해 분석될 수 있으며, 특정 응용이 유용한 것으로 발견될 수 있다.
본 발명의 SiC는, 인접하는 층을 따라 확산하기 쉬운 구리와 같은 도전성 물질 부근에 사용될 수 있기 때문에, SiC는 확산에 대해서도 저항을 가지는 것이 좋다. 도 20은 시험 시편 확산 결과를 나타내며, 여기서 낮은 커브는 구리 함량을 나타내며, 본 발명에서 SiC ARC 물질의 구리에 대한 확산 저항을 나타낸다. 시편은 200Å 두께의 구리, 구리 위에 증착된 800Å의 SiC 층 및 SiC 위에 증착된 1,000Å의 산화물 층을 가지는 기판이다. y 축에서 시작하여, 도 20은 1,000Å 산화물 층의 표면으로부터 0Å 두께에서 약 3×1017 원자/cc의 값(46)을 나타낸다. 이 값은, 산화물 층을 통해 그리고 800Å SiC 층 내로, 구리확산이 감지될 수 있게 되기 전에, 약 1,570Å의 결합된 깊이에서, 약 1×1016원자/cc의 값 48로 감소된다. 그러면 구리 확산 레벨은 이후의 230Å 동안 로그함수로 증가되어, 구리 대 구리 배리어 계면에서 약 3×1021 원자/cc의 값 50으로 된다. 따라서 계면의 200Å 내지 250Å 내에서 약 4자리수의 크기 즉 1/10,000로 구리 레벨이 감소된다. 이러한 구리 확산의 감소는 본 발명의 SiC 물질이 효과적임을 나타낸다.
본 발명은 추가로, 챔버, 챔버 내의 반응 영역, 반응 영역 내에 기판을 위치시키기 위한 기판 홀더 및 진공 시스템을 포함하는 플라즈마 반응기를 가지는 기판 처리 시스템을 제공한다. 처리 시스템은, 진공 챔버의 반응 영역을 연결하며 실란기 화합물, 불활성 가스를 공급하는 가스/액체 분배 시스템, 가스 분배 시스템에 연결되어 반응 영역 내에 플라즈마를 발생시키는 RF 발생기를 추가로 포함한다. 처리 시스템은 추가로, 플라즈마 반응기를 제어하기 위한 컴퓨터를 포함하는 제어기, 가스 분배 시스템, RF 발생기, 제어기에 연결되는 메모리를 포함하며, 메모리는 실란기 화합물의 플라즈마로 저유전상수 필름을 증착하기 위한 공정 단계를 선택하는 컴퓨터 판독 가능한 프로그램 코드를 포함하는 컴퓨터로 사용할 수 있는 매체를 포함한다.
한 실시예에서 처리 시스템은 추가로, 실란기 화합물의 배리어 층 및/또는 에칭 정지부의 증착, 다른 유전체 층의 증착, 및 선택적으로는 실란기 화합물의 캡핑 부동태 층의 증착을 위한 공정 단계를 선택하기 위한 컴퓨터 판독 가능한 프로그램 코드를 포함한다.
이상은 본 발명의 바람직한 실시예에 대한 것이지만, 다른 추가의 실시예가 본 발명의 기본 사상을 벗어남 없이 이루어질 수 있으며, 그 범위는 이하의 청구범위에 의해 정해진다. 더욱이, 청구범위를 포함하여 명세서에서, "하나의(a)" 또는 "상기(the)"와 "포함하는(comprising)"이라는 단어 및 그 변형의 사용은, 달리 언급되지 않는 한, 언급된 항목(들) 또는 목록(들)이 적어도 열거된 항목(들) 또는 목록(들)을 포함하며, 게다가 열거된 복수의 항목(들) 또는 목록(들)을 포함함을 의미한다. 또 청구범위를 포함하여 임의의 방법에 대한 개시는, 구체적으로 언급되지 않는 한 논리적인 순서로 되어 있는 것이며, 설명된 순서로 제한되는 것이 아니다.
본원 발명에 따라 SiC의 유전상수가 바람직하게 7.0 이하로 낮아지면, 장치의 전체적인 성능을 퇴화시키는 혼선과 저항 용량 지연의 가능성을 작게 한다. 또한, 여기서 설명되는 SiC의 특성으로 인해 보다 얇은 층이 증착되게 할 수 있다. ARC에 대한 상부 층으로서, 본 발명의 한 실시예에서 SiC는 SiC ARC의 두께를 조절할 필요 없이 하부 유전체 두께의 다양한 범위를 허용한다. 또한, 다마신 구조물에서, 본 발명에 따른 SiC는, 일반적으로 포토리소그래피에서, 상부 ARC 층의 필요 없이 에칭 정지부와 ARC의 조합으로서 사용될 수도 있다. 이러한 특정 SiC 재료는 저유전상수, 에칭 정지부 재료로서 사용되기에 적합하다. 또한, 계면의 200Å 내 지 250Å 내에서 약 4자리수의 크기 즉 1/10,000로 구리 레벨이 감소된다.

Claims (61)

  1. a) 기판 위에 증착된 2.7 미만의 유전상수를 갖는 유전체 층; 및
    b) 유전상수가 4.2 내지 7.0 사이인 규소 탄화물 반사 방지막을 포함하는,
    규소 탄화물 반사 방지막을 포함하는 기판.
  2. 제 1항에 있어서, 상기 기판은 유효 유전상수가 3 내지 5 사이인,
    규소 탄화물 반사 방지막을 포함하는 기판.
  3. 제 1항에 있어서, 상기 규소 탄화물 반사 방지막이 구리와의 계면으로부터의 구리 확산을, 상기 계면으로부터 300Å 내에서 1/103(3 orders of magnitude)만큼 방지하는,
    규소 탄화물 반사 방지막을 포함하는 기판.
  4. 제 1항에 있어서, 상기 규소 탄화물 반사 방지막은 챔버를 가지는 플라즈마 반응기 내에서의 공정에 의해 생산되며,
    상기 공정은, 규소 및 탄소 공급원으로서 유동속도가 30 내지 500sccm인 유기실란 및 유동속도가 100 내지 2,000sccm 사이인 희가스를 공급하는 단계를 포함하며, 추가로 0.67 내지 1.55W/cm2의 전력밀도를 챔버 내의 양극 및 음극에 공급하 는 RF 전력 공급원과 200 내지 400℃ 사이의 기판 표면 온도 조건에서 그리고 3 내지 10Torr 사이 범위의 챔버 압력 내에서 규소 및 탄소를 반응시키는 단계를 포함하는,
    규소 탄화물 반사 방지막을 포함하는 기판.
  5. 제 1항에 있어서, 상기 규소 탄화물 반사 방지막은 흡수율, 굴절률 및 막 두께를 가지며, 상기 흡수율 및 굴절률 그리고 두께의 조합에 의해, 반사 방지막에 인접하는 유전체 층의 두께에 실질적으로 의존하지 않는, 2% 내지 7% 사이의 반사율을 갖는 반사방지막이 기판에 제공되는,
    규소 탄화물 반사 방지막을 포함하는 기판.
  6. 제 1항에 있어서,
    a) 기판 위에 증착되는 배리어 층;
    b) 배리어 층 위에 증착되는 2.7 미만의 유전상수를 갖는 제 1 유전체 층;
    c) 제 1 유전체 층 위에 증착되는 에칭 정지부;
    d) 에칭 정지부 위에 증착되는 2.7 미만의 유전상수를 갖는 제 2 유전체 층을 더 포함하며,
    상기 규소 탄화물 반사 방지막이 상기 제 2 유전체 층 위에 증착되는,
    규소 탄화물 반사 방지막을 포함하는 기판.
  7. 제 6항에 있어서, 반사율이 2% 내지 7% 사이가 되도록 반사 방지막의 두께가 선택되는,
    규소 탄화물 반사 방지막을 포함하는 기판.
  8. 제 7항에 있어서, 제 2 유전체 층의 두께가 5000Å 내지 10000Å 사이의 두께를 가질 때, 상기 반사 방지막의 두께는, 2% 내지 7% 사이인 반사율을 만드는 하나의 선택된 두께를 포함하는,
    규소 탄화물 반사 방지막을 포함하는 기판.
  9. 제 8항에 있어서, 상기 배리어 층, 에칭 정지부 및 반사 방지막이, 유전상수가 4.2 내지 7.0 사이인 규소 탄화물을 포함하는,
    규소 탄화물 반사 방지막을 포함하는 기판.
  10. 제 1항에 있어서,
    a) 기판 위에 증착되는 배리어 층;
    b) 배리어 층 위에 증착되는 2.7 미만의 유전상수를 갖는 제 1 유전체 층;
    c) 제 1 유전체 층 위에 증착되는 규소 탄화물 반사방지막;
    d) 규소 탄화물 반사방지막 위에 증착되는 2.7 미만의 유전상수를 갖는 제 2 유전체 층을 포함하는,
    규소 탄화물 반사 방지막을 포함하는 기판.
  11. 제 10항에 있어서, 2% 내지 7% 사이의 반사율을 생성하는 두께를 가지는 반사 방지막을 선택하는 것을 추가로 포함하는,
    규소 탄화물 반사 방지막을 포함하는 기판.
  12. a) 기판 위에 증착되는 배리어 층;
    b) 배리어 층 위에 증착되는 2.7 미만의 유전상수를 갖는 제 1 유전체 층;
    c) 제 1 유전체 층 위에 증착되는 에칭 정지부;
    d) 에칭 정지부 위에 증착되는 2.7 미만의 유전상수를 갖는 제 2 유전체 층;
    e) 제 2 유전체 층의 두께가 5,000Å 내지 10,000Å일 때, 2% 내지 7% 사이의 반사율을 생성하는 하나의 선택된 두께를 가지며, 유전상수가 4.2 내지 7.0 사이인 규소 탄화물 반사 방지막;
    을 포함하는 규소 탄화물 반사 방지막을 가지는 기판.
  13. 제 12항에 있어서, 유기 실란과 별개인 탄소 또는 수소 공급원과 독립적으로, 상기 규소 탄화물이 유기 실란으로부터 제조되는,
    규소 탄화물 반사 방지막을 포함하는 기판.
  14. 제 12항에 있어서, 상기 규소 탄화물을 포함하는 반사 방지막은 챔버를 가지는 플라즈마 반응기 내에서의 공정에 의해 생산되며,
    상기 공정은, 규소 및 탄소 공급원으로서 유동속도가 30 내지 500sccm인 유기실란 및 유동속도가 100 내지 2,000sccm 사이인 희가스를 공급하는 단계를 포함하며, 추가로 0.67 내지 1.55W/cm2의 전력밀도를 챔버 내의 양극 및 음극에 공급하는 RF 전력 공급원과 200 내지 400℃ 사이의 기판 표면 온도 조건에서 그리고 3 내지 10Torr 사이 범위의 챔버 압력 내에서 규소 및 탄소를 반응시키는 단계를 포함하는,
    규소 탄화물 반사 방지막을 포함하는 기판.
  15. 제 12항에 있어서, 상기 기판의 유효 유전상수가 3 내지 5 사이인,
    규소 탄화물 반사 방지막을 포함하는 기판.
  16. a) 배리어 층 위에 증착되는 2.7 미만의 유전상수를 갖는 제 1 유전체 층;
    b) 상기 제 1 유전체 층 위에 증착되는 유전상수가 4.2 내지 7.0 사이인 규소 탄화물 반사 방지막;
    c) 상기 규소 탄화물 반사 방지막 위에 증착되는 2.7 미만의 유전상수를 갖는 제 2 유전체 층을 포함하는,
    규소 탄화물 반사 방지막을 가지는 기판.
  17. 제 16항에 있어서, 상기 유전체 층 아래의 상기 반사 방지막이, 제 2 유전체 층을 통해 2% 내지 7% 사이의 반사율을 생성하는,
    규소 탄화물 반사 방지막을 가지는 기판.
  18. 제 16항에 있어서, 유기 실란과 별개인 탄소 공급원과 독립적으로, 상기 규소 탄화물 반사 방지막이 유기 실란으로부터 제조되는,
    규소 탄화물 반사 방지막을 가지는 기판.
  19. 제 16항에 있어서, 유기 실란과 별개인 수소 공급원과 독립적으로, 상기 규소 탄화물이 유기 실란으로부터 제조되는,
    규소 탄화물 반사 방지막을 가지는 기판.
  20. 제 16항에 있어서, 상기 규소 탄화물은 챔버를 가지는 플라즈마 반응기 내에서의 공정에 의해 생산되며,
    상기 공정은, 규소 및 탄소 공급원으로서 유동속도가 30 내지 500sccm인 유기실란 및 유동속도가 100 내지 2,000sccm 사이인 희가스를 공급하는 단계를 포함하며, 추가로 0.67 내지 1.55W/cm2의 전력밀도를 챔버 내의 양극 및 음극에 공급하는 RF 전력 공급원과 200 내지 400℃ 사이의 기판 표면 온도 조건에서 그리고 3 내지 10Torr 사이 범위의 챔버 압력 내에서 규소 및 탄소를 반응시키는 단계를 포함하는,
    규소 탄화물 반사 방지막을 가지는 기판.
  21. 기판 위에 규소 탄화물 반사 방지막을 형성하는 방법으로서,
    a) 규소, 탄소 및 희가스를 챔버 내로 도입하는 단계;
    b) 챔버 내에 플라즈마를 발생시키는 단계;
    c) 플라즈마의 존재 하에 규소와 탄소를 반응시켜 규소 탄화물을 형성하는 단계; 및
    d) 챔버 내에서 4.2 내지 7.0 사이의 낮은 유전상수를 갖는 반사 방지막을 가지는 규소 탄화물을 챔버 내에 증착하는 단계를 포함하는,
    규소 탄화물 반사 방지막 형성 방법.
  22. 청구항 22은(는) 설정등록료 납부시 포기되었습니다.
    제 21항에 있어서, 상기 규소가 실란으로부터 얻어지는,
    규소 탄화물 반사 방지막 형성 방법.
  23. 청구항 23은(는) 설정등록료 납부시 포기되었습니다.
    제 21항에 있어서, 상기 규소 및 탄소가, 다른 탄소 공급원과 독립적으로, 공통의 유기실란으로부터 얻어지는,
    규소 탄화물 반사 방지막 형성 방법.
  24. 청구항 24은(는) 설정등록료 납부시 포기되었습니다.
    제 21항에 있어서, 상기 규소 및 탄소가 공통의 공급원으로부터 공급되며, 플라즈마의 존재 하에 규소와 탄소를 반응시켜 규소 탄화물을 형성하는 것은, 별도 의 수소 공급원의 존재와 독립적으로 일어나는,
    규소 탄화물 반사 방지막 형성 방법.
  25. 청구항 25은(는) 설정등록료 납부시 포기되었습니다.
    제 21항에 있어서, 상기 규소 및 탄소는 공통의 공급원으로부터 공급되며, 플라즈마의 존재 하에 규소와 탄소를 반응시켜 규소 탄화물을 형성하는 것은, 별도의 탄소 공급원의 존재와 독립적으로 일어나는,
    규소 탄화물 반사 방지막 형성 방법.
  26. 청구항 26은(는) 설정등록료 납부시 포기되었습니다.
    제 21항에 있어서, 상기 규소 탄화물 반사 방지막은 흡수율, 굴절률 및 막 두께를 가지며, 상기 흡수율 및 굴절률 그리고 두께의 조합에 의해, 반사 방지막에 인접하는 유전체 층의 두께에 실질적으로 의존하지 않는, 2% 내지 7% 사이의 반사율을 갖는 반사방지막이 기판에 제공되는,
    규소 탄화물 반사 방지막 형성 방법.
  27. 청구항 27은(는) 설정등록료 납부시 포기되었습니다.
    제 26항에 있어서, 상기 기판은 다마신 구조를 포함하는,
    규소 탄화물 반사 방지막 형성 방법.
  28. 청구항 28은(는) 설정등록료 납부시 포기되었습니다.
    제 26항에 있어서, 유전체 층의 두께가 5000Å 내지 10,000Å일 때, 2% 내지 7% 사이의 반사율이 생성되는,
    규소 탄화물 반사 방지막 형성 방법.
  29. 청구항 29은(는) 설정등록료 납부시 포기되었습니다.
    제 21항에 있어서, 반사 방지막 아래의 유전체 층의 두께가 5000 내지 10,000Å일 때, 2% 내지 7% 사이의 반사율을 만드는 하나의 선택된 두께를 가지는 반사 방지막을 선택하는 단계를 포함하는,
    규소 탄화물 반사 방지막 형성 방법.
  30. 제 21항에 있어서,
    a) 기판 위에 배리어 층을 증착하는 단계;
    b) 배리어 층 위에 2.7 미만의 유전상수를 갖는 제 1 유전체 층을 증착하는 단계;
    c) 제 1 유전체 층에 에칭 정지부를 증착하는 단계;
    d) 에칭 정지부 위에 2.7 미만의 유전상수를 갖는 제 2 유전체 층을 증착하는 단계;
    e) 제 2 유전체 층 위에 규소 탄화물 반사 방지막을 증착하는 단계를 포함하는,
    규소 탄화물 반사 방지막 형성 방법.
  31. 제 30항에 있어서, 2% 내지 7% 사이의 반사율을 생성하는 반사 방지막을 선택하는 단계를 더 포함하는,
    규소 탄화물 반사 방지막 형성 방법.
  32. 제 31항에 있어서, 제 2 유전체 층의 두께가 5000 내지 10,000Å일 때, 2% 내지 7% 사이의 반사율을 만드는 하나의 선택된 두께를 가지는 반사 방지막을 선택하는 단계를 더 포함하는,
    규소 탄화물 반사 방지막 형성 방법.
  33. 제 32항에 있어서, 상기 제 2 유전체 층이, 규소 유리 물질을 포함하는,
    규소 탄화물 반사 방지막 형성 방법.
  34. 제 30항에 있어서, 상기 배리어 층, 에칭 정지부 및 반사 방지막이, 유전상수가 4.2 내지 7.0 사이인 규소 탄화물을 포함하는,
    규소 탄화물 반사 방지막 형성 방법.
  35. 제 21항에 있어서,
    a) 기판 위에 배리어 층을 증착하는 단계;
    b) 배리어 층 위에 2.7 미만의 유전상수를 갖는 제 1 유전체 층을 증착하는 단계;
    c) 제 1 유전체 층 위에 규소 탄화물 반사 방지막을 증착하는 단계;
    d) 규소 탄화물 반사 방지막 위에 2.7 미만의 유전상수를 갖는 제 2 유전체 층을 증착하는 단계를 포함하는,
    규소 탄화물 반사 방지막 형성 방법.
  36. 제 35항에 있어서, 2% 내지 7% 사이의 반사율을 가지는 반사 방지막을 선택하는 단계를 더 포함하는,
    규소 탄화물 반사 방지막 형성 방법.
  37. 제 36항에 있어서, 상기 반사 방지막의 유전상수가 4.2 내지 7.0 사이인,
    규소 탄화물 반사 방지막 형성 방법.
  38. 청구항 38은(는) 설정등록료 납부시 포기되었습니다.
    제 21항에 있어서, 100 내지 450℃ 사이의 온도에서 규소 탄화물 반사 방지막을 증착하는 단계를 추가로 포함하는,
    규소 탄화물 반사 방지막 형성 방법.
  39. 청구항 39은(는) 설정등록료 납부시 포기되었습니다.
    제 21항에 있어서, 300 내지 450℃ 사이의 온도에서 규소 탄화물 반사 방지막을 증착하는 단계를 추가로 포함하는,
    규소 탄화물 반사 방지막 형성 방법.
  40. 청구항 40은(는) 설정등록료 납부시 포기되었습니다.
    제 21항에 있어서, 유효 유전상수가 3 내지 5 사이인 기판을 만드는 단계를 추가로 포함하는,
    규소 탄화물 반사 방지막 형성 방법.
  41. 청구항 41은(는) 설정등록료 납부시 포기되었습니다.
    제 21항에 있어서, 구리와의 계면으로부터의 구리 확산을, 상기 계면으로부터 300Å 내에서 1/103(3 order of magnitude)만큼 방지하는 규소 탄화물 반사 방지막을 만드는 단계를 더 포함하는,
    규소 탄화물 반사 방지막 형성 방법.
  42. 청구항 42은(는) 설정등록료 납부시 포기되었습니다.
    제 21항에 있어서, 규소와 탄소를 반응시키는 단계가, 챔버 압력을 6 내지 10torr 사이에서 유지하면서 규소와 탄소를 반응시키는 단계를 포함하는,
    규소 탄화물 반사 방지막 형성 방법.
  43. 청구항 43은(는) 설정등록료 납부시 포기되었습니다.
    제 21항에 있어서, 규소와 탄소를 반응시키는 단계가, 0.67 내지 1.55W/cm2의 전력밀도를 챔버 내의 양극 및 음극에 공급하는 RF 전력 공급원을 사용하여 규소 및 탄소를 반응시키는 단계를 포함하는,
    규소 탄화물 반사 방지막 형성 방법.
  44. 청구항 44은(는) 설정등록료 납부시 포기되었습니다.
    제 21항에 있어서, 상기 규소를 공급하는 단계는 유동속도가 10 내지 1,000sccm 사이인 실란을 제공하는 단계를 포함하며, 상기 희가스를 제공하는 단계는 유동속도가 50 내지 5000sccm 사이인 아르곤 또는 헬륨을 제공하는 단계를 포함하는,
  45. 청구항 45은(는) 설정등록료 납부시 포기되었습니다.
    제 21항에 있어서, 규소, 탄소 및 희가스를 제공하는 단계는, 규소 및 탄소 공급원으로서 유동속도가 30 내지 500sccm 사이인 유기 실란 및, 희가스 공급원으로서 유동속도가 100 내지 2000sccm 사이인 헬륨 또는 아르곤 가스를 제공하는 단계를 포함하며, 추가로 0.67 내지 1.55W/cm2의 전력밀도를 챔버 내의 양극 및 음극에 공급하는 RF 전력 공급원과 200 내지 400℃ 사이의 기판 표면 온도 조건에서 그리고 3 내지 10Torr 사이 범위의 챔버 압력 내에서 규소 및 탄소를 반응시키는 단계를 포함하는,
    규소 탄화물 반사 방지막 형성 방법.
  46. 청구항 46은(는) 설정등록료 납부시 포기되었습니다.
    제 16항에 있어서, 제 2 유전체 층의 두께가, 투사된 반사율에 대해, 제 1 유전체 층과 제 2 유전체 층 사이의 규소 탄화물 반사 방지막의 두께와 관련되어 결정되는,
    반사 방지막을 가지는 기판.
  47. 청구항 47은(는) 설정등록료 납부시 포기되었습니다.
    제 16항에 있어서, 제 1 유전체 층의 두께가, 투사된 반사율에 대해, 제 1 유전체 층과 제 2 유전체 층 사이의 규소 탄화물 반사 방지막의 두께와 관련되어 결정되는,
  48. 청구항 48은(는) 설정등록료 납부시 포기되었습니다.
    제 35항에 있어서, 투사된 반사율에 대해, 제 1 유전체 층과 제 2 유전체 층 사이의 규소 탄화물 및 제 1 유전체 층의 두께를 조정하는 단계를 더 포함하는,
    규소 탄화물 반사 방지막 형성 방법.
  49. 청구항 49은(는) 설정등록료 납부시 포기되었습니다.
    제 35항에 있어서, 투사된 반사율에 대해, 제 1 유전체 층과 제 2 유전체 층 사이의 규소 탄화물 및 제 2 유전체 층의 두께를 조정하는 단계를 더 포함하는,
    규소 탄화물 반사 방지막 형성 방법.
  50. 청구항 50은(는) 설정등록료 납부시 포기되었습니다.
    제 21항에 있어서, 상기 반사 방지막이 배리어 층을 포함하는,
    규소 탄화물 반사 방지막 형성 방법.
  51. 규소 탄화물 반사 방지막을 가지는 기판으로서,
    a) 기판 위에 증착되는 2.7 미만의 유전상수를 갖는 유전체 층; 및
    b) 유전상수가 4.2 내지 7.0 사이인 규소 탄화물 반사 방지막을 포함하며,
    상기 반사 방지막은, 248nm 노출 파장에서 식 k/0.65 + 1.57 = n(여기서 k는 흡수율, n은 굴절률)에 의해 실질적으로 굴절률과 관련되는 흡수율을 가지는,
    반사 방지막을 가지는 기판.
  52. 제 51항에 있어서, k가 0.3 내지 1.0 사이의 범위를 가지는,
    규소 탄화물 반사 방지막을 가지는 기판.
  53. 제 51항에 있어서, 상기 기판의 유효 유전상수가 3 내지 5 사이인,
    규소 탄화물 반사 방지막을 가지는 기판.
  54. 제 51항에 있어서, 상기 규소 탄화물 반사 방지막은, 탄소 및 규소 공급원으로서 유동속도가 30 내지 500sccm 사이인 유기 실란을 제공하고, 유동속도가 100 내지 2000sccm 사이인 희가스를 제공하는 단계를 포함하는,
    규소 탄화물 반사 방지막을 가지는 기판.
  55. 제 51항에 있어서, 상기 규소 탄화물 반사 방지막은 흡수율, 굴절률 및 막 두께를 가지며, 상기 흡수율 및 굴절률 그리고 두께의 조합에 의해, 반사 방지막에 인접하는 유전체 층의 두께에 실질적으로 의존하지 않는, 2% 내지 7% 사이의 반사율을 갖는 반사방지막이 기판에 제공되는,
    규소 탄화물 반사 방지막을 가지는 기판.
  56. 제 51항에 있어서,
    a) 기판 위에 증착되는 배리어 층;
    b) 배리어 층 위에 증착되는 2.7 미만의 유전상수를 갖는 제 1 유전체 층;
    c) 제 1 유전체 층 위에 증착되는 에칭 정지부;
    d) 에칭 정지부 위에 증착되는 2.7 미만의 유전상수를 갖는 제 2 유전체 층을 포함하며;
    상기 규소 탄화물 반사 방지막이 제 2 유전체 층 위에 증착되는,
    규소 탄화물 반사 방지막을 가지는 기판.
  57. 제 51항에 있어서,
    a) 기판 위에 증착되는 배리어 층;
    b) 배리어 층 위에 증착되는 2.7 미만의 유전상수를 갖는 제 1 유전체 층;
    c) 제 1 유전체 층 위에 증착되는 규소 탄화물 반사 방지막;
    d) 규소 탄화물 반사 방지막 위에 증착되는 2.7 미만의 유전상수를 갖는 제 2 유전체 층을 포함하는,
    규소 탄화물 반사 방지막을 가지는 기판.
  58. 제 51항에 있어서,
    a) 기판 위에 증착되는 배리어 층;
    b) 배리어 층 위에 증착되는 2.7 미만의 유전상수를 갖는 제 1 유전체 층을 추가로 포함하며;
    상기 규소 탄화물 반사 방지막이 배리어 층을 포함하는,
    규소 탄화물 반사 방지막을 가지는 기판.
  59. 제 51항에 있어서,
    a) 기판 위에 증착되는 배리어 층;
    b) 배리어 층 위에 증착되는 2.7 미만의 유전상수를 갖는 제 1 유전체 층을 추가로 포함하며;
    상기 규소 탄화물 반사 방지막이 제 1 유전체 층 위에 증착되는,
    규소 탄화물 반사 방지막을 가지는 기판.
  60. 청구항 60은(는) 설정등록료 납부시 포기되었습니다.
    제 21항에 있어서,
    a) 반사 방지막을 포함하는 배리어 층을 기판에 인접하여 증착하는 단계;
    b) 배리어 층에 인접하여 2.7 미만의 유전상수를 갖는 제 1 유전체 층을 증착하는 단계; 및
    c) 제 1 유전체 층에 인접하여 포토레지스트 층을 증착하는 단계를 추가로 포함하는,
    규소 탄화물 반사 방지막 형성 방법.
  61. 청구항 61은(는) 설정등록료 납부시 포기되었습니다.
    제 21항에 있어서,
    a) 기판에 인접하여 배리어 층을 증착하는 단계;
    b) 배리어 층에 인접하여 2.7 미만의 유전상수를 갖는 제 1 유전체 층을 증착하는 단계;
    d) 반사 방지막에 인접하여 포토레지스트 층을 증착하는 단계를 추가로 포함하는,
    규소 탄화물 반사 방지막 형성 방법.
KR1020067026340A 1998-10-01 1999-09-27 유전상수가 낮은 반사 방지막으로 사용되는 규소 탄화물 및그 증착방법 KR100716622B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US09/165,248 1998-10-01
US09/165,248 US20030089992A1 (en) 1998-10-01 1998-10-01 Silicon carbide deposition for use as a barrier layer and an etch stop
US09/219,945 US6635583B2 (en) 1998-10-01 1998-12-23 Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
US09/219,945 1998-12-23
US09/270,039 US6974766B1 (en) 1998-10-01 1999-03-16 In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US09/270,039 1999-03-16

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020017004208A Division KR100696034B1 (ko) 1998-10-01 1999-09-27 유전상수가 낮은 반사 방지막으로 사용되는 규소 탄화물및 그 증착방법

Publications (2)

Publication Number Publication Date
KR20070005025A KR20070005025A (ko) 2007-01-09
KR100716622B1 true KR100716622B1 (ko) 2007-05-09

Family

ID=27389120

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020017004231A KR100650226B1 (ko) 1998-10-01 1999-09-27 낮은 κ 실리콘 카바이드 배리어층, 에칭 정지층, 및다마신 적용을 위한 반사방지 코팅층의 동일 현장 증착
KR1020017004234A KR20010075563A (ko) 1998-10-01 1999-09-27 실리콘 카바이드 증착 방법 및 배리어 층과 패시베이션층으로써의 용도
KR1020067026340A KR100716622B1 (ko) 1998-10-01 1999-09-27 유전상수가 낮은 반사 방지막으로 사용되는 규소 탄화물 및그 증착방법
KR1020017004208A KR100696034B1 (ko) 1998-10-01 1999-09-27 유전상수가 낮은 반사 방지막으로 사용되는 규소 탄화물및 그 증착방법

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020017004231A KR100650226B1 (ko) 1998-10-01 1999-09-27 낮은 κ 실리콘 카바이드 배리어층, 에칭 정지층, 및다마신 적용을 위한 반사방지 코팅층의 동일 현장 증착
KR1020017004234A KR20010075563A (ko) 1998-10-01 1999-09-27 실리콘 카바이드 증착 방법 및 배리어 층과 패시베이션층으로써의 용도

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020017004208A KR100696034B1 (ko) 1998-10-01 1999-09-27 유전상수가 낮은 반사 방지막으로 사용되는 규소 탄화물및 그 증착방법

Country Status (6)

Country Link
US (3) US6974766B1 (ko)
EP (3) EP1118107A1 (ko)
JP (2) JP2002526649A (ko)
KR (4) KR100650226B1 (ko)
TW (3) TW432476B (ko)
WO (3) WO2000020900A2 (ko)

Families Citing this family (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
GB0001179D0 (en) 2000-01-19 2000-03-08 Trikon Holdings Ltd Methods & apparatus for forming a film on a substrate
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
DE10042948C2 (de) * 2000-08-31 2002-07-18 Infineon Technologies Ag Integriertes Bauelement und Verfahren zu dessen Herstellung
TW535253B (en) * 2000-09-08 2003-06-01 Applied Materials Inc Plasma treatment of silicon carbide films
US6465366B1 (en) * 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
JP4698813B2 (ja) * 2000-10-19 2011-06-08 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP3516941B2 (ja) 2000-11-30 2004-04-05 キヤノン販売株式会社 半導体装置及びその製造方法
DE10062660B4 (de) * 2000-12-15 2010-05-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Siliciumoxynitrid-ARC-Schicht über einer Halbleiterstruktur
US6429121B1 (en) * 2001-02-07 2002-08-06 Advanced Micro Devices, Inc. Method of fabricating dual damascene with silicon carbide via mask/ARC
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6566242B1 (en) * 2001-03-23 2003-05-20 International Business Machines Corporation Dual damascene copper interconnect to a damascene tungsten wiring level
US20030027413A1 (en) * 2001-08-01 2003-02-06 Ting Tsui Method to improve the adhesion of dielectric layers to copper
US6489238B1 (en) * 2001-08-21 2002-12-03 Texas Instruments Incorporated Method to reduce photoresist contamination from silicon carbide films
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
DE10297447T5 (de) * 2001-12-11 2004-11-11 Trikon Technologies Limited, Newport Diffusionsbarriere
GB0129567D0 (en) 2001-12-11 2002-01-30 Trikon Technologies Ltd Diffusion barrier
US6838393B2 (en) 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
KR100799118B1 (ko) * 2001-12-19 2008-01-29 주식회사 하이닉스반도체 다층 구리 배선의 형성 방법
US20030181034A1 (en) * 2002-03-19 2003-09-25 Ping Jiang Methods for forming vias and trenches with controlled SiC etch rate and selectivity
JP4340040B2 (ja) * 2002-03-28 2009-10-07 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US6656840B2 (en) 2002-04-29 2003-12-02 Applied Materials Inc. Method for forming silicon containing layers on a substrate
US7097923B2 (en) * 2002-04-30 2006-08-29 Hitachi Global Storage Technologies Method for forming thin film heads using a tri-layer anti-reflection coating for photolithographic applications and a structure thereof
KR100462759B1 (ko) * 2002-05-06 2004-12-20 동부전자 주식회사 확산 장벽층을 갖는 금속 배선 및 그 제조 방법
US7105442B2 (en) 2002-05-22 2006-09-12 Applied Materials, Inc. Ashable layers for reducing critical dimensions of integrated circuit features
KR100475931B1 (ko) * 2002-07-02 2005-03-10 매그나칩 반도체 유한회사 반도체 소자의 다층 배선 형성방법
JP4282054B2 (ja) * 2002-09-09 2009-06-17 東京応化工業株式会社 デュアルダマシン構造形成プロセスに用いられる洗浄液および基板の処理方法
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US6908846B2 (en) 2002-10-24 2005-06-21 Lam Research Corporation Method and apparatus for detecting endpoint during plasma etching of thin films
US6815332B2 (en) * 2002-10-30 2004-11-09 Asm Japan K.K. Method for forming integrated dielectric layers
US6939800B1 (en) * 2002-12-16 2005-09-06 Lsi Logic Corporation Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures
KR101127240B1 (ko) * 2002-12-23 2012-03-29 글로벌파운드리즈 인크. 로우-k 유전체의 상부에 반사 방지 특성을 갖는 캡층의형성 방법
US20040124420A1 (en) 2002-12-31 2004-07-01 Lin Simon S.H. Etch stop layer
JP3898133B2 (ja) 2003-01-14 2007-03-28 Necエレクトロニクス株式会社 SiCHN膜の成膜方法。
US7091133B2 (en) * 2003-01-27 2006-08-15 Asm Japan K.K. Two-step formation of etch stop layer
US6943111B2 (en) * 2003-02-10 2005-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier free copper interconnect by multi-layer copper seed
JP2004247675A (ja) 2003-02-17 2004-09-02 Renesas Technology Corp 半導体装置の製造方法
JP4454242B2 (ja) 2003-03-25 2010-04-21 株式会社ルネサステクノロジ 半導体装置およびその製造方法
TWI286814B (en) 2003-04-28 2007-09-11 Fujitsu Ltd Fabrication process of a semiconductor device
KR100541185B1 (ko) * 2003-07-28 2006-01-11 삼성전자주식회사 캡핑막을 포함하는 층간절연막 및 이를 포함하는 금속배선형성 방법
US20050064629A1 (en) * 2003-09-22 2005-03-24 Chen-Hua Yu Tungsten-copper interconnect and method for fabricating the same
DE102004036753B4 (de) * 2004-07-29 2008-11-06 Advanced Micro Devices Inc., Sunnyvale Verfahren zur Herstellung einer stickstofffreien ARC-Deckschicht
DE102004042168B4 (de) * 2004-08-31 2009-08-20 Advanced Micro Devices, Inc., Sunnyvale Halbleiterelement mit einem Metallisierungsschichtstapel mit kleinem ε mit erhöhter Widerstandsfähigkeit gegen Elektromigration und Verfahren zum Bilden des Halbleiterelements
WO2006044868A1 (en) 2004-10-20 2006-04-27 Nervonix, Inc. An active electrode, bio-impedance based, tissue discrimination system and methods and use
US7361584B2 (en) * 2004-11-04 2008-04-22 International Business Machines Corporation Detection of residual liner materials after polishing in damascene process
WO2006088015A1 (ja) 2005-02-18 2006-08-24 Nec Corporation 有機シリコン系膜の形成方法、当該有機シリコン系膜を有する半導体装置及びその製造方法
US7265437B2 (en) * 2005-03-08 2007-09-04 International Business Machines Corporation Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
US7442649B2 (en) * 2005-03-29 2008-10-28 Lam Research Corporation Etch with photoresist mask
JP5096669B2 (ja) 2005-07-06 2012-12-12 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
WO2007032261A1 (ja) 2005-09-13 2007-03-22 Nec Corporation 多孔質絶縁膜の形成方法および半導体装置
JP4521349B2 (ja) * 2005-10-13 2010-08-11 富士通セミコンダクター株式会社 半導体集積回路装置
JP5093479B2 (ja) 2005-11-24 2012-12-12 日本電気株式会社 多孔質絶縁膜の形成方法
KR100720526B1 (ko) 2005-12-28 2007-05-22 동부일렉트로닉스 주식회사 반도체소자의 금속배선 형성방법
US8043957B2 (en) 2006-05-17 2011-10-25 Nec Corporation Semiconductor device, method for manufacturing semiconductor device and apparatus for manufacturing semiconductor
US7615427B2 (en) * 2006-06-05 2009-11-10 Chartered Semiconductor Manufacturing, Ltd. Spacer-less low-k dielectric processes
US20070290347A1 (en) * 2006-06-19 2007-12-20 Texas Instruments Incorporated Semiconductive device having resist poison aluminum oxide barrier and method of manufacture
US8790785B2 (en) 2006-07-21 2014-07-29 Renesas Electronics Corporation Method of forming a porous insulation film
EP2118003A4 (en) * 2007-03-01 2017-12-27 Plasmatrix Materials AB Method, material and apparatus for enhancing dynamic stiffness
US7964442B2 (en) * 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
US8987039B2 (en) * 2007-10-12 2015-03-24 Air Products And Chemicals, Inc. Antireflective coatings for photovoltaic applications
KR101225642B1 (ko) * 2007-11-15 2013-01-24 삼성전자주식회사 H2 원격 플라즈마 처리를 이용한 반도체 소자의 콘택플러그 형성방법
JP4675393B2 (ja) 2008-05-12 2011-04-20 パナソニック株式会社 半導体装置および半導体装置の製造方法
JP4891296B2 (ja) * 2008-07-03 2012-03-07 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US8053361B2 (en) * 2008-09-04 2011-11-08 Globalfoundries Singapore Pte. Ltd Interconnects with improved TDDB
KR101398043B1 (ko) 2010-11-30 2014-06-27 어플라이드 머티어리얼스, 인코포레이티드 Uv 챔버에서 웨이퍼 처리 프로파일을 조절하기 위한 방법 및 장치
US8994178B2 (en) 2012-03-29 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method for forming the same
CN102623396B (zh) * 2012-04-17 2014-05-14 上海华力微电子有限公司 连接孔的形成方法
US9269678B2 (en) * 2012-10-25 2016-02-23 United Microelectronics Corp. Bond pad structure and method of manufacturing the same
CN103539122B (zh) * 2013-10-12 2015-12-02 台州市一能科技有限公司 一种碳化硅的制备方法
US9196583B1 (en) * 2014-05-09 2015-11-24 Qualcomm Incorporated Via material selection and processing
US9590157B2 (en) 2015-06-04 2017-03-07 The Silanna Group Pty Ltd Efficient dual metal contact formation for a semiconductor device
US10574210B2 (en) 2016-03-31 2020-02-25 Qorvo Us, Inc. BAW devices having top electrode leads with reduced reflectance
CN109427650B (zh) * 2017-08-24 2021-03-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR102540963B1 (ko) 2017-12-27 2023-06-07 삼성전자주식회사 미세 패턴 형성 방법 및 기판 처리 장치
RU2687343C1 (ru) * 2018-03-22 2019-05-13 Открытое акционерное общество "Композит" Способ получения композиционного материала
DE102018107563B4 (de) * 2018-03-29 2022-03-03 Infineon Technologies Austria Ag Halbleitervorrichtung mit kupferstruktur und verfahren zur herstellung einer halbleitervorrichung
US11171200B2 (en) * 2019-09-26 2021-11-09 Texas Instruments Incorporated Integrated circuits having dielectric layers including an anti-reflective coating
KR20210111017A (ko) * 2020-03-02 2021-09-10 주식회사 원익아이피에스 기판 처리 방법 및 이를 이용하여 제조된 반도체 소자
CN113991092B (zh) * 2021-09-27 2023-05-05 杭州电子科技大学 一种硅电极材料的制备方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4532150A (en) 1982-12-29 1985-07-30 Shin-Etsu Chemical Co., Ltd. Method for providing a coating layer of silicon carbide on the surface of a substrate
EP0725440A2 (en) * 1995-02-02 1996-08-07 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
US5741626A (en) 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)

Family Cites Families (266)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
GB1147014A (en) * 1967-01-27 1969-04-02 Westinghouse Electric Corp Improvements in diffusion masking
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4262631A (en) 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
FI64878C (fi) 1982-05-10 1984-01-10 Lohja Ab Oy Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer
JPH07111957B2 (ja) 1984-03-28 1995-11-29 圭弘 浜川 半導体の製法
US4759947A (en) 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4895734A (en) 1987-03-31 1990-01-23 Hitachi Chemical Company, Ltd. Process for forming insulating film used in thin film electroluminescent device
US5028566A (en) * 1987-04-10 1991-07-02 Air Products And Chemicals, Inc. Method of forming silicon dioxide glass films
IT1226701B (it) * 1988-07-29 1991-02-05 Eniricerche Spa Procedimento per la deposizione di organosilani su substrati di silicio o di ossido di silicio per dispositivi del tipo eos o chemfet.
GB8827933D0 (en) 1988-11-30 1989-01-05 Plessey Co Plc Improvements relating to soldering processes
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US5011706A (en) 1989-04-12 1991-04-30 Dow Corning Corporation Method of forming coatings containing amorphous silicon carbide
JPH03105974A (ja) 1989-09-19 1991-05-02 Kobe Steel Ltd 多結晶ダイヤ薄膜合成によるシヨツトキー・ダイオードの製作法
EP0449117A3 (en) 1990-03-23 1992-05-06 Matsushita Electric Industrial Co., Ltd. Organic polymer and preparation and use thereof
DE69119953T2 (de) * 1990-03-23 1997-01-23 At & T Corp Halbleiterschaltung-Leiterbahn
US5401613A (en) 1990-12-13 1995-03-28 Brewer Science Method of manufacturing microelectronic devices having multifunctional photolithographic layers
US5232871A (en) 1990-12-27 1993-08-03 Intel Corporation Method for forming a titanium nitride barrier layer
DE69130947T2 (de) 1991-01-08 1999-07-08 Fujitsu Ltd Verfahren zur bildung eines siliciumoxid-filmes
US5525550A (en) 1991-05-21 1996-06-11 Fujitsu Limited Process for forming thin films by plasma CVD for use in the production of semiconductor devices
US5238866A (en) 1991-09-11 1993-08-24 GmbH & Co. Ingenieurburo Berlin Biotronik Mess- und Therapiegerate Plasma enhanced chemical vapor deposition process for producing an amorphous semiconductive surface coating
JPH05144811A (ja) * 1991-11-22 1993-06-11 Hitachi Ltd 薄膜半導体装置及びその製造方法
US5472829A (en) 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern by using an anti-reflective layer
US5472827A (en) 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern using an anti-reflective layer
JP2953349B2 (ja) * 1991-12-30 1999-09-27 ソニー株式会社 レジストパターン形成方法、反射防止膜形成方法、反射防止膜および半導体装置
JP2897963B2 (ja) 1992-05-15 1999-05-31 信越石英株式会社 縦型熱処理装置及び保温材
JPH05335299A (ja) * 1992-05-29 1993-12-17 Kawasaki Steel Corp 半導体装置の製造方法
US5739579A (en) 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JP2734915B2 (ja) 1992-11-18 1998-04-02 株式会社デンソー 半導体のドライエッチング方法
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5409543A (en) 1992-12-22 1995-04-25 Sandia Corporation Dry soldering with hot filament produced atomic hydrogen
TW347149U (en) 1993-02-26 1998-12-01 Dow Corning Integrated circuits protected from the environment by ceramic and barrier metal layers
US5360491A (en) 1993-04-07 1994-11-01 The United States Of America As Represented By The United States Department Of Energy β-silicon carbide protective coating and method for fabricating same
US5627105A (en) 1993-04-08 1997-05-06 Varian Associates, Inc. Plasma etch process and TiSix layers made using the process
US5526244A (en) 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
US5465680A (en) 1993-07-01 1995-11-14 Dow Corning Corporation Method of forming crystalline silicon carbide coatings
US5468978A (en) 1993-07-07 1995-11-21 Dowben; Peter A. Forming B1-x Cx semiconductor devices by chemical vapor deposition
US5427621A (en) 1993-10-29 1995-06-27 Applied Materials, Inc. Method for removing particulate contaminants by magnetic field spiking
JP2899600B2 (ja) 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
US5451263A (en) 1994-02-03 1995-09-19 Harris Corporation Plasma cleaning method for improved ink brand permanency on IC packages with metallic parts
JP3254875B2 (ja) * 1994-02-03 2002-02-12 富士通株式会社 半導体装置の製造方法
US5618619A (en) 1994-03-03 1997-04-08 Monsanto Company Highly abrasion-resistant, flexible coatings for soft substrates
JP3326974B2 (ja) 1994-07-28 2002-09-24 ソニー株式会社 多層配線の形成方法および半導体装置の製造方法
US5565084A (en) 1994-10-11 1996-10-15 Qnix Computer Co., Ltd. Electropolishing methods for etching substrate in self alignment
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
US5736457A (en) 1994-12-09 1998-04-07 Sematech Method of making a damascene metallization
US5710067A (en) 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
KR0167248B1 (ko) 1995-07-24 1999-02-01 문정환 반도체 기판의 전처리방법
US5804488A (en) 1995-08-24 1998-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a tungsten silicide capacitor having a high breakdown voltage
DE19531369A1 (de) * 1995-08-25 1997-02-27 Siemens Ag Halbleiterbauelement auf Siliciumbasis mit hochsperrendem Randabschluß
US5623160A (en) 1995-09-14 1997-04-22 Liberkowski; Janusz B. Signal-routing or interconnect substrate, structure and apparatus
US5789776A (en) 1995-09-22 1998-08-04 Nvx Corporation Single poly memory cell and array
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
JP2973905B2 (ja) * 1995-12-27 1999-11-08 日本電気株式会社 半導体装置の製造方法
US5660682A (en) 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US5780163A (en) 1996-06-05 1998-07-14 Dow Corning Corporation Multilayer coating for microelectronic devices
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5869396A (en) 1996-07-15 1999-02-09 Chartered Semiconductor Manufacturing Ltd. Method for forming a polycide gate electrode
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5801098A (en) 1996-09-03 1998-09-01 Motorola, Inc. Method of decreasing resistivity in an electrically conductive layer
US5711987A (en) 1996-10-04 1998-01-27 Dow Corning Corporation Electronic coatings
US5730792A (en) 1996-10-04 1998-03-24 Dow Corning Corporation Opaque ceramic coatings
US5776235A (en) 1996-10-04 1998-07-07 Dow Corning Corporation Thick opaque ceramic coatings
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US6136700A (en) * 1996-12-20 2000-10-24 Texas Instruments Incorporated Method for enhancing the performance of a contact
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
JPH10308283A (ja) 1997-03-04 1998-11-17 Denso Corp El素子およびその製造方法
US5789316A (en) 1997-03-10 1998-08-04 Vanguard International Semiconductor Corporation Self-aligned method for forming a narrow via
US5817579A (en) 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
KR19990005812A (ko) * 1997-06-30 1999-01-25 김영환 반사방지막의 형성방법
US5821168A (en) 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US6020243A (en) 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US5926740A (en) 1997-10-27 1999-07-20 Micron Technology, Inc. Graded anti-reflective coating for IC lithography
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6197683B1 (en) 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100278657B1 (ko) 1998-06-24 2001-02-01 윤종용 반도체장치의금속배선구조및그제조방법
US6316167B1 (en) 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
JP2000031387A (ja) 1998-07-14 2000-01-28 Fuji Electric Co Ltd 誘電体薄膜コンデンサの製造方法
US6103456A (en) 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
US6245662B1 (en) 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR20000013654A (ko) 1998-08-12 2000-03-06 윤종용 원자층 증착 방법으로 형성한 알루미나/알루미늄나이트라이드복합 유전체막을 갖는 캐패시터와 그제조 방법
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
US6071809A (en) * 1998-09-25 2000-06-06 Rockwell Semiconductor Systems, Inc. Methods for forming high-performing dual-damascene interconnect structures
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100297719B1 (ko) * 1998-10-16 2001-08-07 윤종용 박막제조방법
US6528426B1 (en) 1998-10-16 2003-03-04 Texas Instruments Incorporated Integrated circuit interconnect and method
JP3580159B2 (ja) 1998-12-18 2004-10-20 東京エレクトロン株式会社 タングステン膜の成膜方法
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
KR100347379B1 (ko) 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6218298B1 (en) 1999-05-19 2001-04-17 Infineon Technologies North America Corp. Tungsten-filled deep trenches
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6114259A (en) 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6984415B2 (en) * 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6593653B2 (en) 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
DE10049257B4 (de) 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Verfahren zur Dünnfilmerzeugung mittels atomarer Schichtdeposition
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
KR100705926B1 (ko) * 1999-12-22 2007-04-11 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100624903B1 (ko) 1999-12-22 2006-09-19 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
JP4817210B2 (ja) 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
JP4362919B2 (ja) 2000-02-04 2009-11-11 株式会社デンソー 原子層エピタキシャル成長法による成膜方法
JP5016767B2 (ja) * 2000-03-07 2012-09-05 エーエスエム インターナショナル エヌ.ヴェー. 傾斜薄膜の形成方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
EP1282911B1 (en) * 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
KR100403611B1 (ko) 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
KR100647442B1 (ko) 2000-06-07 2006-11-17 주성엔지니어링(주) 원자층 증착법을 이용한 박막 형성방법
JP3687651B2 (ja) * 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
KR100387255B1 (ko) * 2000-06-20 2003-06-11 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US6372661B1 (en) 2000-07-14 2002-04-16 Taiwan Semiconductor Manufacturing Company Method to improve the crack resistance of CVD low-k dielectric constant material
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
KR100630666B1 (ko) * 2000-08-09 2006-10-02 삼성전자주식회사 금속 콘택 및 커패시터를 포함하는 반도체 소자 제조방법
KR100396879B1 (ko) * 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
US6903005B1 (en) 2000-08-30 2005-06-07 Micron Technology, Inc. Method for the formation of RuSixOy-containing barrier layers for high-k dielectrics
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
AU2002225761A1 (en) 2000-11-30 2002-06-11 Asm America, Inc. Thin films for magnetic devices
KR100386034B1 (ko) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
KR20020049875A (ko) 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
JP3963078B2 (ja) 2000-12-25 2007-08-22 株式会社高純度化学研究所 ターシャリーアミルイミドトリス(ジメチルアミド)タンタルとその製造方法及びそれを用いたmocvd用原料溶液並びにそれを用いた窒化タンタル膜の形成方法
KR20020056260A (ko) 2000-12-29 2002-07-10 박종섭 반도체 소자의 금속 게이트 형성방법
US20020086111A1 (en) 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
KR100400031B1 (ko) 2001-01-17 2003-09-29 삼성전자주식회사 반도체 소자의 콘택 플러그 및 그 형성 방법
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
KR100400033B1 (ko) 2001-02-08 2003-09-29 삼성전자주식회사 다층 배선 구조를 갖는 반도체 소자 및 그의 제조방법
KR100395766B1 (ko) 2001-02-12 2003-08-25 삼성전자주식회사 강유전체 기억 소자 및 그 형성 방법
AU2002306436A1 (en) 2001-02-12 2002-10-15 Asm America, Inc. Improved process for deposition of semiconductor films
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US20020121241A1 (en) 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
EP1677361A2 (en) 2001-04-02 2006-07-05 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacture thereof
US6369430B1 (en) 2001-04-02 2002-04-09 Motorola, Inc. Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US6420189B1 (en) 2001-04-27 2002-07-16 Advanced Micro Devices, Inc. Superconducting damascene interconnected for integrated circuit
US6447933B1 (en) 2001-04-30 2002-09-10 Advanced Micro Devices, Inc. Formation of alloy material using alternating depositions of alloy doping element and bulk material
US6596643B2 (en) 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6673721B1 (en) 2001-07-02 2004-01-06 Lsi Logic Corporation Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
WO2003029515A2 (en) * 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
AU2002333601A1 (en) 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20030057526A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6423619B1 (en) 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6674138B1 (en) 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6753618B2 (en) 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US7164165B2 (en) 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
KR100468852B1 (ko) * 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
JP4188033B2 (ja) * 2002-08-30 2008-11-26 本田技研工業株式会社 油圧緩衝機の取付構造
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
WO2004113585A2 (en) * 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4532150A (en) 1982-12-29 1985-07-30 Shin-Etsu Chemical Co., Ltd. Method for providing a coating layer of silicon carbide on the surface of a substrate
EP0725440A2 (en) * 1995-02-02 1996-08-07 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
US5741626A (en) 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)

Also Published As

Publication number Publication date
TW523803B (en) 2003-03-11
JP2002526649A (ja) 2002-08-20
US7670945B2 (en) 2010-03-02
KR20010075563A (ko) 2001-08-09
WO2000019498A1 (en) 2000-04-06
TW432476B (en) 2001-05-01
EP1118025A2 (en) 2001-07-25
US7470611B2 (en) 2008-12-30
US20090130837A1 (en) 2009-05-21
WO2000020900A3 (en) 2000-09-08
WO2000020900A2 (en) 2000-04-13
KR20010075561A (ko) 2001-08-09
JP2002526916A (ja) 2002-08-20
KR100650226B1 (ko) 2006-11-24
KR100696034B1 (ko) 2007-03-16
KR20010079973A (ko) 2001-08-22
EP1118109A1 (en) 2001-07-25
KR20070005025A (ko) 2007-01-09
WO2000019508A1 (en) 2000-04-06
US6974766B1 (en) 2005-12-13
TW492138B (en) 2002-06-21
US20060089007A1 (en) 2006-04-27
EP1118107A1 (en) 2001-07-25

Similar Documents

Publication Publication Date Title
KR100716622B1 (ko) 유전상수가 낮은 반사 방지막으로 사용되는 규소 탄화물 및그 증착방법
US6951826B2 (en) Silicon carbide deposition for use as a low dielectric constant anti-reflective coating
US6927178B2 (en) Nitrogen-free dielectric anti-reflective coating and hardmask
US6541397B1 (en) Removable amorphous carbon CMP stop
US7638440B2 (en) Method of depositing an amorphous carbon film for etch hardmask application
US7115534B2 (en) Dielectric materials to prevent photoresist poisoning
KR100661201B1 (ko) 절연층을 증착하고 에칭하기 위한 방법
KR100878170B1 (ko) 실리콘카바이드층의 이중 주파수 플라즈마 화학기상증착
US6537733B2 (en) Method of depositing low dielectric constant silicon carbide layers
KR101098632B1 (ko) 비정질 탄소 막의 cvd 증착용 액체 전구체
US20050020048A1 (en) Method of depositing dielectric films
US6777171B2 (en) Fluorine-containing layers for damascene structures
US20040009676A1 (en) Nitrogen-free dielectric anti-reflective coating and hardmask
TW200416881A (en) Method of forming a cap layer having anti-reflective characteristics on top of a low-k dielectric
KR101106425B1 (ko) 질소-비함유 유전성 반사방지 코팅부 및 하드마스크
JP4763131B2 (ja) 低誘電率反射防止被膜に用いるシリコンカーバイドの堆積
WO2004104698A2 (en) Dielectric materials to prevent photoresist poisoning

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130429

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140430

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee