CN1894774A - 用于cmos的应变晶体管集成 - Google Patents

用于cmos的应变晶体管集成 Download PDF

Info

Publication number
CN1894774A
CN1894774A CNA2004800370179A CN200480037017A CN1894774A CN 1894774 A CN1894774 A CN 1894774A CN A2004800370179 A CNA2004800370179 A CN A2004800370179A CN 200480037017 A CN200480037017 A CN 200480037017A CN 1894774 A CN1894774 A CN 1894774A
Authority
CN
China
Prior art keywords
layer
substrate
silicon
germanium
area
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800370179A
Other languages
English (en)
Other versions
CN100583395C (zh
Inventor
B·博亚诺夫
A·梅希
B·多勒
R·肖
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN1894774A publication Critical patent/CN1894774A/zh
Application granted granted Critical
Publication of CN100583395C publication Critical patent/CN100583395C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7849Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being provided under the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/0251Graded layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66651Lateral single gate silicon transistors with a single crystalline channel formed on the silicon substrate after insulating device isolation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Abstract

本发明各实施例涉及一种CMOS器件,该器件具有(1)选择性沉积在缓变硅着基片的第一区域上的硅材料的NMOS材料,使得选择性沉积硅材料承受由于该硅材料的晶格间距比第一区域上的缓变硅锗基片材料的晶格间距小而产生的拉伸应变,以及(2)选择性沉积在基片的第二区域上的硅锗材料的PMOS沟道,使得选择性沉积硅锗材料承受由于该选择性沉积硅锗材料的晶格间距比第二区域上的缓变硅锗基片材料的晶格间距大而产生的压缩应变。

Description

用于CMOS的应变晶体管集成
技术领域
电路器件及电路器件的制造和结构。
背景技术
提高基片上电路器件(例如,在诸如硅的半导体基片上的集成电路(IC)晶体管、电阻器、电容器等)的性能通常是在设计、制造和操作这些器件时所考虑的主要因素。例如,在设计和制造或构造诸如互补金属氧化物半导体(CMOS)中所常用的金属氧化物(MOS)晶体管半导体器件时,常常需要增加N型MOS器件(NMOS)沟道中电子的移动和增加P型MOS器件(PMOS)沟道中正电荷空穴的移动。
附图说明
本发明的各个实施例通过示例说明,但并不受到附图的限制,在附图中相似标号指示相似元件。应注意,对本说明书中本发明“一”实施例的引用并非必需是同一实施例,而表示至少一个实施例。
图1是一部分半导体基片衬底的横截面示图。
图2是基片上形成缓变(graded)硅锗材料层之后图1所示的半导体基片。
图3示出在缓变硅锗材料区域之间形成电绝缘材料之后图2所示的半导体基片。
图4示出硅材料层在选择性沉积在缓变硅锗材料的第一区域上之后图1所示的半导体基片。
图5示出硅锗材料层在选择性沉积在缓变硅锗材料的第二区域上之后图1所示的半导体基片,其中硅锗材料具有比缓变硅锗材料在第二区域上更高的锗浓度。
图6示出在选择性沉积硅和选择性沉积硅锗材料上形成高介电常数材料层之后图1的半导体基片。
图7示出在选择性沉积硅材料中形成NMOS器件和在选择性沉积硅锗材料中形成PMOS器件之后图1所示的半导体基片。
具体实施方式
图1是半导体衬底基片的局部横截面示图。如图1所示,硅基片110可包括由多晶硅、单晶硅形成或生长、或用于形成诸如硅晶片的硅衬底或基片的各种其它适当技术。例如,根据各实施例,衬底110可通过使单晶硅基片材料生长厚度H0为100埃到1000埃之间的纯硅来形成。
图2是在基片上形成缓变硅锗(SiGe)材料层之后图1所示的半导体基片。图2示出在基片衬底110的顶部形成缓变硅锗的基片材料120。例如,基片材料120可以是通过诸如半导体器件制造腔室的腔室内的缓变弛豫SiGe的化学气相沉积(CVD)外延生长所制成的缓变弛豫硅合金材料层。更具体地说,这种CVD生长可通过将基片衬底110置入腔室、在5标准升每分钟(SLM)到50SLM之间的氢气环绕流中将腔室内加热到500℃~1000℃之间的温度、(诸如通过大气压减压)使该腔室的压力处于10~200托之间、使硅先驱体(precursor)(比如在此所述的硅先驱体)以50~500SCCM的流速流入腔室、并将锗先驱体的流速从0SCCM缓慢增加到足以使上表面129具有10%~35%之间百分比的锗的最终流速流入腔室来完成的。更特别地,锗先驱体流可增加到足以使锗从诸如下表面121的0%初始浓度的缓变增加到诸如上表面129的20~30%的最终锗浓度,其中锗浓度的缓变速率为在深度上每毫米(诸如厚度H3中的每毫米)10%锗。根据各实施例,基片材料120可被视为在诸如上表面129上具有5~20%的最终锗浓度的锗浓度。
于是,根据各实施例,缓变硅锗材料的缓变速率和/或厚度可变化,以提供由下表面121上开始的选定缓变速率所导致的上表面129的最终选定锗浓度。此外,根据各实施例,缓变速率可通过缓变中的持续变化、缓变中的线性变化、缓变中的非线性变化、和/或基片材料120中锗度的阶跃缓变变化来建立。具体地说,例如,可增加锗先驱体流,使得缓变的速率可平稳连续地增加,或者使缓变速率可具有基片材料120中锗浓度的每1000~2000埃1%~2%之间增量的急剧阶跃缓变变化。此外,根据各实例,锗先驱体的初始流速、锗先驱体的流速增加、以及锗先驱体的最终流速可取决于(比如上表面129的)的基片材料120中锗的期望最终目标浓度、在形成期间使用的温度、以及锗先驱体的浓度来选择并广泛变化。
例如,在一实施例中,锗先驱体可以是锗烷(GeH4),并可在流速上随时间线性地或非线性地增加,以使上表面129具有所选定的锗百分比。此外,锗先驱体可以用H2稀释的锗烷先驱体,或者可以是增加到100SCCM或以下的最终流速的纯锗烷。实际上,有可能将锗先驱体的流速增加到使所生长的弛豫缓变硅锗薄膜在上表面129为100%锗。
类似地,根据各实施例,基片材料120可以是具有从下表面121的0%增加到上表面120的10%~30%的缓变浓度的缓变弛豫硅锗材料,其中缓变速率为在深度(诸如与厚度H3相关的深度)上每毫米增加5%~15%的锗。缓变弛豫硅锗包括“弛豫”状态的缓变硅锗,诸如其中SiGe结构(基片衬底110加基片材料120)中的硅和锗分子的对准具有相对较少的错位、甚至Ge的百分比缓变增加(比如通过平滑或阶跃缓变增加)。
此外,根据各实施例,形成缓变弛豫硅锗可包括在基片材料120的CVD外延生长期间HCl在50SCCM~100SCCM之间的流动。例如,足够量的HCl可在形成基片材料120期间引入,以增加或改进上表面129的平整度、减少或控制在弛豫硅锗生长期间发展的所谓“网状线”(诸如减少上表面129上可归因于沉积期间硅锗分子的弛豫的十字形应变或网格图案)。此外,根据各实施例,尽管上述基片材料120是由缓变硅锗所形成的,但基片材料120可通过CVD外延伸展、超高真空(UHV)CVD外延生长、和/或各种适当硅合金(比如硅锗)的分子束外延(MBE)外延生长而形成。因而,例如,基片材料120可通过各种适当硅合金材料的充分CVD形成,以形成具有厚度为1~3毫米之间的硅合金材料缓变弛豫层,诸如通过硅锗的CVD形成具有2毫米的厚度H3的缓变基片材料120。此外,基片材料120可通过适当的层转移/粘合技术形成,诸如绝缘体上基片SiGe(SGOI)处理,其中通过由适当处理在大块基片上生长SiGe、然后将SiGe的弛豫顶层转移到不同基片(诸如可以是二氧化硅晶片的基片衬底110)来准备弛豫SiGe基片,以形成基片材料120。基片材料120还被视为可以是非缓变的硅合金材料。
图2还示出上表面129具有第一区域123和第二区域125的基片材料120,这些区域适用于沉积晶体管器件半导体沟道材料。例如,图3示出在缓变硅锗材料的区域之间形成电绝缘材料之后图2所示的半导体基片。图3示出第一区域123和第二区域125之间的线沟槽绝缘(STI)材料130。尽管图3示出第一区域123和第二区域125之间的STI材料130,但可预期足以使CMOS器件的P型阱与CMOS器件的N型阱相隔离的各种适当电性能绝缘材料和结构。
然后,根据各实施例,基片材料120可在第一区域123上掺杂硼和铝之一,以形成诸如用于CMOS器件的NMOS晶体管的具有正电荷的P型阱区域122。类似地,基片材料120可在第二区域125上掺杂磷、砷、和/或锑,以形成诸如用于CMOS器件的PMOS晶体管的具有负电荷的N型阱区域124。为了有选择地掺杂第一区域123和第二区域125,可将掩模置于非选定区域上以防止沉积物质被引入到非选定的区域。
在基片材料120中形成P型阱区域122和N型阱区域124之后,可形成具有厚度适合于基片材料120的第一区域123上第一电路器件的第一沟道的硅材料层,以限定基片材料120的第一界面表面。此外,可形成适用于基片材料120的第二区域125上第二电路器件的第二沟道的硅锗层,以限定基片材料120的第二界面表面。例如,图4示出了在缓变硅锗材料的第一区域上的选择性沉积硅材料层之后图1所示的半导体基片。图4示出了在基片材料120的第二区域125上形成的第一介质层140。根据各实施例,第一介质层140可由诸如抗蚀刻和/或介质材料的材料形成,包括二氧化硅(SiO2)、氮化硅(Si3N4)、抗蚀刻介质、或其它适当介质。
在形成第一介质层140之后,可在基片材料120的第一区域123上形成第一层150。例如,如图4所示,第一层150是由拉伸应变硅的选择性CVD外延生长所形成的硅材料外延层,诸如可承受由于比第一区域123上弛豫缓变硅锗基片材料120的晶格间距小的硅材料晶格间距所引起的在箭头152和154方向上的拉伸应变的硅层。硅层的选择性CVD外延生长可包括:将不具第一层150的结构400置入腔室、在5SLM~50SLM之间的氢气环绕流中将腔室内加热到600℃~900℃之间的温度、(诸如通过大气压或减压)使该腔室的压力处于10~200托之间、并使硅先驱体以50~500SCCM的流速流入腔室以形成厚度H1为10纳米~20纳米之间的硅材料外延层。例如,第一层150可具有这样的厚度,从而在第一区域123上的第一层150与基片材料120的上表面129相耦合所限定的第一界面上足以避免第一层150和基片材料120之间的错位、错合或丝状错位。
更具体地说,形成第一层150可包括流入二氯甲硅烷(SiH2Cl2),以选择性地沉积具有100~1000埃厚度纯硅的硅材料。此外,可期望形成第一层150可包括诸如通过在拉伸应变硅的选择性CVD外延生长期间流入HCl,引入50~500SCCM之间的HCl(比如上述与形成基片材料120相关)。此外,根据各实施例,尽管如上所述第一层150可通过CVD外延生长形成,但第一层150可通过其它适当工艺来形成,包括本文所述的UHV CVD外延生长、SGOI、和/或MBE外延生长,以形成硅层。
同样,根据各实施例,第一层150可包括在第一区域123上形成时承受拉伸应变的其它适当硅材料。
在形成第一层150之后,第二介质层可在第一层150上形成,然后可在基片材料120的第二区域125上形成适用于第二电路器件的第二沟道的硅锗材料层。例如,图5示出在缓变硅锗材料的第二区域上的选择性沉积硅锗材料层之后图1所示的半导体基片,其中硅锗材料具有比缓变硅锗材料在第二区域上更高的锗浓度。图5示出适用于在缓变硅锗基片材料120的第二区域125上形成的第二电路器件的第二沟道的不同第二层160,以及在第一区域123的第一层150上共同形成的第二介质层142。根据各实施例,第二介质层142可在第一层150的表面上共同地沉积,因为第二介质层142的厚度全部一致,并符合第一层150表面的外形。
特别地,图5示出第二层160,诸如可通过压缩应变硅锗的选择性CVD外延生长形成的硅合金材料外延层。例如,通过将不具第二层160的结构500置入腔室,在5SLM~50SLM之间氢气环绕(H2)流中使腔室内加热到500℃~800℃之间的温度、(诸如通过大气压或减压)使该腔室的压力处于10~200托之间、使硅先驱体以50~500SCCM的流速流入腔室并以高达100SCCM(未加稀释的)流速使先驱体流入,以使第二层160具有20%~60%之间百分比的锗。因而,可形成诸如具有足够锗百分比的第二层160,以使第二层160可承受由于比第二区域125上缓变硅锗基片材料120的晶格间距大的硅合金材料外延层的晶格间距所引起的在箭头162和164方向的压缩应变。具体地,第二层160的形成可包括锗先驱体以这样的速度流动,使第二层160为厚度H2在10纳米~20纳米之间的硅锗材料外延层。因此,第二层160可具有这样的厚度,从而在第二区域125上的第二层160与基片材料120的上表面129相耦合的限定的第二界面上足以避免错位、错合或丝状错位。
可以理解,使硅先驱体流动用于形成第二层160可包括以诸如上述相对于流动硅先驱体形成基片初底110和第一层150的速率来使先驱体流入或流动。更具体地,例如,上述用于形成第二层160的硅先驱体可以是在与锗先驱体流入组合时以足够使硅锗材料形成来提供具有厚度H2为100~1000埃硅锗材料的第二层160的速率流入的二氯甲硅烷(SiH2Cl2)。类似地,与形成第二层160相关的上述锗先驱体的流入可包括使锗先驱体流入、或以与上述流入的锗先驱体相关的流速使锗先驱体流入,以形成缓变的硅锗基片材料120。具体地说,例如,所流入的锗先驱体而形成第二层160可包括使锗烷(GeH4)流入而足以使第二层160具有选定百分比的锗和选定厚度(比如通过与形成与形成图2的缓变硅锗基片材料相关地使锗烷流入)。
此外,可期望形成第二层160可包括引入50~500SCCM之间的HCl,如上述与形成图4第一层150相关。另外,根据各实施例,尽管上述第二层160为由缓变硅锗形成,但第二层160可通过各种适当硅合金(例如硅锗)的CVD外延生长、UHV CVD外延生长、SGOI、和/或MBE外延生长来形成。
除了在上述第一区域123和第二区域125上掺杂之外,根据各实施例,可用“自对准”(self-aligned)方式进行掺杂,诸如不用附加掩模的方式。例如,图4所示的第一介质140可在图3的晶片300上沉积(例如包括第一区域123和第二区域125)。然后,保护层(例如光致抗蚀剂)可旋涂并暴露在P阱122上。然后去除保护层并蚀刻第一介质140,以暴露P阱122上的第一区域123。然后,可进行离子注入以掺杂P阱122(比如用上述用于掺杂第一区域123的掺杂物)。从晶片300剥去剩下的保护层,且第一层150如图4所示地选择性沉积。此外,当形成第二介质142和第二层160时可使用相似工艺来掺杂第二区域125(比如用上述用于掺杂第二区域125的掺杂物),并产生图5所示的结构。可以理解,上述某些“自对准”掺杂工艺的顺序可颠倒。
此外,根据各实施例,对松弛豫硅锗基片材料中(比如,诸如通过平滑或阶跃缓变Ge百分比增加的、具有Ge百分比增加的基片材料120)锗的增加百分比或缓变浓度,以及缓变弛豫硅锗基片材料和沟道SiGe之间界面上锗的突然增加(诸如在第二区域125上具有比基片材料120高例如10%~30%之间锗百分比的第二层160上的突然增加)进行区分。因而,沟道SiGe材料(例如第二层160)可形成与缓变弛豫基片材料SiGe的相干对准(比如在基片材料120的第二区域125;其中基片材料120也可在缓变基片内诸如沿厚度H3相干对准),但由于基片/沟道界面处(比如第二区域125接触第二层160之处)沟道材料和基片材料之间锗百分比的跃变而将承受压缩应变162和164。另外,尽管以上对形成第二层160的描述集中于形成硅锗层,但根据各实施例。第二层160可由各种适当硅合金材料形成,诸如通过这种材料的选择性外延CVD。
注意,第一层150和/或第二层160可在形成第一区域123和第二区域125之间的电绝缘区域之后(比如在形成STI材料130之前)形成,使得用于形成电绝缘区域的高温处理将不是减少选定厚度、或第一层150中拉伸应变和/或第二层160中压缩应变的引入弛豫的一个因素。此外,可以理解,第一层150和/或第二层160在第一区域123和第二区域125上的选择性形成可包括选定为足够小以增大或提供第一层150的充分稳定性的第一区域123的尺寸和第二区域125的尺寸,以允许在第一区域123上具有选定锗百分比的驰预缓变硅锗基片材料120的缓冲区上的拉伸应变沉积、和允许在第二区域125上具有选定锗百分比的弛豫缓变硅锗基片材料120的缓冲区上的压缩应变沉积,其中第二区域125上的选定锗百分比约等于第一区域123上的选定锗百分比。
此外,第一层150可用硼和/或铝掺杂以形成具有正电荷的P型阱区域(参见上述第一介质层140),而第二层160可用磷、砷、和/或锑掺杂,以形成具有负电荷的N型阱区域。例如,第一层150和/或第二层160可通过引入以上在沉积期间相同的参杂物来掺杂、或用在沉积第一层150和/或第二层160之后相同的掺杂物掺杂。因而,第一层150和/或第二层160可用足量的适当类型掺杂物掺杂,以诸如为NMOS器件和/或PMOS器件分别形成N型沟道区域和/或P型沟道区域,用于CMOS电路。具体地说,例如,第一层150和、或第二层160可用沟道材料的1×1017和1×1018每立方厘米掺杂物粒子进行掺杂。因而,这种掺杂可用少于将因过度杂质散布而导致退化载流子迁移率的掺杂物粒子量来进行。
在形成第二层160之后,第三介质层可在第一层150和不同第二层160上形成。例如,图6示出在选择性沉积硅和选择性沉积硅锗材料上形成高介电常数材料层之后图1所示的半导体基片。图6示出第三介质层144,诸如具有相对较高介电常数的介质材料层(例如“高K介质”,K大于或等于3.9和/或二氧化硅(SiO2)的K),厚度为2~4纳米,在第一层150和第二层160上形成。第三介质层144可通过原子层沉积(ALD)来形成,诸如通过二氧化硅(SiO2)、氧化铪(HfO)、硅酸铪(HfSiO4)、四硅酸铪(HfSi4O7)、氧化锆(ZrO)、硅酸锆(ZrSiO4)、氧化钽(Ta2O5)。
图7示出在选择性沉积硅材料中形成NMOS器件和在选择性沉积硅锗材料中形成PMOS器件之后图1所示的半导体基片。图7示出掺杂后形成P型沟道区域176的第一层150和掺杂后形成N型沟道区域186的第二层160。图7还示出在第一层150上的第三介质层144的表面上具有N型栅电极170(例如具有负电荷的N型栅电极170)、在第一层150中与N型栅电极170相邻的N型第一结区172和第二结区174(诸如具有负电荷的N型第一结区172和第二结区174)的NMOS器件178。图7还示出在N型栅电极170的表面上形成的NMOS隔离片712和714。类似地,图7示出在第二层160上的第三介质层144的表面上具有P型栅电极180(例如其中P型栅电极180具有负电荷)、在第二层160中与P型栅电极180相邻的P型第一结区182和P型第二结区184(诸如其中P型第一结区182和P型第二结区184(诸如其中P型第一结区182的第二结区184具有负电荷)的PMOS器件188。图7还示出在P型栅电极180的表面上形成的PMOS隔离片412和414。
因而,根据各实施例,第一层150可形成为适用作基片材料120的第一区域123上的NMOS器件178的P型沟道区域176,第一层150具有与限定基片的第一界面表面(比如在第一区域123)的基片材料的基片晶格间距不同(诸如比之小)的第一晶格间距的第一材料。类似地,第二层160可形成为适用作基片材料120的不同第二区域125上的PMOS器件188的N型沟道区域186,第二层160具有与第一层的第一晶格间距不同且与基片材料的基片晶格间距不同的第二晶格间距的不同第二材料(比如,第二晶格间距具有比基片材料大的晶格间距),其中第二层限定基片的第二界面表面(比如在第二区域125)。显然,第一层150的第一晶格间距与第一区域123上基片晶格间距的差异可限定第一层150中箭头152和154方向上的拉伸应变,该拉伸应变足以增强或增加第一层150中的电子迁移率(诸如至少50%、75%、80%或85%)。类似地,第二层160的第二晶格间距和第二区域125上基片晶格间距之间的差异可限定第二层160中箭头162和164所示方向上的压缩应变,该压缩应变足以增强或增加第一层150中的空穴迁移率(诸如至少50%、80%、90%、100%或110%)。
此外,可以理解,第一层150中的拉伸应变可以是双轴拉伸应变,诸如在箭头152和154方向上、以及在指向观察者并离开图5-7所示的第一层150的横截面的箭头方向上向外拉伸或扩展第一层150。类似地,可以理解,第二层160中的压缩应变可以是双轴压缩应变,诸如在箭头162和164方向上、以及在离开观察者并指向图5-7所示的第二层160的横截面的箭头方向上向内收缩或挤压第二层160。更特别地,基片材料120的厚度、锗在上表面129上的浓度、第一层150的厚度、第二层160的厚度、以及锗在第二层160中的百分比如本文所述地作选择,使得两维相干拉伸应变因第一层150在第一区域123与基片材料120接合而在第一层150中感生(比如在第一区域123用基片材料120的原子结构排列的第一层150材料的原子结构引起的相干应变,虽然第一层150的材料具有比第一区域123的材料更小晶格间隔的晶格对准)。类似地,以上选择可作成:两维相干压缩应变因第二层160在第二区域125与基片材料120接合而在第二层160中感生(比如在第二区域125用基片材料120的原子结构排列的第二层160材料的原子结构引起的相干应变,虽然第二层160的材料具有比第二区域125的材料更大晶格间隔的晶格对准)。
因此,对于Si1-XGeX的基片材料、第一材料硅、和第二材料Si1-YGeY,其中10X表示锗在第一区域123和第二区域125上缓变硅锗基片材料120中的百分比,而10Y表示锗在接近第二域125的第二层160中的百分比,X可比Y小。例如,X可以为0.1~0.3,而Y为0.2~0.6。在一些实施例中,Y可以大于X为0.1~0.3。此外,在一实施例中,X可以为0.2而Y可以为0.5。
在前面说明书中,本发明参照其特定实施例进行了描述。然而,显然可对其作各种更改和改变,而不背离本发明如权利要求中所述的更精神和范围。因此,说明书和附图被视为是说明性的,而非限制性意义。

Claims (31)

1.一种方法,包括:
将第一层形成为适用作基片的第一区域上的第一电路器件的第一沟道,所述第一层包括具有与限定所述基片的第一界面表面的基片材料的基片晶格间距不同的第一晶格间距的第一材料;以及
将第二层形成为适用作基片的不同第二区域上的第二电路器件的第二沟道,所述第二层包括具有与第一晶格间距不同,且与限定所述基片的第二界面表面的基片材料的基片晶格间距不同的第二晶格间距的不同第二材料。
2.如权利要求1所述的方法,其特征在于,所述第一晶格间距和所述基片晶格间距之间的差异限定所述第一材料中的拉伸应变,且其中所述第二晶格间距和所述基片晶格间距之间的差异限定所述第二材料中的压缩应变。
3.如权利要求1所述的方法,其特征在于,所述基片材料包括缓变硅合金材料;
其中形成所述第一层包括沉积足够厚度的硅材料,以导致所述第一层中的双轴拉伸应变;以及
其中形成所述第二层包括沉积足够厚度的具有一定合金百分比的硅合金材料,以导致所述第二层中的双轴相干压缩应变。
4.如权利要求1所述的方法,其特征在于,所述基片材料是缓变硅合金材料,它具有足够厚度,并在第一和第二区域上具有在一合金百分比上足够增加到最终的合金百分比,以导致所述第一层中的双轴拉伸应变以及所述第二层中的双轴相关压缩应变。
5.如权利要求1所述的方法,其特征在于,所述基片材料包括Si1-XGeX,所述第一材料包括硅,所述第二材料包括Si1-YGeY,且X<Y。
6.如权利要求5所述的方法,其特征在于,X为0.1到0.3之间,而Y为0.2到0.6之间。
7.如权利要求1所述的方法,还包括通过硅合金材料的充分化学气相沉积以形成硅合金材料的缓变弛豫层来形成所述基片材料。
8.如权利要求7所述的方法,其特征在于,形成硅合金材料的缓变弛豫层包括:
缓变弛豫SiGe的化学气相沉积(CVD)外延生长包括:
在5标准升每分钟(SLM)到50SLM之间的氢气环绕流中将所述基片加热到500°到1000°之间的温度;
使所述基片的压力处于10到200托之间;
使硅先驱体以50标准立方厘米/分钟(SCCM)到500SCCM的流速流入;以及
使锗先驱体的流速从0SCCM缓慢增加到足以使所述基片的第一界面表面和第二界面表面具有10%到35%之间百分比的锗的最终流速。
9.如权利要求8所述的方法,其特征在于,所流入的硅先驱体包括流入硅烷(SiH4)、乙硅烷(Si2H6)、以及二氯甲硅烷(SiH2Cl2)之一,以沉积具有100到1000埃厚度纯硅的基片衬底材料。
10.如权利要求8所述的方法,其特征在于,增加锗先驱体的流速包括将锗烷(GeH4)的流速从0SCCM增大至足以使第一界面表面和所述基片的第二界面表面具有所述百分比的锗。
11.如权利要求7所述的方法,其特征在于,所形成的缓变弛豫SiGe包括在SiGe的化学气相沉积(CVD)外延生长期间流入50SCCM到100SCCM之间的HCl。
12.如权利要求1所述的方法,其特征在于,形成所述第一层包括硅材料的充分选择性化学气相沉积,以形成硅材料在所述第一区域上的外延层。
13.如权利要求12所述的方法,其特征在于,形成硅材料的外延层包括:
拉伸应变Si的选择性化学气相沉积(CVD)外延生长包括:
在5标准升每分钟(SLM)到50SLM之间的氢气环绕流中将所述基片加热到600°到900°之间的温度;
使所述基片的压力处于10到200托之间;
使硅先驱体以50标准立方厘米/分钟(SCCM)到500SCCM的流速流入。
14.如权利要求13所述的方法,其特征在于,使硅先驱体流入包括流入二氯甲硅烷(SiH2Cl2),以沉积具有100到1000埃厚度纯硅的基片衬底材料。
15.如权利要求12所述的方法,其特征在于,形成硅材料的外延层包括在拉伸应变Si的化学气相沉积(CVD)外延生长期间流入50到500SCCM之间的HCl。
16.如权利要求1所述的方法,其特征在于,形成所述第二层包括硅合金材料的充分选择性化学气相沉积,以形成硅合金材料在所述第二区域上的外延层。
17.如权利要求16所述的方法,其特征在于,形成硅合金材料的外延层包括:
压缩应变SiGe的化学气相沉积(CVD)外延生长包括:
在5标准升每分钟(SLM)到50SLM之间的氢气环绕流中将所述基片加热到500°到800°之间的温度;
使所述基片的压力处于10到200托之间;
使硅先驱体以50标准立方厘米/分钟(SCCM)到500SCCM的流速流入;以及
以高达100标准立方厘米/分钟(SCCM)的流速使锗先驱体流入,以使第二层具有20%到50%之间百分比的锗。
18.如权利要求17所述的方法,其特征在于,所流入的硅先驱体包括流入二氯甲硅烷(SiH2Cl2),以沉积具有100到1000埃厚度SiGe的SiGe材料。
19.如权利要求17所述的方法,其特征在于,所流入的锗先驱体包括流入锗烷(GeH4),以使所述第二层沉积具有100到1000埃厚度的SiGe材料。
20.如权利要求16所述的方法,其特征在于,形成硅合金材料的外延层包括在拉伸应变Si的化学气相沉积(CVD)外延生长期间流入50到500SCCM之间的HCl。
21.如权利要求1所述的方法,还包括:
在形成所述第一层之前形成缓变SiGe材料的基片;以及
在形成所述第一层之前形成所述第一区域和所述第二区域之间的电绝缘材料。
22.如权利要求21所述的方法,还包括:
在所述第一区域上用硼和铝之一掺杂,以形成具有正电荷的P型阱区域;以及
在所述第二区域上用磷、砷和锑之一掺杂,以形成具有负电荷的N型阱区域。
23.如权利要求22所述的方法,还包括:
在形成所述第一层之前在所述基片的不同第二区域上形成第一介质层;
在形成所述不同第二层之前在所述第一层上形成第二介质层;
在所述第一层和所述不同第二层上形成第三介质层;
其中,所述第三介质层通过二氧化硅(SiO2)、氧化铪(HfO)、硅酸铪(HfSiO4)、四硅酸铪(HfSi4O7)、氧化锆(ZrO)、硅酸锆(ZrSiO4)、氧化钽(Ta2O5)之一的原子层沉积(ALD)形成。
24.如权利要求23所述的方法,还包括:
用硼和铝之一掺杂所述第一层,以形成具有正电荷的P型阱区域;
用磷、砷和锑之一掺杂所述第二层,以形成具有负电荷的N型阱区域;
在所述第一层上的第三介质层的表面上形成N型栅电极;
在与所述N型栅电极相邻的第一层中形成N型第一结区和N型第二结区;
在所述第二层上的第三介质层的表面上形成P型栅电极;
在与所述P型栅电极相邻的第二层中形成P型第一结区和P型第二结区。
25.如权利要求1所述的方法,还包括通过以下来形成所述基片材料:
在大块基片上生长第一厚度的SiGe;
将弛豫顶层厚度的所述SiGe材料转移到包括绝缘体材料的基片上。
26.一种装置,包括:
硅材料层,适用作Si1-XGeX材料的第一区域上的第一电路器件的第一沟道,以限定缓变弛豫硅锗材料的基片的第一界面表面;
其中,所述硅材料层处于由于所述硅材料的晶格间距比所述第一界面上Si1-XGeX材料的晶格间距小而产生的拉伸应变。
27.如权利要求26所述的装置,还包括Si1-YGeY材料层,适用作Si1-XGeX材料的第二区域上的第二电路器件的第二沟道,以限定缓变弛豫硅锗材料的基片的第二界面表面;
其中,所述Si1-YGeY材料层处于由于所述Si1-YGeY材料层的晶格间距比所述第一界面上Si1-XGeX材料的晶格间距大而产生的压缩应变。
28.如权利要求27所述的装置,其特征在于,所述硅材料层是具有10纳米到20纳米之间厚度的硅材料外延层;且其中所述Si1-YGeY材料层是具有10纳米到20纳米之间厚度的Si1-YGeY材料外延层。
29.一种装置,包括:
Si1-YGeY材料层,适用作Si1-XGeX材料的第二区域上的第二电路器件的第二沟道,以限定缓变弛豫硅锗材料的基片的第二界面表面;
其中,所述Si1-YGeY材料层处于由于所述Si1-YGeY材料层的晶格间距比所述第一界面上Si1-XGeX材料的晶格间距大而产生的压缩应变。
30.如权利要求29所述的装置,其特征在于,X为0.2而Y为0.5。
31.如权利要求29所述的装置,其特征在于,所述缓变弛豫硅锗材料具有以下之一:厚度为1微米到3微米之间、锗的缓变浓度在第一和第二界面之间从0%增加到10%到30%之间、以及缓变浓度速率为在深度上每微米增加5%到15%的锗。
CN200480037017A 2003-12-23 2004-12-13 半导体装置及其制造方法 Expired - Fee Related CN100583395C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/747,321 2003-12-23
US10/747,321 US7662689B2 (en) 2003-12-23 2003-12-23 Strained transistor integration for CMOS

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN200910253860.5A Division CN101714528B (zh) 2003-12-23 2004-12-13 半导体装置及其制造方法

Publications (2)

Publication Number Publication Date
CN1894774A true CN1894774A (zh) 2007-01-10
CN100583395C CN100583395C (zh) 2010-01-20

Family

ID=34679296

Family Applications (2)

Application Number Title Priority Date Filing Date
CN200480037017A Expired - Fee Related CN100583395C (zh) 2003-12-23 2004-12-13 半导体装置及其制造方法
CN200910253860.5A Expired - Fee Related CN101714528B (zh) 2003-12-23 2004-12-13 半导体装置及其制造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN200910253860.5A Expired - Fee Related CN101714528B (zh) 2003-12-23 2004-12-13 半导体装置及其制造方法

Country Status (7)

Country Link
US (4) US7662689B2 (zh)
JP (2) JP2007515808A (zh)
KR (1) KR100940863B1 (zh)
CN (2) CN100583395C (zh)
DE (1) DE112004002373B4 (zh)
TW (1) TWI256140B (zh)
WO (1) WO2005067014A1 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100570823C (zh) * 2007-11-06 2009-12-16 清华大学 一种使用缩颈外延获得低位错密度外延薄膜的方法
CN101847605A (zh) * 2009-03-27 2010-09-29 国际商业机器公司 用于正规化半导体器件中的应变的方法以及半导体器件
CN101976667A (zh) * 2010-09-06 2011-02-16 清华大学 一种高性能cmos器件
CN101527280B (zh) * 2008-03-06 2011-07-13 台湾积体电路制造股份有限公司 半导体结构及其制造方法
CN102163619A (zh) * 2010-02-19 2011-08-24 三星电子株式会社 晶体管及其制造方法
CN105206583A (zh) * 2015-08-28 2015-12-30 西安电子科技大学 基于SOI的应变Si沟道倒梯形栅CMOS集成器件及制备方法
CN109314133A (zh) * 2016-06-30 2019-02-05 英特尔公司 具有后道晶体管的集成电路管芯
CN112542482A (zh) * 2020-12-02 2021-03-23 海宁波恩斯坦生物科技有限公司 一种无源空腔型单晶FBAR与有源GaN HEMT的单片异质异构集成结构

Families Citing this family (263)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW415103B (en) * 1998-03-02 2000-12-11 Ibm Si/SiGe optoelectronic integrated circuits
US8994104B2 (en) 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US6903384B2 (en) * 2003-01-15 2005-06-07 Sharp Laboratories Of America, Inc. System and method for isolating silicon germanium dislocation regions in strained-silicon CMOS applications
US7456476B2 (en) * 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7354815B2 (en) * 2003-11-18 2008-04-08 Silicon Genesis Corporation Method for fabricating semiconductor devices using strained silicon bearing material
US7462526B2 (en) * 2003-11-18 2008-12-09 Silicon Genesis Corporation Method for fabricating semiconductor devices using strained silicon bearing material
US7662689B2 (en) 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
US7154118B2 (en) * 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US20050266632A1 (en) * 2004-05-26 2005-12-01 Yun-Hsiu Chen Integrated circuit with strained and non-strained transistors, and method of forming thereof
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7279756B2 (en) * 2004-07-21 2007-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with high-k gate dielectric and quasi-metal gate, and method of forming thereof
DE102004036971B4 (de) * 2004-07-30 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Technik zur Bewertung lokaler elektrischer Eigenschaften in Halbleiterbauelementen
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7332407B2 (en) * 2004-12-23 2008-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for a semiconductor device with a high-k gate dielectric
JP4369379B2 (ja) * 2005-02-18 2009-11-18 富士通マイクロエレクトロニクス株式会社 半導体装置
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7195934B2 (en) * 2005-07-11 2007-03-27 Applied Materials, Inc. Method and system for deposition tuning in an epitaxial film growth apparatus
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
TWI267926B (en) * 2005-09-23 2006-12-01 Ind Tech Res Inst A new method for high mobility enhancement strained channel CMOS with single workfunction metal-gate
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US7525160B2 (en) * 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
US20070152266A1 (en) * 2005-12-29 2007-07-05 Intel Corporation Method and structure for reducing the external resistance of a three-dimensional transistor through use of epitaxial layers
US7772060B2 (en) * 2006-06-21 2010-08-10 Texas Instruments Deutschland Gmbh Integrated SiGe NMOS and PMOS transistors
US8080452B2 (en) 2006-08-01 2011-12-20 Nxp, B.V. Effecting selectivity of silicon or silicon-germanium deposition on a silicon or silicon-germanium substrate by doping
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
KR100809327B1 (ko) * 2006-08-10 2008-03-05 삼성전자주식회사 반도체 소자 및 그 제조방법
DE102006051492B4 (de) * 2006-10-31 2011-05-19 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit NMOS- und PMOS-Transistoren mit eingebettetem Si/Ge-Material zum Erzeugen einer Zugverformung und einer Druckverformung und Verfahren zur Herstellung eines solchen Halbleiterbauelements
US7863653B2 (en) * 2006-11-20 2011-01-04 International Business Machines Corporation Method of enhancing hole mobility
US8558278B2 (en) * 2007-01-16 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with optimized drive current and method of forming
US8450165B2 (en) * 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
KR20090038653A (ko) * 2007-10-16 2009-04-21 삼성전자주식회사 Cmos 소자 및 그 제조방법
US7842982B2 (en) 2008-01-29 2010-11-30 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
JP4543093B2 (ja) * 2008-01-29 2010-09-15 株式会社東芝 半導体装置
US7943961B2 (en) * 2008-03-13 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US7808051B2 (en) * 2008-09-29 2010-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell without OD space effect in Y-direction
US20100102393A1 (en) * 2008-10-29 2010-04-29 Chartered Semiconductor Manufacturing, Ltd. Metal gate transistors
US20100181626A1 (en) * 2009-01-21 2010-07-22 Jing-Cheng Lin Methods for Forming NMOS and PMOS Devices on Germanium-Based Substrates
US8017469B2 (en) 2009-01-21 2011-09-13 Freescale Semiconductor, Inc. Dual high-k oxides with sige channel
US8217470B2 (en) * 2009-02-12 2012-07-10 International Business Machines Corporation Field effect device including recessed and aligned germanium containing channel
FR2953989B1 (fr) * 2009-12-10 2012-05-18 Commissariat Energie Atomique Procede de formation de materiaux metalliques comportant des semi-conducteurs
JP5166458B2 (ja) 2010-01-22 2013-03-21 株式会社東芝 半導体装置及びその製造方法
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US8828840B2 (en) * 2011-01-12 2014-09-09 Chinese Academy of Sciences, Institute of Microelectronics Semiconductor device and method for manufacturing the same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8647941B2 (en) * 2011-08-17 2014-02-11 United Microelectronics Corp. Method of forming semiconductor device
CN102437127A (zh) * 2011-11-30 2012-05-02 上海华力微电子有限公司 基于硅-锗硅异质结的单晶体管dram单元及其制备方法
CN102437126A (zh) * 2011-11-30 2012-05-02 上海华力微电子有限公司 基于源体异质结的单晶体管dram单元及其制备方法
US8680576B2 (en) * 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
US9029959B2 (en) 2012-06-29 2015-05-12 International Business Machines Corporation Composite high-k gate dielectric stack for reducing gate leakage
EP2682983B1 (en) * 2012-07-03 2016-08-31 Imec CMOS device comprising silicon and germanium and method for manufacturing thereof
US8969190B2 (en) * 2012-08-24 2015-03-03 Globalfoundries Inc. Methods of forming a layer of silicon on a layer of silicon/germanium
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102069275B1 (ko) 2013-06-07 2020-01-22 삼성전자주식회사 변형된 채널층을 갖는 반도체 소자 및 그 제조 방법
KR102021765B1 (ko) 2013-06-17 2019-09-17 삼성전자 주식회사 반도체 장치
KR102077447B1 (ko) 2013-06-24 2020-02-14 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
KR102056874B1 (ko) 2013-07-31 2019-12-17 삼성전자주식회사 핀 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 제조 방법
US9224734B2 (en) 2013-09-13 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS devices with reduced leakage and methods of forming the same
US20160190319A1 (en) * 2013-09-27 2016-06-30 Intel Corporation Non-Planar Semiconductor Devices having Multi-Layered Compliant Substrates
US9553149B2 (en) 2013-11-08 2017-01-24 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with a strained region and method of making
KR102203033B1 (ko) 2013-12-18 2021-01-14 인텔 코포레이션 평면형 이종 디바이스
EP3087602A4 (en) * 2013-12-27 2017-08-09 Intel Corporation Bi-axial tensile strained ge channel for cmos
US20150194307A1 (en) * 2014-01-06 2015-07-09 Globalfoundries Inc. Strained fin structures and methods of fabrication
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102259328B1 (ko) 2014-10-10 2021-06-02 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9443873B1 (en) 2015-12-14 2016-09-13 International Business Machines Corporation Structure and method for tensile and compressive strained silicon germanium with same germanium concentration by single epitaxy step
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10205024B2 (en) * 2016-02-05 2019-02-12 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure having field plate and associated fabricating method
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9842929B1 (en) * 2016-06-09 2017-12-12 International Business Machines Corporation Strained silicon complementary metal oxide semiconductor including a silicon containing tensile N-type fin field effect transistor and silicon containing compressive P-type fin field effect transistor formed using a dual relaxed substrate
US9917154B2 (en) 2016-06-29 2018-03-13 International Business Machines Corporation Strained and unstrained semiconductor device features formed on the same substrate
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10840350B2 (en) * 2016-10-31 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Nanolaminate structure, semiconductor device and method of forming nanolaminate structure
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180063946A (ko) 2016-12-02 2018-06-14 삼성전자주식회사 반도체 메모리 소자 및 그 제조 방법
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10593672B2 (en) 2018-01-08 2020-03-17 International Business Machines Corporation Method and structure of forming strained channels for CMOS device fabrication
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR102271030B1 (ko) * 2019-12-20 2021-07-01 서울대학교산학협력단 선택적 영역 성장을 이용한 cmos 소자의 제조 방법
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4599789A (en) * 1984-06-15 1986-07-15 Harris Corporation Process of making twin well VLSI CMOS
US4619033A (en) * 1985-05-10 1986-10-28 Rca Corporation Fabricating of a CMOS FET with reduced latchup susceptibility
US5155571A (en) * 1990-08-06 1992-10-13 The Regents Of The University Of California Complementary field effect transistors having strained superlattice structure
JPH07115137A (ja) * 1993-10-20 1995-05-02 Hitachi Ltd 半導体装置の製造方法
JPH0823797A (ja) 1994-07-08 1996-01-30 Komatsu Zenoah Co 樹木移植装置
JP3761918B2 (ja) * 1994-09-13 2006-03-29 株式会社東芝 半導体装置の製造方法
WO1996015550A1 (en) * 1994-11-10 1996-05-23 Lawrence Semiconductor Research Laboratory, Inc. Silicon-germanium-carbon compositions and processes thereof
US6445043B1 (en) * 1994-11-30 2002-09-03 Agere Systems Isolated regions in an integrated circuit
JP3372158B2 (ja) * 1996-02-09 2003-01-27 株式会社東芝 半導体装置及びその製造方法
US6051471A (en) * 1996-09-03 2000-04-18 Advanced Micro Devices, Inc. Method for making asymmetrical N-channel and symmetrical P-channel devices
US6399970B2 (en) 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
JP3272966B2 (ja) * 1996-09-17 2002-04-08 株式会社東芝 半導体装置
JPH10270685A (ja) * 1997-03-27 1998-10-09 Sony Corp 電界効果トランジスタとその製造方法、半導体装置とその製造方法、その半導体装置を含む論理回路および半導体基板
US5891769A (en) * 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
JP2002509649A (ja) * 1997-07-11 2002-03-26 テレフオンアクチーボラゲツト エル エム エリクソン 高周波で使用されるic部品を製造するためのプロセス
US6200866B1 (en) * 1998-02-23 2001-03-13 Sharp Laboratories Of America, Inc. Use of silicon germanium and other alloys as the replacement gate for the fabrication of MOSFET
JP4258034B2 (ja) * 1998-05-27 2009-04-30 ソニー株式会社 半導体装置及び半導体装置の製造方法
JP2000068389A (ja) * 1998-08-25 2000-03-03 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2000243854A (ja) 1999-02-22 2000-09-08 Toshiba Corp 半導体装置及びその製造方法
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
JP4521542B2 (ja) * 1999-03-30 2010-08-11 ルネサスエレクトロニクス株式会社 半導体装置および半導体基板
US6174775B1 (en) * 1999-06-25 2001-01-16 Taiwan Semiconductor Manufacturing Company Method for making a dual gate structure for CMOS device
TW426940B (en) * 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
JP2001044425A (ja) * 1999-07-30 2001-02-16 Hitachi Ltd 半導体装置
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
JP2001160594A (ja) * 1999-09-20 2001-06-12 Toshiba Corp 半導体装置
JP2001338988A (ja) * 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
JP2002359247A (ja) * 2000-07-10 2002-12-13 Canon Inc 半導体部材、半導体装置およびそれらの製造方法
US6429061B1 (en) * 2000-07-26 2002-08-06 International Business Machines Corporation Method to fabricate a strained Si CMOS structure using selective epitaxial deposition of Si after device isolation formation
EP1467352B1 (en) * 2000-09-28 2008-01-09 Ricoh Company, Ltd. Phase change optical information recording medium and method for manufacturing same
WO2002052652A1 (fr) 2000-12-26 2002-07-04 Matsushita Electric Industrial Co., Ltd. Composant a semi-conducteur et son procede de fabrication
KR100385857B1 (ko) * 2000-12-27 2003-06-02 한국전자통신연구원 SiGe MODFET 소자 제조방법
JP2002280568A (ja) * 2000-12-28 2002-09-27 Toshiba Corp 半導体装置及びその製造方法
US6306715B1 (en) * 2001-01-08 2001-10-23 Chartered Semiconductor Manufacturing Ltd. Method to form smaller channel with CMOS device by isotropic etching of the gate materials
EP1364411A1 (en) * 2001-03-02 2003-11-26 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed cmos electronics and high speed analog circuits
GB2373256B (en) * 2001-03-14 2005-03-30 Du Pont Fabrics comprising melt spun yarns having high lustre
US6531324B2 (en) * 2001-03-28 2003-03-11 Sharp Laboratories Of America, Inc. MFOS memory transistor & method of fabricating same
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
JP3875040B2 (ja) * 2001-05-17 2007-01-31 シャープ株式会社 半導体基板及びその製造方法ならびに半導体装置及びその製造方法
JP2002343790A (ja) * 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
US6717213B2 (en) * 2001-06-29 2004-04-06 Intel Corporation Creation of high mobility channels in thin-body SOI devices
JP4034627B2 (ja) * 2001-09-28 2008-01-16 テキサス インスツルメンツ インコーポレイテツド 集積回路及びその製造方法
US6703271B2 (en) * 2001-11-30 2004-03-09 Taiwan Semiconductor Manufacturing Company Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
US6600170B1 (en) * 2001-12-17 2003-07-29 Advanced Micro Devices, Inc. CMOS with strained silicon channel NMOS and silicon germanium channel PMOS
US6858500B2 (en) * 2002-01-16 2005-02-22 Fuji Electric Co., Ltd. Semiconductor device and its manufacturing method
US6649492B2 (en) * 2002-02-11 2003-11-18 International Business Machines Corporation Strained Si based layer made by UHV-CVD, and devices therein
US7138310B2 (en) * 2002-06-07 2006-11-21 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US6764908B1 (en) * 2002-06-19 2004-07-20 Advanced Micro Devices, Inc. Narrow width CMOS devices fabricated on strained lattice semiconductor substrates with maximized NMOS and PMOS drive currents
US6878611B2 (en) * 2003-01-02 2005-04-12 International Business Machines Corporation Patterned strained silicon for high performance circuits
US6878592B1 (en) * 2003-01-14 2005-04-12 Advanced Micro Devices, Inc. Selective epitaxy to improve silicidation
US6734072B1 (en) * 2003-03-05 2004-05-11 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a MOSFET device using a spike rapid thermal oxidation procedure
US6955952B2 (en) * 2003-03-07 2005-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Strain balanced structure with a tensile strained silicon channel and a compressive strained silicon-germanium channel for CMOS performance enhancement
US6963078B2 (en) * 2003-03-15 2005-11-08 International Business Machines Corporation Dual strain-state SiGe layers for microelectronics
US7247534B2 (en) * 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7662689B2 (en) 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100570823C (zh) * 2007-11-06 2009-12-16 清华大学 一种使用缩颈外延获得低位错密度外延薄膜的方法
US9257506B2 (en) 2008-03-06 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS devices having dual high-mobility channels
US9472552B2 (en) 2008-03-06 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS devices having dual high-mobility channels
CN101527280B (zh) * 2008-03-06 2011-07-13 台湾积体电路制造股份有限公司 半导体结构及其制造方法
US7993998B2 (en) 2008-03-06 2011-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS devices having dual high-mobility channels
CN101847605A (zh) * 2009-03-27 2010-09-29 国际商业机器公司 用于正规化半导体器件中的应变的方法以及半导体器件
CN101847605B (zh) * 2009-03-27 2014-01-15 国际商业机器公司 用于正规化半导体器件中的应变的方法以及半导体器件
CN102163619A (zh) * 2010-02-19 2011-08-24 三星电子株式会社 晶体管及其制造方法
CN102163619B (zh) * 2010-02-19 2015-06-10 三星电子株式会社 晶体管及其制造方法
CN101976667B (zh) * 2010-09-06 2012-07-18 清华大学 一种高性能cmos器件
CN101976667A (zh) * 2010-09-06 2011-02-16 清华大学 一种高性能cmos器件
CN105206583A (zh) * 2015-08-28 2015-12-30 西安电子科技大学 基于SOI的应变Si沟道倒梯形栅CMOS集成器件及制备方法
CN109314133A (zh) * 2016-06-30 2019-02-05 英特尔公司 具有后道晶体管的集成电路管芯
CN112542482A (zh) * 2020-12-02 2021-03-23 海宁波恩斯坦生物科技有限公司 一种无源空腔型单晶FBAR与有源GaN HEMT的单片异质异构集成结构

Also Published As

Publication number Publication date
DE112004002373B4 (de) 2010-09-16
JP2007515808A (ja) 2007-06-14
WO2005067014A1 (en) 2005-07-21
US7662689B2 (en) 2010-02-16
TWI256140B (en) 2006-06-01
US8373154B2 (en) 2013-02-12
US9112029B2 (en) 2015-08-18
US20130153965A1 (en) 2013-06-20
JP5175367B2 (ja) 2013-04-03
JP2011142325A (ja) 2011-07-21
US20100044754A1 (en) 2010-02-25
CN100583395C (zh) 2010-01-20
CN101714528A (zh) 2010-05-26
KR20060103936A (ko) 2006-10-04
DE112004002373T5 (de) 2006-11-16
CN101714528B (zh) 2014-04-30
KR100940863B1 (ko) 2010-02-09
US20140239345A1 (en) 2014-08-28
US8748869B2 (en) 2014-06-10
US20050136584A1 (en) 2005-06-23
TW200527684A (en) 2005-08-16

Similar Documents

Publication Publication Date Title
CN100583395C (zh) 半导体装置及其制造方法
US11495459B2 (en) Methods for selective deposition using a sacrificial capping layer
US20210020429A1 (en) Methods of forming silicon germanium structures
KR101905299B1 (ko) 산소 공급원으로서 n₂o를 사용하는 원자층 구조들을 포함하는 반도체 소자의 제조 방법
KR100856437B1 (ko) 반도체 디바이스 제조 방법 및 반도체 장치
WO2004006341A1 (en) Heterojunction field effect transistors using silicon-germanium and silicon-carbon alloys
WO2002061842A1 (fr) Film cristallin a semi-conducteurs
US11978771B2 (en) Gate-all-around (GAA) device including a superlattice
CN1732556A (zh) 厚应变硅层及含有厚应变硅层的半导体结构的形成方法
US7247546B2 (en) Method of forming strained silicon materials with improved thermal conductivity
US7935617B2 (en) Method to stabilize carbon in Si1-x-yGexCy layers
US8080826B1 (en) High performance active and passive structures based on silicon material bonded to silicon carbide
CN1612294A (zh) 制造具应变的多层结构及具有应变层的场效晶体管的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100120

Termination date: 20121213