JP4521542B2 - 半導体装置および半導体基板 - Google Patents

半導体装置および半導体基板 Download PDF

Info

Publication number
JP4521542B2
JP4521542B2 JP08783199A JP8783199A JP4521542B2 JP 4521542 B2 JP4521542 B2 JP 4521542B2 JP 08783199 A JP08783199 A JP 08783199A JP 8783199 A JP8783199 A JP 8783199A JP 4521542 B2 JP4521542 B2 JP 4521542B2
Authority
JP
Japan
Prior art keywords
layer
strained
channel
strain
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP08783199A
Other languages
English (en)
Other versions
JP2000286418A (ja
Inventor
信之 杉井
清和 中川
伸也 山口
正信 宮尾
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to JP08783199A priority Critical patent/JP4521542B2/ja
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to EP00911430A priority patent/EP1174928A4/en
Priority to PCT/JP2000/001917 priority patent/WO2000060671A1/ja
Priority to CNB2005100743185A priority patent/CN100386863C/zh
Priority to AU33306/00A priority patent/AU3330600A/en
Priority to CNB008069034A priority patent/CN1210809C/zh
Priority to KR10-2001-7012200A priority patent/KR100447492B1/ko
Priority to TW089105855A priority patent/TW557577B/zh
Publication of JP2000286418A publication Critical patent/JP2000286418A/ja
Priority to US10/920,432 priority patent/US20050017236A1/en
Priority to US12/010,123 priority patent/US7579229B2/en
Priority to US12/505,942 priority patent/US8304810B2/en
Application granted granted Critical
Publication of JP4521542B2 publication Critical patent/JP4521542B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7782Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は半導体装置およびその製造方法に関し、特に電界効果トランジスタを含む半導体装置に関する。
【0002】
【従来の技術】
SiMOS型電界効果トランジスタ(Si-MOSFET)を用いた集積回路では、いわゆるスケーリング則にのっとって、デバイス寸法の縮小や動作電圧の低減などを行うことにより、消費電力の低減と、高速化を両立してきた。
【0003】
しかしながら、寸法縮小に伴い発生する短チャンネル効果の問題や、低電圧化した場合に顕著になる、ドレイン電圧としきい値電圧の近接による動作マージンの低下など、多くの問題点が生じてきている。
【0004】
また、高速化の指標となる移動度に目を向けると、上記のさまざまな改良が、皮肉なことに実デバイスにおけるSiの移動度を100以下と、バルクの値をはるかに下回らせる結果に陥れている。
【0005】
このように従来のSi-MOSFETではもはや性能向上がきわめて困難になってきている。
【0006】
【発明が解決しようとする課題】
これ以上の性能向上には、半導体材料そのものの改良で高速化を図る必要性がある。本質的に高速である所謂化合物半導体を用いることは、ひとつの解答ではあるものの、Si集積回路の製造技術との融合性の点ではなはだ困難であり、かつ製造コストが膨大になるため、現実的な解決策ではない。
【0007】
本発明の目的は、Siおよびこれと同族元素であるGe,Cなどの組合せを用いて、低消費電力で高速な電界効果トランジスタを有する半導体装置を提供することにある。
【0008】
【課題を解決するための手段】
上記目的は、電界効果トランジスタのチャネルが形成されるチャネル形成層に歪印加半導体層により歪を印加せしめ、チャネル中のキャリアの移動度を無歪のチャネル形成層の材料より大きくすることにより達成できる。例えば、チャネル形成層の材料がSiの場合は、歪印加によりSiチャネル形成層の面内の格子定数を無歪のSiより大きくする。
【0009】
SiあるいはGeに歪を印加すると、歪を受けないSiあるいはGeに比べてキャリアの移動度が増大しうることが示唆されている(M.V.Fischetti and S.E.Laux:J.Appl.Phys.80(1996)2234)。これは、サファイア上にSiを堆積すると、Siが面内歪を受けることにより移動度が増加する現象と起源を同じくし、古くから知られていることである。本発明はこの現象を応用して電界効果トランジスタおよびそれを用いた集積回路等の半導体装置を作製するものである。
【0010】
また上記目的は、チャネル形成層とこのチャネル形成層の両面に隣接する層との界面の価電子帯の頂点のエネルギーを、ゲート絶縁膜側の方を他方より大きくしたp型電界効果トランジスタを有する半導体装置によっても達成できる。
【0011】
また上記目的は、チャネル形成層とこのチャネル形成層の両面に隣接する層との界面の伝導帯の頂点のエネルギーを、ゲート絶縁膜側の方を他方より小さくしたn型電界効果トランジスタを有する半導体装置によっても達成できる。
【0012】
また上記目的は、電界効果トランジスタのチャネル中のキャリアに対するエネルギー障壁が、チャネルに対しゲート絶縁膜とは反対側に存在する構造とし、かつチャネルが形成されるチャネル形成層の格子を歪ませて、チャネル中のキャリアの移動度を無歪のチャネル形成層の材料より大きくすることによっても達成できる。
【0013】
【発明の実施の形態】
はじめに歪を受けたSiをチャネルとする電界効果トランジスタのバンド構造と動作原理について説明する。Siに歪を与える歪印加層にはSi1-xGex(0<x<1)を用いることが適当である。図1にSiO2ゲート絶縁膜3/歪Si層1/Si1-xGex歪印加層2という積層構造のバンド図を示す。歪Si層1のバンドギャップ6はSi1-xGex歪印加層2のバンドギャップ7よりも広く、しかも価電子帯5、伝導帯4ともにエネルギーが下がるタイプのバンド不連続を示す。
【0014】
さて、n型の電界効果トランジスタの場合、ゲートに正の電圧を印加してやると、図2のようにゲート絶縁膜3と歪Si層1の界面付近でバンドが曲がり、この部分に出来た歪Si層1中の伝導帯の三角井戸10に電子が蓄積され、トランジスタ動作を行うことが出来る。これは通常のMOS型電界効果トランジスタと全く同じである。
【0015】
また、P型の電界効果トランジスタの場合、ゲートに負の電圧を印加してやると、図3のようにゲート絶縁膜3と歪Si層1の界面付近でバンドが曲がる。ところが、この部分に出来た歪Si層1中の価電子帯の三角井戸11よりも、歪Si層1とSi1-xGex歪印加層2の界面に出来たSi1-xGex歪印加層2中の価電子帯の三角井戸12に多くの正孔が蓄積されてしまう。しかし、歪Si層1に比べてSi1-xGex歪印加層2内の正孔の移動度は著しく小さいため、通常のMOS型電界効果トランジスタと比較して速度の向上が図れないという問題がある。また、相補型電界効果トランジスタを構成した場合に、pn両チャネル間のバランスが取り難くなるという問題がある。
【0016】
このような問題を解決するためには、三角井戸12中の正孔の蓄積を減らせば良く、その方法として以下に示すものがある。1番目の方法は、ソース・ドレインの接合深さを歪Si層1の厚さよりも十分に浅くすることにより、Si1-xGex歪印加層2への正孔の流出を防止する。具体的には、歪Si層1の厚みがたとえば70nmのときに接合深さを40nm程度にすれば良い。これは、チャネル長0.1ミクロン以下の短チャネルデバイスで用いられる値と大差ない値であるので、充分実現可能な値である。
【0017】
2番目の方法は、Si1-xGex歪印加層2の歪Si層1との界面付近に好ましくは深さ0.1〜30nmの範囲で、急峻にn型ドーピングを行なう方法である。この方法により、図4に示すように、 Si1-xGex歪印加層2中の価電子帯の三角井戸12の頂点43のエネルギーレベルが低下する。例えば、歪Si層1中の価電子帯の三角井戸11の頂点42のエネルギーレベルよりも低くなる。その結果、三角井戸12中の正孔の蓄積が減る。この方法は、歪Si層1または歪Si層1とSi1-xGex歪印加層2の両方にn型ドーピングすることによっても実現できる。これらの場合も、ドーピング深さは0.1〜30nmの範囲が好ましい。
【0018】
3番目の方法は、 Si1-xGex歪印加層2側に正の電圧が印加されるように基板バイアス電圧を制御する方法である。この方法により、図5に示すように、Si1-xGex歪印加層2側が下がった右下がりのバンド構造となり、歪Si層1中の価電子帯の三角井戸11の頂点42のエネルギーレベルよりも、Si1-xGex歪印加層2中の価電子帯の三角井戸12の頂点43のエネルギーレベルの方が低くなる。その結果、三角井戸12中の正孔の蓄積が減る。
【0019】
以上述べたように、歪Siチャネルから歪印加層への正孔の流出を防止することが、p型電界効果トランジスタあるいは相補型電界効果トランジスタの実現に不可欠な要因である。さらに、デバイスの高速化と低電圧化を図るために、次に示すような構成をとることも有効である。すなわち、 p型電界効果トランジスタの場合はドレイン領域、n型電界効果トランジスタの場合はソース領域の材料をSi1-xGex歪印加層と同一の母材望ましくは同一組成比とする。このようにすると、歪SiとSiGeとのバンド不連続によりソース・ドレイン間の電界の分布が変化し、より効果的にキャリアを加速することが可能となる。これにより、更なる高速化が図れると共に、ピンチオフ電圧の低下によってより低電圧での動作が可能となる。
【0020】
これまで、電子・正孔ともに歪Siをチャネルとするトランジスタについて述べてきたが、正孔については歪Si1-yGey(0<y≦1)をチャネルとして用いると、さらに高移動度化、すなわち高速化が実現する。歪印加層にSi1-xGexを用いた場合、その上に積層するSiには面内引張り歪が、 Si1-yGeyには面内圧縮歪が印加される。
【0021】
Si1-xGex歪印加層2の上に歪Si1-yGey層25、歪Si層1、ゲート絶縁膜3の順に積層した場合、図6に示すようなバンド図になり、歪Si層1とゲート絶縁膜3の界面付近の歪Si層1中の伝導帯の三角井戸10に電子が、歪Si層1と歪Si1-yGey層25の界面付近の歪Si1-yGey層25中の価電子帯の三角井戸20に正孔が蓄積される。歪Si層1を正孔のチャネルに用いる場合と異なり、正孔の歪印加層2への流出は起こりにくくなる。歪Si層1と歪Si1-yGey層25の積層順序はどちらを上にしてもデバイスとして動作させることは可能である。但し、歪Si1-yGey層25内の正孔の移動度の方が歪Si層1内の電子の移動度よりも高くなるため、相補型電界効果トランジスタを構成したときの相互コンダクタンスの平衡を考慮すると、歪Si1-yGey層25がゲート電極より遠い、つまり歪Si層1の下にある構成のほうが望ましい。
【0022】
また、歪Si層1あるいは歪Si1-yGey層25とゲート絶縁膜3の間にもう一層SiGe層をはさんでも良い。この場合、電子あるいは正孔はこのSiGe層との界面付近の歪Si層1あるいは歪Si1-yGey層25に蓄積されるので、ゲート絶縁膜3の界面準位や散乱の影響を受けないですむ。
【0023】
また、歪Si層と歪Si1-yGey層は積層せずに、選択成長法などを用いて、pチャネルの領域では歪Si1-yGey層を、nチャネルの領域では歪Si層を成長するようにしてもよい。
【0024】
歪印加層には、Si1-xGexを用いることが望ましい。SiとGeではGeの格子定数がおよそ4%ほど大きい。Si1-xGexはGe組成比xに応じて格子定数が内挿値をとる。したがって、適当なxを選べば、その上に積層するSiあるいはGeに所望の歪を印加することが出来る。例えば、xを0.5とすればSi、Geそれぞれ2%の面内引張歪と面内圧縮歪を印加できる。xの選び方によって、SiとSi1-yGeyの歪の大きさを適当に制御することができる。すなわち、歪Si層の面内の格子定数を無歪のSiに対して4%未満の範囲で大きくでき、歪Si1-yGey 層の面内の格子定数を無歪のGe に対して4%未満の範囲で小さくできる。これによって電子と正孔の移動度のバランスを制御できるので、相補型電界効果トランジスタの相互コンダクタンスのバランスをとることが出来る。従来の相補型電界効果トランジスタでは素子の寸法を変えることのみにより調整していたが、本法ではさらに設計の自由度が増し、高集積化にも有利になる。
【0025】
歪の制御はSi1-xGexのGe組成比xを変化する以外にも、Cを加えて(Si1-xGex)1-yCyの組成比yを変化させても良い。Cを加える方法としては、歪印加層の成長時にCを添加させても良いし、歪印加層を成長した後にイオン注入などの方法によって加えてもよい。
【0026】
歪印加層は一定組成のSi1-xGexを成長する方法、Si基板から成長方向に向かって徐々に組成比xを増加させていく方法、いわゆるグレーデッドバッファ層としても良い。また、Si基板上に低温で欠陥密度の高いSi層を成長したり、水素、SiあるいはGeなどのイオン打込みなどの方法で欠陥層を形成し、しかる後にSi1-xGexを成長すると、Si基板上に直接Si1-xGexを成長した時に比べて貫通転移密度を減らすことができ、さらに表面の平坦性を良好になるため、好ましい。
【0027】
また、基板および歪印加層の部分をいわゆるSOI(Silicon on insulator)構造にすると、浮遊容量の低減により一層の高速化が図れるようになる。SOIには貼り合せ式SOI基板やSIMOX(Separation by Implanted Oxigen)基板などが市販されており、この基板上にSi1-xGex歪印加層を成長することによりSOIの特長を生かした歪Si( Si1-yGey(0<y≦1))電界効果トランジスタを製造できる。
【0028】
また、Si基板上にまずSi1-xGex歪印加層を成長し、しかる後に酸素イオンを打ち込み、熱処理を行うことにより、Si1-xGex歪印加層ないしはその直下のSi中にSiO2絶縁層を埋め込み、しかる後に歪Si層を成長する方法、あるいは、Si基板上にまずSi1-xGex歪印加層および歪Si層を成長し、しかる後に酸素イオンを打ち込み熱処理を行うことにより、歪Si層内部にSiO2絶縁層を埋め込む方法を用いることも可能である。これらの方法を用いると、SOI活性層の厚みを薄く出来て素子分離に優れ、pMOS、nMOS用のウェル層が不要になる。また、後者の場合、歪Si層の直下にSiO2絶縁層があるため、前記したようなpMOSにおける正孔の歪印加層への流出の問題が生じない。
【0029】
あるいはまた、Si基板上にSi1-xGex歪印加層を成長し、さらにSi層を成長した後、このSi層の一部ないしは全部を熱酸化した基板を用意する。あるいはSi層の熱酸化の代わりにSi1-xGex歪印加層の上にSiO2層を気相成長法などで成長しても良い。そして、これと別に用意した支持基板とSiO2を向かい合わせて貼り合せ、さらにSi1-xGex歪印加層を成長した側のSi基板を研磨する、あるいは水素イオンの打ち込みや途中に多孔質Si層を挿入しておくなどの手法により切断を行って、Si1-xGex歪印加層を露出させると、Si1-xGex歪印加層付きの貼り合せSOI基板が製造できる。この方法によれば、Si1-xGex歪印加層のうちSi基板に近い、欠陥密度の高い部分を除去することが出来るため欠陥密度の低減が図れ、さらに研磨やエッチングなどを行えば表面平坦性の確保も容易になる。また、この方法により、SOI活性層の厚みを薄く出来て素子分離に優れ、pMOS、nMOS用のウェル層が不要になる。
【0030】
上記貼り合せSOI基板の切断に際しては、Si1-xGex歪印加層を残しておく必要は必ずしもない。すなわち、Si基板上にSi1-xGex歪印加層を成長し、さらに歪Si層を成長し、その一部を熱酸化した基板を別に用意した支持基板とSiO2を向かい合わせて貼り合せ、歪Si層の部分を残して切断あるいは研磨を行い、SiO2層の上に歪Si層が載った基板を製造することが出来る。この基板は、見かけは従来の貼り合せSOI基板とまったく変わらず、ただSOI層に歪がかかっているだけである。したがって、従来のSOI基板とまったく同様に扱うことが出来て、素子分離に優れ、pMOS、nMOS用のウェル層が不要になり、かつ、歪の効果によりSOI活性層の有効質量が軽く、電子・正孔移動度が高いという歪Siの特徴を備えることになる。また、歪Si層の直下にSiO2絶縁層があるため、前記したようなpMOSにおける正孔の歪印加層への流出の問題が生じない。
【0031】
歪Si層の厚みには一定の制限がある。なぜなら、歪の大きさによって無転移で成長できる歪Si層の膜厚の上限が存在するからである。これを臨界膜厚と呼んでおり、Si1-xGex歪印加層に歪Si層を成長させた場合でいえば、例えばx=0.2のとき歪の大きさは約0.8%で臨界膜厚は100nm前後、x=0.5のとき歪の大きさは約2%で臨界膜厚は10nm前後になる。ただし、この臨界膜厚の大きさは歪Si層の成長条件に依存しており一義的に決定できるものではない。また、SOI基板と歪Si層を組み合わせた場合のように間に酸化膜層が挿入されている構造の場合も上記の制限とは異なってくる。しかしながら、実用上有意な歪の大きさを実現させる組成であるxが0.2から0.8程度の範囲、歪にして0.8から3.2%程度の範囲で、歪Si層の膜厚が1nmから200nmの範囲にあることが望ましい。1nm未満では電界効果トランジスタでチャネルを形成する活性層の厚みとして不充分であるし、200nmより厚いと転移の発生が始まり、電気特性への悪影響が出始めるからである。
【0032】
用いる基板結晶の面方位の選択と、チャネルでのキャリア走行方向の関係の選択は、より高速な動作をさせる場合に必要な要件である。
【0033】
基板面方位として{100}面を用いることは、従来の多くのSi半導体素子がこの面方位を用いていることから、従来素子との結合、同一プロセスの利用といった点で有利であるとともに、歪を印加させたときの移動度も大きく増大し、望ましい結晶方位である。この場合チャネルの面内方向は<110>あるいは<001>方向とすることが、エピ成長やエッチングなどのプロセスの制御性を高める上で有利である。
【0034】
基板面方位として{110}面を用いることも可能である。この場合、チャネルの方向としては<110>あるいは<001>方向とすることが歪を印加することによる移動度の増大の点で有利である。また、電子のチャネルとしては<110>方向を用いるとさらに望ましい。ただし、nMOSFETとpMOSFETのバランスを考慮した場合に、必ずしもこの配置である必要はない。
【0035】
以上に記述したように、チャネルを形成する活性層に歪を加えた電界効果トランジスタないしは相補型電界効果トランジスタおよびこれを用いた半導体装置は、従来に比べて、チャネルを流れるキャリアの有効質量が軽く、従って移動度が高く、高速化が図れ、さらに素子の高集積化、高性能化が図れるために、その工業的価値は極めて高い。
【0036】
以下、実施例により本発明を詳細に説明する。
【0037】
実施例1
図7は、本実施例に係るCMOSFETの断面図である。Si基板13を洗浄した後、ただちに化学気相成長装置に導入し、Si0.7Ge0.3歪印加層2を成長する。Si基板13の面方位は{100}とする。膜厚は500nmとする。原料にはSi2H6およびGeH4を用い、成長温度700℃で成長する。ここで、導電型決定のためのドーピングは行わない。Si1-xGex歪印加層2のGe組成比xはいかようにも制御可能であるが、歪Si層1へ印加する歪の適正化のためには、 x で0.2-0.4にすると良い結果が得られる。
【0038】
次に、Si1-xGex歪印加層2上に化学気相成長法により歪Si層1を形成する。ここで、導電型決定のためのドーピングは行わない。膜厚は60nmとした。この層はSi1-xGex歪印加層2の格子定数がSiより大きいことから面内引っ張り歪を受けている。これにより、この中のキャリア(電子および正孔)移動度は、無歪Si中よりも大きくなる。なお、Si層およびSiGe層の成長は化学気相成長法に限らない。
【0039】
次に、トレンチ分離法により素子分離絶縁領域19を形成し、歪Si層1の下部およびSi1-xGex歪印加層2にわたってウェル形成用イオン打込みを行う。 PMOS領域の下部にはP等のV族元素を注入してn型とし、 NMOS領域の下部にはB等のIII族元素を注入してp型とする。さらに、歪Si層1の上部に、PMOS領域にはIII族元素、 NMOS領域にはV族元素を注入してしきい値を調整する。
【0040】
次に、歪Si層1の表面を熱酸化し、SiO2ゲート絶縁膜3を形成する。さらに、その上にポリシリコンゲート電極16を形成した後、ゲート領域以外をエッチングにより除去する。さらに、セルフアラインによりソースドレイン領域をイオン注入法により形成する。このとき、 B等のIII族元素を注入すればp型ソースドレイン領域17が形成でき、P等のV族元素を注入すればn型ソースドレイン領域18が形成できるのでPMOS、NMOSともに同一ウェハ上に作製できる。このとき、Si1-xGex歪印加層2への漏れ電流を減らすために、イオン注入深さは歪Si層1の厚みの半分以下の30nmとした。最後に、層間絶縁膜(図示せず)を形成し、コンタクトホールをあけ、Al等の金属膜を蒸着し、パターニングし、金属配線を形成して、電界効果トランジスタが完成する。このトランジスタは、同一寸法でSi基板上に直接作製した無歪Siの電界効果トランジスタに比べて、相互コンダクタンスがおよそ3倍、遮断周波数も2.4倍になった。
【0041】
実施例2
図8は、本実施例に係るCMOSFETの断面図である。本実施例は、実施例1におけるソースドレイン領域17、18の深さ30nmを通常の場合の50 nmと深くする代わりに、Si1-xGex歪印加層2の形成において、その上部30nmの範囲で、Pドーピングガスを混合して、1018毎立法センチメートルの高濃度で、急峻にn型ドーピングを行ったものである。その際、pMOS領域のみにドーピングを行うために、nMOS領域を酸化膜で被覆しておきドーピング後にこれを除去する。
【0042】
ただし、急峻ドーピングを行ったpMOS領域にはウェル形成用イオン打込みは行わない。
【0043】
本実施例においても、相互コンダクタンスおよび遮断周波数について実施例1と同等の効果が得られた。
【0044】
実施例3
図9は、本実施例に係るCMOSFETの断面図である。本実施例は、実施例2における急峻ドーピングの代わりに、 pMOSのウェル領域に正のバイアスを印加したものである。
【0045】
具体的には、素子領域外で、pMOSのSi1-xGex歪印加層2までコンタクトホールを開け、そこにオーミック電極を形成し、バイアス印加電極22とする。
【0046】
バイアス印加電極22に+1Vの電圧を印加することにより、バイアス印加なしの場合と比較して、パンチスルー電流を5%以下に低減させることが出来た。
【0047】
なお、実施例1乃至3の方法は、同時に適用できる方法であり、2種あるいは3種を組み合わせることができる。
【0048】
実施例4
図10は、本実施例に係るCMOSFETの断面図である。本実施例は、実施例1における歪Si層1のp型MOSFETのドレイン領域15、n型MOSFETのソース領域14を選択的にエッチングし、その部分をSi1-xGex層23を選択成長して埋め戻すものである。なお、この部分の表面層5nmはSiとし、以後のプロセスによるSi1-xGex層23の損傷を防止する。
【0049】
本実施例のトランジスタは、従来型MOSFETでよく用いられる動作電圧3Vに比べ、これを低減できる。
【0050】
実施例5
図11は、本実施例に係るCMOSFETの断面図である。本実施例の特徴は、歪Gey層をPMOSのチャネルとして用いたことにある。
【0051】
Si基板13にあらかじめ水素イオン打込みにより表面から100nmの領域にわたって高欠陥密度層を形成する。この基板を洗浄した後、ただちに化学気相成長装置に導入し、xを0.3から成長方向に向って0.5まで変化させたSi1-xGexからなる歪印加層の下層2を成長する。膜厚は300nmとする。原料にはSi2H6およびGeH4を用い、成長温度700℃で成長する。
【0052】
さらにSi0.5Ge0.5からなる歪印加層の上層24を膜厚30nm、歪Ge層25を膜厚10nm、歪Si層1を膜厚13nmで順に同様に積層形成する。なお、Si、GeおよびSiGe層の成長は化学気相成長法に限らず、上記組成の結晶成長が可能な方法であれば良い。歪Ge層25は面内圧縮応力を受け、歪Si層1は面内引っ張り応力を受ける。これにより、歪Ge層25の正孔、歪Si層1の電子ともに通常のSiに比べて有効質量が低減され、移動度が上昇する。
【0053】
次に、実施例1と同様の方法で、素子分離絶縁領域19形成、歪印加層の上層であるSi0.5Ge0.5層24および下層であるSi1-xGex層2にわたってのウェル形成用イオン打込み、ならびに歪Si層1の上部および歪Ge層25の上部にしきい値調整用低濃度イオン打込みを行う。続いて、SiO2ゲート酸化膜3の形成、ゲート電極16の形成、ソースドレイン領域17、18の形成を行う。ソースドレイン領域17、18のイオン注入深さはnMOSに対しては歪Si層1の厚みと同程度の10nmとし、pMOSに対しては歪Ge層25に達する20nmとした。最後に、層間絶縁膜の形成、コンタクトホールあけ、金属配線の形成を行いCMOSFETが完成する。
【0054】
本実施例ではx=0.5のSi0.5Ge0.5層24を歪印加層の上層として成長しているので、歪Si層1および歪Ge層25へ与える歪印加量が大きい。
【0055】
本実施例では、チャネルに歪Gey層を用いたが、Siを混ぜた歪Si1-yGey層(0<y<1)を用いることもできる。この場合、組成比yはSi1-xGex歪印加層の組成比xより大きくする。
【0056】
実施例6
図12は、本実施例に係るCMOSFETの断面図である。本実施例、実施例5における歪Si層1上にSi0.5Ge0.5障壁層30を2nm形成したものである。
【0057】
このように、 Si0.5Ge0.5障壁層30を歪Si層1とゲート絶縁膜3の間に設けているので、電子は歪Si層1とゲート絶縁膜3界面の散乱を受けず、 Si0.5Ge0.5障壁層30と歪Si層1の界面付近の歪Si層1中に蓄積される。
【0058】
また、本実施例では歪Ge層25の上部に歪Si層1を積層したが、この順序は逆にしても構わない。ソースドレイン領域1718のイオン注入深さはnMOSに対しては歪Si層1の厚さと同程度の12nmとし、pMOSに対しては歪Ge層25に達する22nmとする。
【0059】
実施例7
図13は、本実施例に係るCMOSFETの断面図である。本実施例は、実施例5における歪Si層1と歪Ge層25を積層せず並列配置したものである。
【0060】
具体的には、Si0.5Ge0.5歪印加層24上にpMOS領域には歪Ge層25を10nm、nMOS領域には歪Si層1を12nm選択成長させる。歪Ge層25は面内圧縮応力を受け、歪Si層1は面内引っ張り応力を受けている。これにより、歪Ge層25の正孔、歪Si層1の電子ともに通常のSiに比べて有効質量が低減され、移動度が上昇する。
【0061】
実施例8
図14は、本実施例に係るSOI基板の断面図である。表面に100nm厚みの高欠陥密度エピ層を形成したSi基板13を洗浄した後、ただちに化学気相成長装置に導入し、Si1-xGex歪印加層2を成長する。膜厚は150nmとする。原料にはSi2H6およびGeH4を用い、成長温度700℃で成長する。Si1-xGex歪印加層2のGe組成比xはいかようにも制御可能であるが、後で形成する歪Si層1へ印加する歪みの適正化のためには、xを0.2-0.4とすると良い結果が得られる。本実施例では0.3とする。なお、SiおよびSiGe層の成長は化学気相成長法に限らず、上記組成の結晶成長が可能な方法であれば良い。
【0062】
次に酸素イオンを加速電圧180KeV、ドーズ量4×1017/cm2の条件でSi1-xGex歪印加層2の上から注入し、1350℃で8時間アニールを行う。これにより、Si1-xGex歪印加層2の直下にSiO2絶縁層26が形成される。SiO2絶縁層26の厚みは凡そ100nmであり、絶縁耐圧50V以上が確保される。アニール処理により、Si1-xGex歪印加層2は欠陥密度が極めて低く、平坦でかつ歪み緩和が十分になされる。さらに、この上部に厚さ60nmの歪Si層1を化学気相成長法で形成する。
【0063】
以後、発明の実施例1等と同様のプロセスを用いて、CMOSFETを製造することができる。なお、本基板を用いることによりウェル層のイオン注入が不要になる。
【0064】
また、浮遊容量が大幅に低減されるため、実装レベルでの動作速度を通常のSi基板使用時に比べ40%ほど高めることが出来た。
【0065】
実施例9
図15はSOI基板の他の実施例の断面図である。実施例8と同様の方法でSi1-xGex歪印加層2まで形成した後、Si1-xGex歪印加層2の上に厚さ120nmの歪Si層1を化学気相成長法で形成する。次に、酸素イオンを加速電圧50KeV、ドーズ量2×1017/cm2の条件で歪Si層1の上から注入し、1300℃で8時間アニールを行う。これにより、歪Si層1の内部にSiO2絶縁層26が形成される。SiO2絶縁層26の厚みは凡そ30nmとなる。
【0066】
本実施例では、ウェル層のイオン注入が不要になる他、pMOSでの正孔のSiGe歪印加層への流出が起こり難いため、ドーピングやバイアス印加等による正孔の流出防止策を特に用いる必要はない。
【0067】
実施例10
図16は、本実施例に係るSOI基板の製造工程断面図である。まず、図16(a)に示すように、表面に100nm厚みの高欠陥密度エピ層を形成したSi基板13を洗浄した後、ただちに化学気相成長装置に導入し、Si1-xGex歪印加層2を成長する。膜厚は300nmとする。原料にはSi2H6およびGeH4を用い、成長温度700℃で成長する。Si1-xGex歪印加層2のGe組成比xはいかようにも制御可能であるが、歪Si層1へ印加する歪みの適正化のためには、xを0.2-0.4とすると良い結果が得られる。本実施例では0.3とする。なお、SiおよびSiGe層の成長は化学気相成長法に限らず、上記組成の結晶成長が可能な方法であれば良い。またSi基板13の代わりにGe基板あるいはSiGe混晶基板を用いても良い。Geの混晶比xが大きい場合、Ge基板やGe混晶比の大きいSiGe基板を用いるほうが、Si1-xGex歪印加層2の成長が容易、あるいは不要になる。
【0068】
次に歪Si層1を成長し、表面を熱酸化し、次いで切断位置28の深さに水素イオンを注入し、この位置に損傷層を形成する。こうして図16(a)に示す状態になる。切断位置28はSi1-xGex歪印加層2の内部としても良いし、歪Si層1の内部としても良い。
【0069】
さらに表面の酸化膜と別に用意した支持基板29を接合位置27で接合し、図16(b)のような状態になる。次いで500℃でアニールすると切断位置28で切断され、切断位置28がSi1-xGex歪印加層2の内部の場合は図16(c)のような状態になり、歪Si層1の内部の場合は図16( d )のような状態になる。図16(c)に示す場合は、さらに表面に60nmの歪Si層1をエピタキシャル成長させる。
【0070】
以後、発明の実施例1等と同様のプロセスを用いて、CMOSFETを製造することができる。なお、本基板を用いることによりウェル層のイオン注入が不要になる。さらに、図16( d )の構造の場合にはpMOSでの正孔のSiGe歪印加層への流出が起こらないため、ドーピングやバイアス印加等による正孔の流出防止策が不要になる。
【0071】
また、浮遊容量が大幅に低減されるため、実装レベルでの動作速度を通常のSi基板使用時に比べ40%ほど高めることが出来た。
【0072】
実施例11
実施例1で示した方法で、{100}面のSi基板13を用いて相補型電界効果トランジスタをSi1-xGex歪印加層2のGe組成比xを種々に変えて作製し、素子の相互コンダクタンスから歪Siチャネル中の<001>方向の電子および正孔の移動度を見積ると、表1に示すように混晶比が0.2程度でも移動度の増加がかなり大きい。単位は、歪が%(正の値が引張歪)、移動度がcm2/Vsである。
【0073】
表1
Ge組成比x 歪 電子移動度 正孔移動度
0 0 1300 400
0.1 0.4 2600 850
0.2 0.8 3300 2000
0.3 1.2 3550 3100
0.4 1.6 3500 4500
0.5 2.0 3450 5200
0.6 2.4 3400 6100
実施例7で示した方法で、{100}面のSi基板13を用いてpMOSFETをSi1-xGex歪印加層2のGe組成比xを種々に変えて作製し、素子の相互コンダクタンスから歪Geチャネル中の<001>方向の正孔の移動度を見積ると、表2に示すように面内圧縮歪を受けるに従い移動度が飛躍的に大きくなる。単位は、歪が%(正の値が引張歪)、移動度がcm2/Vsである。
【0074】
表2
Ge組成比x 歪 正孔移動度
1.0 0 1900
0.9 -0.4 2800
0.8 -0.8 4100
0.7 -1.2 7000
0.6 -1.6 9000
0.5 -2.0 12000
0.4 -2.4 13500
実施例1で示した方法で、{110}面のSi基板13を用いて相補型電界効果トランジスタを作製し、素子の相互コンダクタンスから歪Siチャネル中の<001>方向、<110>方向の電子および正孔の移動度を見積ると、表3に示すように電子移動度は<110>方向の方が大きくなる。単位は、歪が%(正の値が引張歪)、移動度がcm2/Vsである。
【0075】
表3
Ge組成比x 歪 方位 電子移動度 正孔移動度
0.2 0.8 <001> 900 1800
0.2 0.8 <110> 3100 1800
0.3 1.2 <001> 900 2700
0.3 1.2 <110> 3300 2700
【0076】
【発明の効果】
本発明によれば高速かつ低消費電力の相補型電界効果トランジスタおよびこれを内蔵する半導体装置を実現できる。
【図面の簡単な説明】
【図1】本発明の具体例であるSiO2ゲート絶縁膜/歪Si層/Si1-xGex歪印加層という積層構造のバンド図である。
【図2】図1に示す構造のゲートに正のバイアスを印加した状態のバンド図である。
【図3】図1に示す構造のゲートに負のバイアスを印加した状態のバンド図である。
【図4】図1に示す構造のSi1-xGex歪印加層の最上部に急峻n型ドーピングを施した状態のバンド図である。
【図5】図1に示す構造に基板バイアス電圧を印加した状態のバンド図である。
【図6】本発明の具体例であるSiO2ゲート絶縁膜/歪Si層/歪Si1-yGey層/Si1-xGex歪印加層という積層構造のバンド図である。
【図7】本発明の実施例1の相補型電界効果トランジスタの断面構造図である。
【図8】本発明の実施例2の相補型電界効果トランジスタの断面構造図である。
【図9】本発明の実施例3の相補型電界効果トランジスタの断面構造図である。
【図10】本発明の実施例4の相補型電界効果トランジスタの断面構造図である。
【図11】本発明の実施例5の相補型電界効果トランジスタの断面構造図である。
【図12】本発明の実施例6の相補型電界効果トランジスタの断面構造図である。
【図13】本発明の実施例7の相補型電界効果トランジスタの断面構造図である。
【図14】本発明の実施例8のSOI基板の断面図である。
【図15】本発明の実施例9のSOI基板の断面図である。
【図16】本発明の実施例10のSOI基板の製造工程断面図である。
【符号の説明】
1…歪Si層、2…Si1-xGex歪印加層、3…SiO2ゲート絶縁層、4…伝導帯、5…価電子帯、6…歪Siのバンドギャップ、7…Si1-xGexのバンドギャップ、8…伝導帯不連続、9…価電子帯…不連続、10…ゲート絶縁膜/歪Si層界面付近の歪Si層中の伝導帯の三角井戸、11…ゲート絶縁膜/歪Si層界面付近の歪Si層中の価電子帯の三角井戸、12…歪Si層/ Si1-xGex歪印加層界面付近のSi1-xGex歪印加層2中の価電子帯の三角井戸、13…Si基板、14…ソース電極、15…ドレイン電極、16…ゲート、17…p型ソースドレイン領域、18…n型ソースドレイン領域、19…素子分離絶縁領域、20…歪Si層/歪Si1-yGey層界面付近の歪Si1-yGey層中の価電子帯の三角井戸、21…急峻n型ドーピング層、22…バイアス印加電極、23…Si1-xGexドレイン層、24…Si0.5Ge0.5層、25…歪Si1-yGey層(0<y≦1)、26…SiO2絶縁層、27…接合位置、28…切断位置、29…支持基板、30…Si0.5Ge0.5障壁層、40、41…伝導帯の三角井戸の頂点、42、43…価電子帯の三角井戸の頂点。

Claims (9)

  1. MOSFETの動作時に、前記MOSFETのゲート電極下にチャネルが形成されるチャネル形成層と、前記チャネル形成層の格子に歪を印加せしめる歪印加半導体層を有し、
    前記MOSFETのソース領域およびドレイン領域は、前記チャネルの両側にそれぞれ形成されており、
    前記ソース領域または前記ドレイン領域は、前記チャネル形成層をエッチングすることで形成された溝内に埋め込まれたSiGe層に形成されており、
    前記チャネル中のキャリアの移動度は、前記チャネルが無歪であった場合と比較して大きくなっていることを特徴とする半導体装置。
  2. 前記チャネル形成層はSiからなり、
    前記チャネル内の格子定数は無歪のSiの格子定数より大きいことを特徴とする請求項1記載の半導体装置。
  3. 前記歪印加半導体層はSi1−xGe(0<x<1)からなることを特徴とする請求項1または2に記載の半導体装置。
  4. MOSFETの動作時に、前記MOSFETのゲート電極下にチャネルが形成されるSi層を有し、
    前記MOSFETのソース領域およびドレイン領域は、前記チャネルの両側にそれぞれ形成されており、
    前記ソース領域または前記ドレイン領域は、前記Si層をエッチングすることで形成された溝内に埋め込まれたSiGe層に形成されており、
    前記チャネルには歪が発生しており、
    前記チャネル中のキャリアの移動度は、前記チャネルが無歪であった場合と比較して大きくなっていることを特徴とする半導体装置。
  5. 前記チャネル内のSiの格子定数は、無歪のSiの格子定数よりも大きいことを特徴とする請求項4記載の半導体装置。
  6. 前記埋め込まれたSiGe層は、選択成長で形成された層であることを特徴とする請求項1乃至5のいずれか1項に記載の半導体装置。
  7. 前記埋め込まれたSiGe層の表面にはSi膜が形成されていることを特徴とする請求項1乃至6のいずれか1項に記載の半導体装置。
  8. 前記MOSFETはn型MOSFETであり、
    前記ソース領域は、前記埋め込まれたSiGe層に形成されていることを特徴とする請求項1乃至7のいずれか1項に記載の半導体装置。
  9. 前記MOSFETはp型MOSFETであり、
    前記ドレイン領域は、前記埋め込まれたSiGe層に形成されていることを特徴とする請求項1乃至7のいずれか1項に記載の半導体装置。
JP08783199A 1999-03-30 1999-03-30 半導体装置および半導体基板 Expired - Fee Related JP4521542B2 (ja)

Priority Applications (11)

Application Number Priority Date Filing Date Title
JP08783199A JP4521542B2 (ja) 1999-03-30 1999-03-30 半導体装置および半導体基板
PCT/JP2000/001917 WO2000060671A1 (fr) 1999-03-30 2000-03-28 Dispositif a semi-conducteur et substrat de semi-conducteur
CNB2005100743185A CN100386863C (zh) 1999-03-30 2000-03-28 半导体器件制造方法及其半导体器件
AU33306/00A AU3330600A (en) 1999-03-30 2000-03-28 Semiconductor device and semiconductor substrate
CNB008069034A CN1210809C (zh) 1999-03-30 2000-03-28 半导体器件和半导体衬底
KR10-2001-7012200A KR100447492B1 (ko) 1999-03-30 2000-03-28 반도체장치 및 반도체기판
EP00911430A EP1174928A4 (en) 1999-03-30 2000-03-28 SEMICONDUCTOR DEVICE AND SEMICONDUCTOR SUBSTRATE
TW089105855A TW557577B (en) 1999-03-30 2000-03-29 Semiconductor device and semiconductor substrate
US10/920,432 US20050017236A1 (en) 1999-03-30 2004-08-18 Semiconductor device and semiconductor substrate
US12/010,123 US7579229B2 (en) 1999-03-30 2008-01-22 Semiconductor device and semiconductor substrate
US12/505,942 US8304810B2 (en) 1999-03-30 2009-07-20 Semiconductor device and semiconductor substrate having selectively etched portions filled with silicon germanium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP08783199A JP4521542B2 (ja) 1999-03-30 1999-03-30 半導体装置および半導体基板

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010027575A Division JP2010141349A (ja) 2010-02-10 2010-02-10 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2000286418A JP2000286418A (ja) 2000-10-13
JP4521542B2 true JP4521542B2 (ja) 2010-08-11

Family

ID=13925896

Family Applications (1)

Application Number Title Priority Date Filing Date
JP08783199A Expired - Fee Related JP4521542B2 (ja) 1999-03-30 1999-03-30 半導体装置および半導体基板

Country Status (8)

Country Link
US (3) US20050017236A1 (ja)
EP (1) EP1174928A4 (ja)
JP (1) JP4521542B2 (ja)
KR (1) KR100447492B1 (ja)
CN (2) CN100386863C (ja)
AU (1) AU3330600A (ja)
TW (1) TW557577B (ja)
WO (1) WO2000060671A1 (ja)

Families Citing this family (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6528033B1 (en) * 2000-01-18 2003-03-04 Valence Technology, Inc. Method of making lithium-containing materials
US6602613B1 (en) 2000-01-20 2003-08-05 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
US6555839B2 (en) 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6573126B2 (en) 2000-08-16 2003-06-03 Massachusetts Institute Of Technology Process for producing semiconductor article using graded epitaxial growth
US6649480B2 (en) 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
WO2002052652A1 (fr) * 2000-12-26 2002-07-04 Matsushita Electric Industrial Co., Ltd. Composant a semi-conducteur et son procede de fabrication
US6723661B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6703688B1 (en) 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6724008B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6830976B2 (en) 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
JP4831885B2 (ja) 2001-04-27 2011-12-07 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6900094B2 (en) 2001-06-14 2005-05-31 Amberwave Systems Corporation Method of selective removal of SiGe alloys
US7301180B2 (en) 2001-06-18 2007-11-27 Massachusetts Institute Of Technology Structure and method for a high-speed semiconductor device having a Ge channel layer
US6916727B2 (en) 2001-06-21 2005-07-12 Massachusetts Institute Of Technology Enhancement of P-type metal-oxide-semiconductor field effect transistors
WO2003015142A2 (en) 2001-08-06 2003-02-20 Massachusetts Institute Of Technology Formation of planar strained layers
US6974735B2 (en) 2001-08-09 2005-12-13 Amberwave Systems Corporation Dual layer Semiconductor Devices
US7138649B2 (en) * 2001-08-09 2006-11-21 Amberwave Systems Corporation Dual-channel CMOS transistors with differentially strained channels
AU2002319801A1 (en) 2001-08-09 2003-02-24 Amberwave Systems Corporation Optimized buried-channel fets based on sige heterostructures
EP1415337B1 (en) * 2001-08-09 2009-11-18 Amberwave Systems Corporation Dual layer cmos devices
EP1428262A2 (en) 2001-09-21 2004-06-16 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
AU2002341803A1 (en) 2001-09-24 2003-04-07 Amberwave Systems Corporation Rf circuits including transistors having strained material layers
JP2003115587A (ja) 2001-10-03 2003-04-18 Tadahiro Omi <110>方位のシリコン表面上に形成された半導体装置およびその製造方法
EP1468440A2 (en) * 2002-01-23 2004-10-20 Spinnaker Semiconductor, Inc. Field effect transistor having source and/or drain forming schottky or schottky−like contact with strained semiconductor substrate
DE10218381A1 (de) * 2002-04-24 2004-02-26 Forschungszentrum Jülich GmbH Verfahren zur Herstellung einer oder mehrerer einkristalliner Schichten mit jeweils unterschiedlicher Gitterstruktur in einer Ebene einer Schichtenfolge
WO2003105204A2 (en) 2002-06-07 2003-12-18 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US20030227057A1 (en) 2002-06-07 2003-12-11 Lochtefeld Anthony J. Strained-semiconductor-on-insulator device structures
US6995430B2 (en) 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US6982474B2 (en) 2002-06-25 2006-01-03 Amberwave Systems Corporation Reacted conductive gate electrodes
EP2267762A3 (en) 2002-08-23 2012-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor heterostructures having reduced dislocation pile-ups and related methods
JP4546021B2 (ja) * 2002-10-02 2010-09-15 ルネサスエレクトロニクス株式会社 絶縁ゲート型電界効果型トランジスタ及び半導体装置
JP4190906B2 (ja) * 2003-02-07 2008-12-03 信越半導体株式会社 シリコン半導体基板及びその製造方法
US6887798B2 (en) 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
TWI242232B (en) 2003-06-09 2005-10-21 Canon Kk Semiconductor substrate, semiconductor device, and method of manufacturing the same
US7329923B2 (en) 2003-06-17 2008-02-12 International Business Machines Corporation High-performance CMOS devices on hybrid crystal oriented substrates
US7049898B2 (en) * 2003-09-30 2006-05-23 Intel Corporation Strained-silicon voltage controlled oscillator (VCO)
US20050116290A1 (en) * 2003-12-02 2005-06-02 De Souza Joel P. Planar substrate with selected semiconductor crystal orientations formed by localized amorphization and recrystallization of stacked template layers
US7662689B2 (en) 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
US7161169B2 (en) * 2004-01-07 2007-01-09 International Business Machines Corporation Enhancement of electron and hole mobilities in <110> Si under biaxial compressive strain
US7579636B2 (en) 2004-01-08 2009-08-25 Nec Corporation MIS-type field-effect transistor
WO2005067058A1 (ja) * 2004-01-08 2005-07-21 Nec Corporation Mis型電界効果トランジスタ
US7037794B2 (en) 2004-06-09 2006-05-02 International Business Machines Corporation Raised STI process for multiple gate ox and sidewall protection on strained Si/SGOI structure with elevated source/drain
US7227205B2 (en) * 2004-06-24 2007-06-05 International Business Machines Corporation Strained-silicon CMOS device and method
US7217949B2 (en) 2004-07-01 2007-05-15 International Business Machines Corporation Strained Si MOSFET on tensile-strained SiGe-on-insulator (SGOI)
US6991998B2 (en) 2004-07-02 2006-01-31 International Business Machines Corporation Ultra-thin, high quality strained silicon-on-insulator formed by elastic strain transfer
US7384829B2 (en) * 2004-07-23 2008-06-10 International Business Machines Corporation Patterned strained semiconductor substrate and device
DE102004036971B4 (de) * 2004-07-30 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Technik zur Bewertung lokaler elektrischer Eigenschaften in Halbleiterbauelementen
JP2006108365A (ja) * 2004-10-05 2006-04-20 Renesas Technology Corp 半導体装置およびその製造方法
JP4604637B2 (ja) 2004-10-07 2011-01-05 ソニー株式会社 半導体装置および半導体装置の製造方法
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US7274084B2 (en) 2005-01-12 2007-09-25 International Business Machines Corporation Enhanced PFET using shear stress
US7432553B2 (en) 2005-01-19 2008-10-07 International Business Machines Corporation Structure and method to optimize strain in CMOSFETs
US7220626B2 (en) 2005-01-28 2007-05-22 International Business Machines Corporation Structure and method for manufacturing planar strained Si/SiGe substrate with multiple orientations and different stress levels
US7470972B2 (en) * 2005-03-11 2008-12-30 Intel Corporation Complementary metal oxide semiconductor integrated circuit using uniaxial compressive stress and biaxial compressive stress
US7291539B2 (en) 2005-06-01 2007-11-06 International Business Machines Corporation Amorphization/templated recrystallization method for hybrid orientation substrates
US7202513B1 (en) 2005-09-29 2007-04-10 International Business Machines Corporation Stress engineering using dual pad nitride with selective SOI device architecture
US7655511B2 (en) 2005-11-03 2010-02-02 International Business Machines Corporation Gate electrode stress control for finFET performance enhancement
US7564081B2 (en) 2005-11-30 2009-07-21 International Business Machines Corporation finFET structure with multiply stressed gate electrode
US7863197B2 (en) 2006-01-09 2011-01-04 International Business Machines Corporation Method of forming a cross-section hourglass shaped channel region for charge carrier mobility modification
JP2007335573A (ja) * 2006-06-14 2007-12-27 Hitachi Ltd 半導体装置およびその製造方法
KR20090038653A (ko) * 2007-10-16 2009-04-21 삼성전자주식회사 Cmos 소자 및 그 제조방법
US7842982B2 (en) 2008-01-29 2010-11-30 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
DE102008062685A1 (de) * 2008-12-17 2010-06-24 Siltronic Ag Halbleiterscheibe mit einer SiGe-Schicht und Verfahren zur Herstellung der SiGe-Schicht
WO2010085754A1 (en) * 2009-01-23 2010-07-29 Lumenz Inc. Semiconductor devices having dopant diffusion barriers
JP5601848B2 (ja) * 2010-02-09 2014-10-08 三菱電機株式会社 SiC半導体装置の製造方法
JP5703148B2 (ja) * 2011-07-04 2015-04-15 株式会社半導体エネルギー研究所 半導体装置
GB201112327D0 (en) 2011-07-18 2011-08-31 Epigan Nv Method for growing III-V epitaxial layers
CN103377941B (zh) * 2012-04-28 2016-08-10 中芯国际集成电路制造(上海)有限公司 Pmos晶体管及形成方法
US20130334571A1 (en) * 2012-06-19 2013-12-19 International Business Machines Corporation Epitaxial growth of smooth and highly strained germanium
JP5695614B2 (ja) * 2012-08-22 2015-04-08 ルネサスエレクトロニクス株式会社 半導体装置
CN102967814B (zh) * 2012-10-19 2015-05-20 西安电子科技大学 晶体管晶格形变导致性能退化的测试装置及方法
US10032870B2 (en) 2015-03-12 2018-07-24 Globalfoundries Inc. Low defect III-V semiconductor template on porous silicon
FR3051595B1 (fr) * 2016-05-17 2022-11-18 Soitec Silicon On Insulator Procede de fabrication d'un substrat de type semi-conducteur contraint sur isolant
FR3051596B1 (fr) 2016-05-17 2022-11-18 Soitec Silicon On Insulator Procede de fabrication d'un substrat de type semi-conducteur contraint sur isolant
CN108766967B (zh) * 2018-05-23 2021-05-28 燕山大学 一种平面复合应变Si/SiGe CMOS器件及制备方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03187269A (ja) * 1989-12-18 1991-08-15 Hitachi Ltd 半導体装置
JPH06177375A (ja) * 1992-12-10 1994-06-24 Hitachi Ltd 半導体装置及びその製造方法
JPH0982944A (ja) * 1995-09-18 1997-03-28 Toshiba Corp 歪シリコン電界効果トランジスタ及びその製造方法
JPH09321307A (ja) * 1996-05-29 1997-12-12 Toshiba Corp 半導体装置
JPH10270685A (ja) * 1997-03-27 1998-10-09 Sony Corp 電界効果トランジスタとその製造方法、半導体装置とその製造方法、その半導体装置を含む論理回路および半導体基板

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5376769A (en) * 1976-12-20 1978-07-07 Toshiba Corp Simiconductor device
JP2685819B2 (ja) * 1988-03-31 1997-12-03 株式会社東芝 誘電体分離半導体基板とその製造方法
US5013681A (en) * 1989-09-29 1991-05-07 The United States Of America As Represented By The Secretary Of The Navy Method of producing a thin silicon-on-insulator layer
JPH03280437A (ja) * 1990-03-29 1991-12-11 Toshiba Corp 半導体装置およびその製造方法
US5240876A (en) * 1991-02-22 1993-08-31 Harris Corporation Method of fabricating SOI wafer with SiGe as an etchback film in a BESOI process
JP3017860B2 (ja) * 1991-10-01 2000-03-13 株式会社東芝 半導体基体およびその製造方法とその半導体基体を用いた半導体装置
US5461250A (en) 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
US5344524A (en) * 1993-06-30 1994-09-06 Honeywell Inc. SOI substrate fabrication
US5461243A (en) * 1993-10-29 1995-10-24 International Business Machines Corporation Substrate for tensilely strained semiconductor
JP3187269B2 (ja) 1994-12-12 2001-07-11 株式会社ホンダロック ロック装置
JP3361922B2 (ja) * 1994-09-13 2003-01-07 株式会社東芝 半導体装置
US5710450A (en) * 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
JP3262747B2 (ja) * 1996-09-17 2002-03-04 松下電器産業株式会社 半導体装置及びその製造方法
US5847419A (en) * 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
EP0838858B1 (de) * 1996-09-27 2002-05-15 Infineon Technologies AG Integrierte CMOS-Schaltungsanordnung und Verfahren zu deren Herstellung
US5891769A (en) * 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US5906951A (en) * 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
US6689211B1 (en) * 1999-04-09 2004-02-10 Massachusetts Institute Of Technology Etch stop layer system
JP2000277715A (ja) * 1999-03-25 2000-10-06 Matsushita Electric Ind Co Ltd 半導体基板,その製造方法及び半導体装置
US6326279B1 (en) * 1999-03-26 2001-12-04 Canon Kabushiki Kaisha Process for producing semiconductor article
WO2001054202A1 (en) * 2000-01-20 2001-07-26 Amberwave Systems Corporation Strained-silicon metal oxide semiconductor field effect transistors

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03187269A (ja) * 1989-12-18 1991-08-15 Hitachi Ltd 半導体装置
JPH06177375A (ja) * 1992-12-10 1994-06-24 Hitachi Ltd 半導体装置及びその製造方法
JPH0982944A (ja) * 1995-09-18 1997-03-28 Toshiba Corp 歪シリコン電界効果トランジスタ及びその製造方法
JPH09321307A (ja) * 1996-05-29 1997-12-12 Toshiba Corp 半導体装置
JPH10270685A (ja) * 1997-03-27 1998-10-09 Sony Corp 電界効果トランジスタとその製造方法、半導体装置とその製造方法、その半導体装置を含む論理回路および半導体基板

Also Published As

Publication number Publication date
CN1349662A (zh) 2002-05-15
JP2000286418A (ja) 2000-10-13
TW557577B (en) 2003-10-11
CN1210809C (zh) 2005-07-13
US20050017236A1 (en) 2005-01-27
CN100386863C (zh) 2008-05-07
US20090283839A1 (en) 2009-11-19
EP1174928A1 (en) 2002-01-23
KR20010110690A (ko) 2001-12-13
WO2000060671A1 (fr) 2000-10-12
EP1174928A4 (en) 2007-05-16
AU3330600A (en) 2000-10-23
US20080206961A1 (en) 2008-08-28
US8304810B2 (en) 2012-11-06
CN1716570A (zh) 2006-01-04
KR100447492B1 (ko) 2004-09-07
US7579229B2 (en) 2009-08-25

Similar Documents

Publication Publication Date Title
JP4521542B2 (ja) 半導体装置および半導体基板
US6921982B2 (en) FET channel having a strained lattice structure along multiple surfaces
KR100392166B1 (ko) 반도체 장치의 제조 방법 및 반도체 장치
US8436336B2 (en) Structure and method for a high-speed semiconductor device having a Ge channel layer
US20040227169A1 (en) Semiconductor devices and method for manufacturing the same
JP2014038898A (ja) 半導体装置
CN102214684A (zh) 一种具有悬空源漏的半导体结构及其形成方法
JP2000031491A (ja) 半導体装置,半導体装置の製造方法,半導体基板および半導体基板の製造方法
CN112635391B (zh) 一种绝缘体上应变锗锡硅衬底、晶体管及其制备方法
US20100301416A1 (en) Strain transformation in biaxially strained soi substrates for performance enhancement of p-channel and n-channel transistors
JP2002270834A (ja) 半導体装置及びその製造方法
JPH10214906A (ja) 半導体装置及びその製造方法
CN104952871B (zh) 一种混合晶向无结cmos结构
JP2010141349A (ja) 半導体装置の製造方法
JP3600174B2 (ja) 半導体装置の製造方法及び半導体装置
JP3300339B1 (ja) 半導体装置
CN102723342B (zh) 一种混合晶面垂直沟道应变BiCMOS集成器件及制备方法
JP2001044425A (ja) 半導体装置
CN102738161A (zh) 一种双多晶双应变混合晶面Si基BiCMOS集成器件及制备方法
CN102738177B (zh) 一种基于SOI衬底的应变Si BiCMOS集成器件及制备方法
CN102751292A (zh) 一种基于三多晶SiGe HBT的混合晶面应变BiCMOS集成器件及制备方法
CN102723341A (zh) 一种混合晶面应变Si垂直沟道BiCMOS集成器件及制备方法
KR20070070863A (ko) 반도체 소자 및 그 제조 방법
WO2002103801A1 (en) Structures and methods for a high-speed semiconductor device
CN102738163A (zh) 一种双多晶SiGe HBT混合晶面BiCMOS集成器件及制备方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060322

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20060322

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091222

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100210

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100406

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20100423

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100423

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130604

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130604

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140604

Year of fee payment: 4

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees