CN106067440A - 使用基于碳的膜的间隙填充 - Google Patents

使用基于碳的膜的间隙填充 Download PDF

Info

Publication number
CN106067440A
CN106067440A CN201610251266.2A CN201610251266A CN106067440A CN 106067440 A CN106067440 A CN 106067440A CN 201610251266 A CN201610251266 A CN 201610251266A CN 106067440 A CN106067440 A CN 106067440A
Authority
CN
China
Prior art keywords
gap
carbon
process gas
ratio
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201610251266.2A
Other languages
English (en)
Inventor
唐伟
杰森·达恩金·帕克
巴特·J·范施兰芬迪杰克
王舒济
卡伊翰·艾比迪·艾施提阿妮
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN106067440A publication Critical patent/CN106067440A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明提供了一种使用基于碳的膜的间隙填充。提供了使用高密度等离子体化学气相沉积(HDP CVD)填充间隙的方法。根据多个实施方案,通过HDP CVD将诸如无定形碳和无定形碳化物膜之类的含碳膜沉积到衬底上的间隙内以填充间隙。这些方法可涉及在HDP CVD期间使用高氢含量的工艺气体来提供自底向上的填充。此外,还提供了相关装置。

Description

使用基于碳的膜的间隙填充
技术领域
本发明总体上涉及半导体领域,更具体涉及使用基于碳的膜的间隙填充。
背景技术
半导体集成操作可涉及用隔离材料填充高深宽比的间隙。这是针对浅沟槽隔离、金属间电介质层、钝化层等情况。随着器件几何尺寸缩小和热预算减少,由于现有沉积工艺的局限性,高深宽比(AR)间隙的无空隙填充变得越来越困难。
相比于在间隙侧壁的下部区域上,大多数沉积方法沉积更多的材料在上部区域,并可以在间隙的入口处形成“顶帽(top-hat)”。其结果是,高深宽比结构的顶部部分有时过早地关闭,在间隙的下部部分内留有空隙。这个问题在小的间隙中加剧。此外,随着深宽比增加,间隙本身的形状可能带来问题。高深宽比间隙常常表现出内凹特征(reentrant feature),这使得间隙填充更加困难。内凹特征是从间隙底部变窄的一种特征。一个这样问题性的内凹特征是在间隙顶部变窄,而间隙侧壁在间隙的顶部附近向内倾斜。对于给定深宽比的特征,这增加了在沉积期间间隙体积与由反应器物质所涉及的间隙访问区域(gap access area)的比率。空隙和接缝的形成在这些条件下更可能发生。如果间隙顶部过早地封闭,则在间隙被重新打开之后,更多膜才能被沉积在间隙中。
发明内容
本文提供了用于以诸如无定形碳和碳化硅之类的基于碳的膜填充间隙的方法和装置。在一些实施方式中,方法涉及引入工艺气体到容纳具有间隙的衬底的高密度等离子体化学气相沉积(HDP CVD)室,其中所述工艺气体包括烃类反应物并且具有至少4:1的H:C比;以及通过所述工艺气体的HDP CVD反应来用基于碳的膜填充所述间隙。
在一些实施方式中,所述间隙在单个沉积操作中被填充而没有中间蚀刻操作。在一些实施方式中,使用两个或更多个沉积操作和一个或更多个中间蚀刻操作填充间隙。中间蚀刻操作可以在HDP CVD室内或者在分离的蚀刻室内执行。在一些实施方式中,中间蚀刻操作是基于氢的蚀刻。
在一些实施方式中,基于碳的膜是无定形碳膜。在这样的情况下,工艺气体可包括烃类反应物和可选的载气。工艺气体可基本上由烃类反应物和可选的载气组成。工艺气体基本上由烃类反应物、氢分子或其它氢源、以及可选的载气组成。一种或更多种掺杂物也可存在于工艺气体中。
在一些实施方式中,基于碳的膜是无定形碳化物膜。实施例包括:氧掺杂SiC,也称为碳氧化硅(SiOC);氮掺杂SiC,也被称为碳氮化硅(SiNC);氧和氮掺杂SiC,也被称为碳氧氮化硅(siliconoxynitricarbide,SiONC);硼掺杂的碳化物(SiBC);以及未掺杂的碳化硅(SiC)。在一些实施方式中,工艺气体包括含硅反应物。含硅反应物可具有至少4的H:Si比。在一些情况下,工艺气体可包括烃类反应物、含硅反应物和可选的载气。工艺气体可基本上由烃类反应物、含硅反应物和可选的载气组成。工艺气体可基本上由烃类反应物、含硅反应物、氢分子或其它氢源、以及可选的载气组成。一种或更多种掺杂物也可存在于工艺气体中。
在一些实施方式中,烃类反应物具有至少3:1或至少4:1的H:C比。实施例包括甲烷(CH4)。在一些实施方式中,工艺气体包括氢分子(H2)。方法可包括生成氢自由基。在一些实施方式中,填充间隙包括在HDP CVD反应期间的氢自由基蚀刻。氢自由基可优先蚀刻在间隙顶部处所沉积的基于碳的材料。
在一些实施方式中,装置包括:等离子体生成器;具有基座的室;到所述室的一个或多个入口;以及控制器,该控制器包括用于以下操作的机器可读指令:引入包含烃类反应物的工艺气体,其中所述工艺气体具有至少4:1的H:C比;以及在所述室内生成高密度等离子体,从而在所述室内填充衬底上的间隙。
这些和其它方面参考附图在下面进一步进行描述。
1.一种方法,其包括:
引入工艺气体到容纳具有间隙的衬底的高密度等离子体化学气相沉积(HDP CVD)室,其中所述工艺气体包括烃类反应物并且具有至少4:1的H:C比;以及通过所述工艺气体的HDP CVD反应来用基于碳的膜填充所述间隙。
2.根据条款1所述的方法,其中所述间隙在没有中间蚀刻操作的单个沉积操作中被填充。
3.根据条款1所述的方法,其中所述基于碳的膜是无定形碳(a-C)膜。
4.根据条款1所述的方法,其中所述基于碳的膜是无定形碳化物膜。
5.根据条款1所述的方法,其中所述基于碳的膜是掺杂的或非掺杂的无定形碳化硅膜。
6.根据条款5所述的方法,其中所述工艺气体包括具有至少4的H:Si比的含硅反应物。
7.根据条款1所述的方法,其中所述烃类反应物具有至少3:1的H:C比。
8.根据条款1所述的方法,其中所述烃类反应物具有至少4:1的H:C比。
9.根据条款1所述的方法,其中所述工艺气体包括氢分子(H2)。
10.根据条款1所述的方法,其进一步包括生成包括氢自由基的等离子体。
11.根据条款1所述的方法,其中填充所述间隙包括在所述HDP CVD反应期间在所述间隙的顶部处的氢自由基蚀刻。
12.根据条款1所述的方法,其中用基于碳的膜填充所述间隙包括两个或更多个沉积阶段和一个或更多个中间蚀刻操作。
13.根据条款12所述的方法,其中所述一个或多个中间蚀刻操作是基于氢的蚀刻。
14.一种装置,其包括:
等离子体生成器;
室,其包括基座;
通向所述室的一个或多个入口;以及控制器,其包括用于以下操作的机器可读指令:
引入包含烃类反应物的工艺气体,其中所述工艺气体具有至少4:1的H:C比;以及在所述室内生成高密度等离子体,从而在所述室内填充衬底上的间隙。
15.根据条款14所述的装置,其中所述烃类反应物具有至少3:1的H:C比。
16.根据条款14所述的装置,其中所述烃类反应物具有至少4:1的H:C比。
17.根据条款14所述的装置,其中所述工艺气体包括具有至少4的H:Si比的含硅反应物。
18.根据条款14所述的装置,其中所述工艺气体包括氢分子(H2)。
附图说明
图1提供了说明根据不同实施方式填充间隙的方法实施例中的操作的工艺流程图。
图2描绘了相比于使用甲烷作为碳源(工艺气体He/SiH4/CH4)的单个阶段特征填充、在使用乙炔作为碳源(工艺气体He/SiH4/C2H2)的单个沉积阶段内以SiC填充的特征的横截面示意图。
图3示出了与图2中所示意描述的那些类似、分别使用乙炔(图像310)和甲烷(图像320)作为HDP CVD处理中的碳源来以SiC填充的3:1AR、25nm宽沟槽的SEM图像。
图4示出了在沉积期间不同阶段处的HDP CVD处理中使用He/CH4工艺气体来以无定形碳填充的3:1AR、25纳米特征的截面示意图和对应的SEM图像。
图5提供了说明根据不同实施方式填充间隙的方法实施例中的操作的工艺流程图。
图6提供了描绘配置成可在反应器中配置的各种反应器组件的简单方块图。
图7是根据所公开的实施方式适于执行沉积处理的一个系统的方块图。
图8提供了包括在自对准连接集成处理中基于碳的间隙填充的操作实施例。
具体实施方式
半导体集成操作可涉及用各种材料填充高深宽比间隙。这是针对浅沟槽隔离、金属间电介质层、钝化层等情况。随着器件几何尺寸缩小和热预算减少,由于现有沉积工艺的局限性,高深宽比(AR)间隙的无空隙填充变得越来越困难。
本发明提供了使用高密度等离子体化学气相沉积(HDP CVD)填充间隙的方法。根据不同实施方式,含碳膜(例如无定形碳和无定形碳化物膜)通过HDP CVD沉积到衬底上的间隙内,以填充间隙。方法可涉及在HDP CVD沉积期间使用高含氢量的工艺气体以提供自下而上的填充。还提供了相关的装置。
大部分沉积方法在上部区域比在间隙侧壁的下部区域沉积更多的材料,并且可以在间隙入口处形成“顶帽”。其结果是,高深宽比结构的顶部部分有时过早地关闭而在间隙的下部部分内留有空隙。这个问题在小的间隙中加剧。此外,随着深宽比增大,间隙本身的形状可能导致问题。高深宽比间隙常常呈现出内凹特征,这使得间隙填充更加困难。内凹特征是从间隙底部变窄的特征。一个这样问题性的内凹特征是在间隙顶部变窄,而间隙侧壁在间隙顶部附近向内倾斜。对于给定深宽比的特征,这增加了沉积期间间隙体积与由前体物质所涉及的间隙接入区域的比率。空隙和接缝的形成在这些情况下更可能发生。如果间隙顶部过早地关闭,则重新打开间隙后,更多膜才能被沉积在间隙中。
HDP CVD是定向CVD工艺,其涉及朝向衬底引导带电的电介质前体物质。虽然HDP CVD并非单纯各向同性的、基于扩散的工艺,但一些悬垂物或顶帽的形成仍然仍然会发生在待填充间隙的入口区域处。这可能是由于在等离子体反应器中中性物质的非定向沉积反应以及溅射和再沉积工艺。沉积工艺的定向方面产生了一些远离底部填充溅射的高动量带电物质。溅射材料趋向于重新沉积在侧壁上。随着待填充间隙的宽度减小和深宽比增大,归因于悬垂物形成的限制变得更为严重。根据不同实施方式,本文提供的方法通过使用富含氢气的工艺气体抑制内凹特征的形成来提供间隙填充。
在本文提供的方法的实施方式中,间隙填充有无定形含碳材料,如无定形碳(a-C)和无定形碳化物,该无定形碳化物包括无定形碳化硅(a-SiC)。SiC类包括:氧掺杂SiC,也称为碳氧化硅(SiOC);氮掺杂SiC,也被称为碳氮化硅(SiNC);氧和氮掺杂SiC,也被称为碳氧氮化硅(silicon oxynitricarbide,SiONC);硼掺杂的碳化物(SiBC);以及未掺杂的碳化硅(SiC)。例如,拓扑衬底上的沟槽可以以在图案转印方案中充当牺牲硬掩模的a-C膜填充。a-SiC和其它无定形碳化物层可以被用作例如在VLSI后端处理中的阻挡层。在一些集成方案中,SiC或其他碳化物膜的无空隙间隙填充是很有用的。图8提供了包括在自对准连接集成处理中基于碳的间隙填充的操作的实施例。在图8中描绘了金属栅极801和间隔物802。金属栅极801凹陷,形成间隔物之间的间隙804。栅帽803(其可以是例如SiC膜)在限定连接孔之前沉积在间隙804内。栅帽803的存在放宽了连接孔限定的对准精度;在图8中,连接孔805是由栅帽803提供的增大的公差范围内。
图1提供了说明根据不同实施方式填充间隙的方法示例中的操作的工艺流程图。过程100涉及提供包括间隙的基底到HDP CVD室。块101。HDP CVD室在下面结合图6进一步讨论。衬底可以是适合于半导体处理的晶片,例如200毫米、300毫米或450毫米的硅晶片。可以使用不同组成和/或尺寸的晶片。此外,该方法不限于半导体衬底,可以用包括待填充的间隙的任何合适的衬底来实现,合适的衬底包括玻璃和塑料板等。
富含氢(H)的工艺气体被引入到HDP CVD室。块103。根据不同实施方式,块103可涉及使用富含H的反应物和除反应物外还引入氢气(H2)到室中的一者或两者。术语“工艺气体”被用来表示被引入到室中的多组分气体或其混合物。在一些实施方式中,工艺气体可包括夹带在载气中或以其他方式提供给室的液体反应物。工艺气体包括一种或多种碳反应物以供应基于碳的间隙填充材料,并且在适当的情况下包括一种或多种共反应物,如含硅化合物、含氮化合物、含硼化合物等等。
根据不同实施方式,富含H的处理气体可以以下中的一个或多个为特征:至少3:1的H:C比,超过3:1的H:C比,或至少4:1的H:C比。在一些实施方式中,使用具有至少3:1的H:C比、超过3:1的H:C比或至少4:1的H:C比的碳前体。实施例包括乙烷(C2H6)、甲烷(CH4)。具有较低H:C比的碳前体可以与添加的H2或来自另一个源的氢一起使用。例如,工艺气体可以包括乙炔(C2H2)和H2。工艺气体可包括惰性载气,其实施例包括氦(He)、氩(Ar)等等。
如上所述,工艺气体可以包括一种或多种附加反应物,具体取决于要沉积的膜类型。对于包括SiC、SiCN、SIBC等的硅碳化物,含硅反应物(例如一种或多种硅烷)可以用作硅源。通常,含硅反应物中不包括碳。硅烷的非限制性实例包括硅烷、乙硅烷、丙硅烷和更高级的硅烷。
可适当地使用其它含硅反应物,包括使用硅氧烷、烷基硅烷、烷氧基硅烷和氨基硅烷等等。烷基硅烷的非限制性实例包括二甲基硅烷、三甲基硅烷、四甲基硅烷、三乙基硅烷、以及五甲基乙硅杂甲烷(pentamethyldisilamethane)。还包括氧原子的含硅碳的膜(例如,硅碳氧化物和硅碳氮氧化物)可以使用包含氧的有机硅反应物(如硅氧烷和烷氧基硅烷)而形成。硅氧烷的非限制性实例包括环四硅氧烷(例如,2,4,6,8-四甲基环四硅氧烷;八甲基环四硅氧烷;以及七甲基环四硅氧烷);其它环硅氧烷;具有三维或笼形结构的硅氧烷(即,其中硅原子经由氧原子彼此桥连,形成三维结构或多面体),如倍半硅氧烷;和线性硅氧烷,如二硅氧烷(例如,五甲基二硅氧烷,四甲基二硅氧烷,和六甲基三硅氧烷)。烷氧基硅烷的非限制性实例包括甲氧基硅烷、二甲氧基硅烷、三甲氧基硅烷、甲基二甲氧基硅烷、二乙氧基甲基硅烷、二甲基乙氧基硅烷和二甲基甲氧基硅烷。还包括氮原子的含硅碳的膜(例如,硅碳氮化物和硅碳氮氧化物)可以使用包含氮的有机硅反应物(例如,氨基硅烷和硅氮烷)而形成。氨基硅烷的非限制性实例包括2,2-双(二甲基氨基)-4,4-二甲基-2,4-二硅杂戊烷(2,2-bis(dimethylamino)-4,4-dimethyl-2,4-disilapentane)、2,2,4-三甲基-4-二甲基氨基-3,4-二硅杂戊烷、二甲氨基二甲基硅烷、双(二甲基氨基)甲基硅烷和三(二甲基氨基)硅烷。1,1,3,3-四甲基硅氮烷是硅氮烷的非限制性实例。
根据不同实施方式,含硅反应物和烃可以被以约1:1的比率提供给室以填充间隙。这包括介于1:1.5和1.5:1之间的比率。在某些情况下,该比率介于1:1.25和1.25:1之间或者介于1:1.1和1.1:1之间。在一些实施方式中,含硅反应物富含氢,具有至少3:1或者至少4:1的H:Si比率。
用于沉积a-C膜的富含氢的工艺气体的非限制性实例包括He/CH4、He/C2H2/H2、He/CH4/H2和He/C2H6/H2。用于沉积a-SiC膜的富含氢的工艺气体的非限制性实例包括He/SiH4/CH4、He/SiH4/C2H2/H2、He/SiH4/CH4/H2、和He/SiH4/C2H6/H2。在这些实施例中,可以除了使用He之外还使用任何适当的载气或者可以使用任何适当的载气来代替He。同样地,在这些实施例中,可以除了使用SiH4之外还使用任何适当的含硅反应物或可以使用任何适当的含硅反应物来代替SiH4
基于碳的膜然后被沉积以填充间隙。块105。根据不同实施方式,填充间隙可以在单个沉积期间或在多个通过插入蚀刻操作而分开的沉积期间执行。后一技术的一个实施例相对于图5被描述如下。
通过在HDP CVD沉积中使用富含氢的碳前体,也可以提供自下而上的间隙填充。这被示意地表示于图2中,其描述了相比于使用甲烷作为碳源(工艺气体He/SiH4/CH4)的单阶段特征填充、在单个沉积阶段使用乙炔作为碳源(He/SiH4/C2H2)以SiC填充的特征的横截面图。处理210描述了根据He/SiH4/C2H2的碳化硅沉积期间的沟槽201。随着沉积的进行,形成尖端204。这导致了沟槽201的顶部205的封闭,进而导致空隙203。相比较而言,使用He/SiH4/CH4处理气体的处理220导致没有空隙形成的自下而上的填充。随着沉积进行,尖端在206处被抑制,使沟槽能够保持开放并提供无空隙的填充。图3示出了与图2中所示意描述的那些类似、分别使用乙炔(图像310)和甲烷(图像320)作为在HDP CVD处理中的碳源的填充有SiC的3:1AR、25nm宽沟槽的SEM图像。空隙303可见于图像310中。相比之下,采用甲烷填充的沟槽是无空隙的。
在沟槽上沉积a-C膜期间观察到类似效果。图4示出了在沉积过程的各个阶段中的HDP CVD处理中使用He/CH4处理气体填充的3:1AR、25纳米的沟槽401的截面示意图和对应的SEM图像。沉积可表征为基本上自下而上,导致用无空隙的a-C填充的沟槽。相比之下,由于侧壁尖端发展和过早的间隙封闭(未示出),He/C2H2处理气体导致空隙形成。
不受具体理论限制,认为,尖端抑制归因于在沉积期间通过诸如氢自由基(即,原子H)之类的H物质在间隙顶部蚀刻。在等离子体中的中性和低质量物质优先在间隙顶部蚀刻,允许在特征底部部分填充和在顶部蚀刻。这种效果可以抵消导致尖端沉积的上述因素。
使用非富含氢的工艺气体、其它烃类前体或其它技术(例如等离子体增强化学气相沉积(PECVD))的基于碳的膜的间隙沉积没有表现出与由富含氢的HDP CVD处理所表现出的相同尖端抑制。因此,它们不能用于高质量、无空隙、单阶段的间隙填充。
在一些实施方式中,方法可以包括一个或多个沉积-蚀刻-沉积循环。也可以使用这样的方法来例如特别地填充具有挑战性的结构。图5提供了说明根据不同实施方式填充间隙的一个方法示例中的操作的过程流程图。
如图所示,沉积处理500开始于块101,在该块101中,包含间隙的衬底被提供到HDP CVD反应室。该操作可以如以上参照图1所述地执行。富含H的工艺气体然后被引入到HDP CVD室。块103。这也可以如以上参照图1所述地执行。
基于碳的膜然后被沉积以部分地填充间隙。块505。在关闭间隙之前停止沉积。在一些实施方式中,所沉积的膜可表现出新生的尖端形成、内凹轮廓、或以其他方式呈现具有挑战性的填充结构。虽然任意尖端形成将比不存在富含氢的工艺气体的情况下少,但它对于停止沉积并采用专用蚀刻操作以适当地调整部分地填充间隙的膜的轮廓会是有用的。
在507,反应物流被关闭并且蚀刻基于碳的膜。因为反应物流被关闭,因此沉积停止。在一些实施方式中,氢自由基或其它氢物质是主要蚀刻剂。在一些这样的实施方式中,从块505转移到块507可涉及关闭一个或多个反应物流,同时允许H2继续流动。在一些实施方式中,尽管块507可以在HDP CVD反应器发生,但衬底也可以被转移到蚀刻室以进行蚀刻。可以使用任何合适的蚀刻剂,包括基于氟的蚀刻等。在基于氢的蚀刻中一种或多种附加的蚀刻剂气体可被添加到氢气中。可替代地,氢物质可以是唯一的蚀刻剂,而无卤素蚀刻剂。
块507可涉及优先蚀刻在间隙顶部的材料以适当地成形间隙中的材料轮廓。这可以被称为非保形蚀刻或低台阶覆盖率蚀刻。基于碳的膜的台阶覆盖率可以是正比于(蚀刻剂浓度)/蚀刻速率。例如,对于氢自由基蚀刻,在较高的温度下,氢自由基很容易反应并在特征入口蚀刻,从而产生更不共形的蚀刻;在较低的温度下,氢自由基能够扩散并进一步蚀刻到特征内,产生更共形的蚀刻。较高的蚀刻剂流率将会导致产生更多的蚀刻剂物质,从而导致更多的物质扩散并进一步蚀刻到特征内,产生更共形的蚀刻。较低的蚀刻剂流率将会导致产生较少的蚀刻物质,这将趋向于在特征入口处进行反应和蚀刻,从而产生更不共形的蚀刻。
在块509,基于碳的膜沉积在所述间隙中,这时是在部分填充间隙的经蚀刻的基于碳的膜上。如上所述,块509通常涉及使用富含氢的工艺气体的HDP CVD沉积。在一些实施方式中,间隙可在一个沉积-蚀刻-沉积序列之后进行填充。可替代地,块507和509可以重复一次或多次,以填充间隙。模块511。
在替代的实施方式中,基于碳的间隙填充可通过使用富含氮的工艺气体执行。例如,如上所述的富含氢的或富含碳的烃前体可以与氮(N2)混合。
装置
本发明可以在HDP CVD反应器中实施。这样的反应器可采取许多不同的形式。通常,装置包括容纳一个或多个晶片并适于晶片处理的一个或多个室或“反应器”(有时包括多个站)。每个室可以容纳用于处理的一个或多个晶片。一个或多个室将晶片保持在限定的一个位置或多个位置(在该位置内有或没有运动,例如旋转、振动或其他搅动)。而在处理中,每个晶片通过基座、晶片卡盘和/或其他晶片保持装置被保持在适当位置。对于在其中晶片将被加热的某些操作,装置可包括如热板之类的加热器。合适的反应器的一个实施例是SPEEDTM反应器,可从加利福尼亚州弗里蒙特的朗姆研究公司(Lam Research of Fremont,California)获得。
图6提供了一个简单框图,描绘了布置为可被布置在反应器中的各种反应器组件。如图所示,反应器601包括处理室603,该处理室603包围该反应器的其它部件并且用来容纳等离子体。在一个实例中,处理室壁由铝、氧化铝和/或其他合适的材料制成。在图6所示的实施方式中具有两个等离子体源:顶部射频(RF)线圈605和侧部射频线圈607。顶部射频线圈605是中频(MFRF)线圈,侧部射频线圈607是低频(LFRF)线圈。在图6中所示的实施方式中,MFRF频率可以从430到470kHz,而LFRF频率可以从340到370kHz。然而,这些方法和装置并不限于具有双源、这些频率或RF等离子体源的反应室内的操作。可以使用任何合适的等离子体源或多个等离子体源。
在反应器中,晶片基座609支撑衬底611。基座通常包括卡盘(有时被称为夹具)以在沉积反应过程中将衬底保持在适当位置。卡盘可以是静电卡盘、机械卡盘或各种其他类型的可供使用的卡盘。包括用于提供传热流体的线613的热传输子系统控制衬底611的温度。晶片卡盘和传热流体系统能方便维持适当的晶片温度。
HFRF源615的高频射频用于使衬底611电偏置和引导带电反应物质到衬底上以用于沉积反应。例如,来自源615的电能经由电极或电容耦合被耦合到衬底611。注意,施加到衬底的偏置不必是RF偏置。也可以使用其它频率和直流偏置。
富含氢的工艺气体经由一个或多个入口617被引入。工艺气体的组成气体可以进行或不进行预先混合。在一些实施方式中,工艺气体通过包括孔的气体供应入口机构引入。在一些实施方式中,所述孔中的至少一些将工艺气体沿着以锐角与衬底的暴露表面相交的喷射轴定向。此外,气体或气体混合物可从主气体环621引入,该气体环621可以或可以不直接朝向基座引导气体。在一些实施方式中,气体可以从除了主气体环621外的一个或多个气体环(未示出)被引入。喷射器可以连接到主气体环621以引导至少一些气体或气体混合物进入室中并朝向底座引导。需要注意的是,在某些实施方式中,可以不使用用于朝向晶片引导工艺气体的喷射器、气体环或其它机制;也可以采用任何适当的工艺气体输送系统。由进入室的工艺气体所导致的前声波本身将导致气体迅速地在所有方向分散—包括朝向衬底。工艺气体经由出口622排出室603。真空泵(例如,涡轮分子泵)通常将工艺气体抽出并在反应器内保持合适的低压。反应器601可以使用控制器690来控制。控制器690可以包括用于执行本文公开的各种操作的机器可读指令。下面提供了有关控制器690的进一步描述。
在一些实施方式中,HDP CVD反应器(例如,在图6中所示的反应器601)是用于处理一个或多个晶片的工具的部分。在图7中提供了包括一个或多个反应器的工具的一个实施例。图7是适于执行根据所公开的实施方式的沉积工艺的系统的一个框图。系统700包括传送模块703,如从加利福尼亚州弗里蒙特的朗姆研究公司(Lam Research Corporation of Fremont,California)提供的SPEEDTM平台上使用的晶片传送系统(WTS)。传送模块703提供了清洁、加压的环境,以尽量减少正在处理的工件(如晶片)在各处理阶段间移动时的污染风险。一个或多个HDP CVD模块或处理室705安装在传送模块703上,如Lam SPEEDTM反应器,可从加利福尼亚州弗里蒙特的朗姆研究公司(Lam Research Corporation of Fremont,California)获得。一个或多个蚀刻室707也安装在传送模块703上。蚀刻室中的实例包括Lam的原子层去除(ALR)反应器或KiyoTM反应器。这些蚀刻室可被安装在同一个或不同的平台上作为一个或多个沉积反应器。
系统700还包括一个或多个(在这种情况下为两个)晶片源模块701,在处理之前和之后晶片被存储其中。传送模块703中的设备(通常为机械臂单元)在安装于传送模块703上的模块之间移动晶片。
晶片分别由机械臂在用于沉积和深蚀刻处理的HDP CVD反应器705和/或蚀刻室707之间传输。在一个实施方式中,单一的蚀刻反应器可以支持具有每小时约15-16晶片(wph)的高吞吐量的两个SPEED沉积模块705。在其他实施方式中,两个蚀刻反应器707可以支持一个或多个SPEED沉积模块705。
公开的实施方式也可以在没有等离子体蚀刻室的情况下实施。例如,单个室可构造成用于HDP CVD沉积和蚀刻两者。例如,Lam SPEEDHDP-CVD反应器能够以与使用分离的反应器相似的吞吐量来进行沉积和蚀刻。考虑到在此提供的细节和参数,单个室可构造成例如等离子体反应器,其具有用于沉积(HDP CVD)和反应性等离子体蚀刻(例如,原位或下游的等离子体源)的设备,例如本文中所描述的各种等离子体源。
图7还描绘了用于控制工艺条件和处理工具700的硬件状态的系统控制器750的一个实施方式。该系统控制器750可以提供用于实现上述处理的程序指令。该程序指令可以控制各种处理参数,诸如DC电源电平、RF偏置功率电平、压强、温度等。该指令可以控制参数以根据本文描述的不同实施方式来执行沉积操作。
在一些实现方式中,控制器750是系统的一部分,该系统可以是上述实施例的一部分。这种系统可以包括半导体处理设备,该半导体处理设备包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,控制器750可以被编程以控制本文公开的任何工艺,包括控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
广义而言,控制器750可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实施方式中,系统控制器750控制处理工具700的所有活动。系统控制器750可以包括一个或多个存储器设备756、一个或多个大容量存储设备754以及一个或多个处理器752。处理器752可以包括CPU或计算机、模拟和/或数字输入/输出连接、步进式电机控制器板等。系统控制器750执行存储在大容量存储装置754内、加载到存储器装置756并在处理器752上执行的系统控制软件758。替代地,控制逻辑可在控制器750中被硬编码。专用集成电路、可编程逻辑器件(例如,现场可编程门阵列,或FPGA)等可以用于这些目的。在下面的讨论中,无论何处使用“软件”或“编码”,可以适当地使用功能上具有可比性的硬编码逻辑。系统控制软件758可包括用于控制晶片进出处理室的传送、气体定时、气体混合、气体流量、室和/或站压强、室和/或反应器的温度、晶片温度、偏置功率、目标功率电平、RF功率电平、基座、卡盘和/或基座的位置、以及其它由处理工具700执行的特定处理的参数的指令。系统控制软件758可以以任何合适的方式来配置。例如,各种处理工具组件子程序或控制对象可以被写入以控制进行各种处理工具工艺需要的处理工具组件的操作。系统控制软件758可以任何合适的计算机可读编程语言来编码。
在一些实现方式中,控制器750可以是与系统集成、耦合或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器750可以在“云端”或者是fab主机系统的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监控制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实施例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传送到系统。在一些实施例中,控制器750接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器750被配置成连接或控制该类型工具。因此,如上所述,控制器750可以例如通过包括一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实施例可以是与结合以控制室上的工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
在一些实施方式中,系统控制软件758可包括用于控制上述的各种参数的输入/输出控制(IOC)排序指令。存储在与系统控制器750关联的大容量存储设备754和/或存储器设备756上的其他计算机软件和/或程序可以在一些实施例中采用。程序或用于此目的的程序部分的例子包括晶片定位程序、工艺气体的控制程序、压强控制程序、加热器控制程序和等离子体控制程序。
晶片定位程序可以包括用于被用来装载晶片到基座718上的处理工具组件的程序编码。工艺气体控制程序可包括用于控制气体组成(例如,工艺气体、氦气或载气等等,如本文所述)和流率以及任选的用于在沉积之前使气体流入一个或多个处理室或站以稳定其中的压强的编码。压强控制程序可包括用于通过调节例如在处理室的排气系统中的节流阀、流入该处理室气体流等来控制处理室中压强的编码。
加热器控制程序可包括用于控制用于加热晶片或其它工件的流向加热单元的电流的编码。可替代地,加热器控制程序可控制传热气体(例如氦)到晶片的输送。等离子体控制程序可包括用于根据本文的实施方式设置应用到一个或多个处理室或站中的处理电极和偏置的射频功率电平的编码。压强控制程序可包括用于根据本文的实施方式保持反应室中的压强的编码。
在一些实施方式中,可存在与系统控制器750相关联的用户接口。用户接口可以包括显示屏、装置和/或工艺条件的图形软件显示、和用户输入设备,诸如定点设备、键盘、触摸屏、麦克风等。
在一些实施方式中,由系统控制器750调整的参数可以涉及处理条件。非限制性实例包括工艺气体的组成和流率、温度、压强、等离子体条件(例如RF偏置功率电平)、压强、温度等。这些参数可以以配方的形式提供给用户,其可以利用用户接口进行输入。
用于监控处理的信号可以由系统控制器750的模拟和/或数字输入连接从各种处理工具传感器来提供。用于控制处理的信号可以是在处理工具700的模拟和数字输出连接上的输出。可被监控的处理工具传感器的非限制性实例包括质量流量控制器、压力传感器(例如压力计)、热电偶等。适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用来维持处理条件。
在非限制性的条件下,示例性的系统可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其它的工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
工艺参数
如上所述,间隙填充是通过HDP CVD进行。本文所采用的HDPCVD区别于等离子体增强化学气相沉积技术(也被称为PECVD)。HDPCVD反应器通常采用感应耦合等离子体,而PECVD反应器通常采用电容耦合等离子体。HDP CVD工艺条件和所得膜不同于PECVD工艺。例如,如本文所描述的各种HDP反应器在压强小于约100毫托、等离子体密度大于1017ions/m3(离子数/每立方米)(例如,1017ions/m3至1019ions/m3)的条件下操作。相比之下,PECVD工艺在高得多的压强、低得多的等离子体密度(例如,1014ions/m3至1016ions/m3)的条件下操作。
HDP反应器可以在用于线圈的400kHz等离子体频率和在用于其中晶片被放置的基座的13.56MHz频率点燃等离子体。相比之下,在电容耦合等离子体反应器中,13.56MHz的等离子体频率被用于在施加到喷头或基座,以及400千赫的等离子体频率被施加于喷头或基座时产生等离子体。在HDP反应器中的离子能量可以比在PECVD反应器中更大。其结果是,在HDP CVD反应器中所沉积的膜的膜组成和特性与那些在PECVD反应器中沉积的膜不同。对于基于碳的间隙填充,即使使用富含氢的工艺气体,PECVD中较低的等离子体密度通常不能生成有效地抑制尖端形成的氢自由基量。
等离子体源功率足够高以维持等离子体并且是足够低以使得H+离子的影响不会淹没氢自由基的影响。注意,RF功率将取决于衬底尺寸(例如200毫米、300毫米或450毫米直径的晶片)和所使用的特定工艺要求。对于300毫米晶片,一个范围实施例是介于约3000W和6000W之间,等离子体功率与衬底表面面积成比例。
衬底温度和室压强通常可以具有在HDP CVD处理期间的常用范围。温度值可以在约200℃和1000℃之间的范围,通常介于约300℃和550℃之间的范围,例如400℃。压强通常保持在低于500毫托的值,并且可能明显较低,例如低于100毫托或10毫托。在一个实例中,压强为6毫托。
尽管这些方法可以在任意的期望用基于碳的材料填充间隙的衬底上实施,但它们特别适用于填充具有高深宽比和窄宽度中的一者或多者的间隙。宽高比的实例可以为3:1至30:1、或3:1至10:1。沟槽宽度的实例范围可以为10纳米至100纳米,例如50纳米或更小、或25纳米或更小。
HFRF电源或其它源可用于偏置衬底。衬底通常在沉积操作期间被偏置以向下引导带电物质到间隙底部。如上所讨论的,认为,富含氢的工艺气体通过在间隙顶部的化学蚀刻改善间隙填充。在专用蚀刻处理期间(如块507)中,衬底可以或可以不被偏置。针对大约为300mm的系统,在HDPCVD期间的HF偏置功率实例介于0到9500W之间,具有与衬底表面区域成比例的偏置功率。
虽然为了清晰理解的目的,已经在一定程度上详细描述了上述实施方式,但显而易见,可以在所附权利要求的范围内实行某些变化和修改。应当注意的是,存在实施本发明的实施方式的工艺、系统和装置的许多替代方式。因此,本发明的实施方式应被视为说明性的,而不是限制性的,并且这些实施方式并不限于本文给出的细节。

Claims (10)

1.一种方法,其包括:
引入工艺气体到容纳具有间隙的衬底的高密度等离子体化学气相沉积(HDP CVD)室,其中所述工艺气体包括烃类反应物并且具有至少4:1的H:C比;以及
通过所述工艺气体的HDP CVD反应来用基于碳的膜填充所述间隙。
2.根据权利要求1所述的方法,其中所述间隙在没有中间蚀刻操作的单个沉积操作中被填充。
3.根据权利要求1所述的方法,其中所述基于碳的膜是无定形碳(a-C)膜。
4.根据权利要求1所述的方法,其中所述基于碳的膜是无定形碳化物膜。
5.根据权利要求1所述的方法,其中所述基于碳的膜是掺杂的或非掺杂的无定形碳化硅膜。
6.根据权利要求5所述的方法,其中所述工艺气体包括具有至少4的H:Si比的含硅反应物。
7.根据权利要求1所述的方法,其中所述烃类反应物具有至少3:1的H:C比。
8.根据权利要求1所述的方法,其中所述烃类反应物具有至少4:1的H:C比。
9.根据权利要求1所述的方法,其中所述工艺气体包括氢分子(H2)。
10.根据权利要求1所述的方法,其进一步包括生成包括氢自由基的等离子体。
CN201610251266.2A 2015-04-21 2016-04-21 使用基于碳的膜的间隙填充 Pending CN106067440A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/692,627 US20160314964A1 (en) 2015-04-21 2015-04-21 Gap fill using carbon-based films
US14/692,627 2015-04-21

Publications (1)

Publication Number Publication Date
CN106067440A true CN106067440A (zh) 2016-11-02

Family

ID=57147973

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610251266.2A Pending CN106067440A (zh) 2015-04-21 2016-04-21 使用基于碳的膜的间隙填充

Country Status (4)

Country Link
US (2) US20160314964A1 (zh)
KR (1) KR102648712B1 (zh)
CN (1) CN106067440A (zh)
TW (1) TW201708597A (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110651064A (zh) * 2017-05-16 2020-01-03 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
CN111554590A (zh) * 2020-04-16 2020-08-18 上海陛通半导体能源科技股份有限公司 半导体填孔真空系统及填孔方法
CN112313362A (zh) * 2018-06-19 2021-02-02 应用材料公司 高品质间隙填充物的高偏压沉积
CN113195786A (zh) * 2018-10-19 2021-07-30 朗姆研究公司 用于间隙填充的远程氢等离子体暴露以及掺杂或未掺杂硅碳化物沉积
US11680315B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
US11894227B2 (en) 2012-06-12 2024-02-06 Novellus Systems, Inc. Conformal deposition of silicon carbide films

Families Citing this family (340)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9778561B2 (en) 2014-01-31 2017-10-03 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9996004B2 (en) 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US20170372919A1 (en) * 2016-06-25 2017-12-28 Applied Materials, Inc. Flowable Amorphous Silicon Films For Gapfill Applications
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
DE102017103620B4 (de) 2017-02-22 2022-01-05 Infineon Technologies Ag Halbleitervorrichtung, Mikrofon und Verfahren zum Bilden einer Halbleitervorrichtung
JP6807775B2 (ja) 2017-02-28 2021-01-06 東京エレクトロン株式会社 成膜方法及びプラズマ処理装置
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
CN110476239B (zh) 2017-04-07 2023-10-13 应用材料公司 使用反应性退火的间隙填充
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102396319B1 (ko) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
CN112640065A (zh) 2018-10-30 2021-04-09 应用材料公司 用于蚀刻用于半导体应用的结构的方法
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
KR102678588B1 (ko) 2018-11-14 2024-06-27 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
CN112996950B (zh) * 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
CN114200776A (zh) 2020-01-15 2022-03-18 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
US20220076945A1 (en) * 2020-09-08 2022-03-10 Applied Materials, Inc. Amorphous carbon for gap fill
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
US11655537B2 (en) 2020-10-26 2023-05-23 Applied Materials, Inc. HDP sacrificial carbon gapfill
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US20230051200A1 (en) * 2021-08-11 2023-02-16 Applied Materials, Inc. Seam-free gapfill deposition
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6448186B1 (en) * 2000-10-06 2002-09-10 Novellus Systems, Inc. Method and apparatus for use of hydrogen and silanes in plasma
CN1735945A (zh) * 2002-11-02 2006-02-15 霍尼韦尔国际公司 气体层形成材料
US7256139B2 (en) * 2002-05-08 2007-08-14 Applied Materials, Inc. Methods and apparatus for e-beam treatment used to fabricate integrated circuit devices
CN101017834A (zh) * 2007-03-02 2007-08-15 上海集成电路研发中心有限公司 一种soi集成电路结构及其制作方法
CN101065834A (zh) * 2004-08-24 2007-10-31 应用材料股份有限公司 以等离子体增强化学气相沉积制造具低应力的低k值介电质的低温工艺
CN102187450A (zh) * 2008-10-16 2011-09-14 应用材料股份有限公司 以低蚀刻速率介电质衬里改善间隙填充的方法
CN102468434A (zh) * 2010-11-17 2012-05-23 中芯国际集成电路制造(北京)有限公司 相变存储器的制作方法
CN102652353A (zh) * 2009-12-09 2012-08-29 诺发系统有限公司 新颖间隙填充整合

Family Cites Families (292)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA868641A (en) 1971-04-13 L. Cuomo Jerome Method for etching silicon nitride films with sharp edge definition
US4177474A (en) 1977-05-18 1979-12-04 Energy Conversion Devices, Inc. High temperature amorphous semiconductor member and method of making the same
JPS59128281A (ja) 1982-12-29 1984-07-24 信越化学工業株式会社 炭化けい素被覆物の製造方法
AU549925B2 (en) 1983-11-28 1986-02-20 Nitsuko Ltd. Automatic telephone hold releasing circuit
EP0221531A3 (en) 1985-11-06 1992-02-19 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha High heat conductive insulated substrate and method of manufacturing the same
JP2736380B2 (ja) 1987-08-11 1998-04-02 株式会社豊田中央研究所 炭化珪素質材料の製造方法及び原料組成物
US5034355A (en) 1987-10-28 1991-07-23 Kabushiki Kaisha Toyota Chuo Kenkyusho Tough silicon carbide composite material containing fibrous boride
US4895789A (en) 1988-03-29 1990-01-23 Seiko Instruments Inc. Method of manufacturing non-linear resistive element array
DE3811567A1 (de) 1988-04-07 1989-10-19 Wacker Chemie Gmbh Verfahren zur herstellung von organopolysilanen
US5464699A (en) 1988-04-18 1995-11-07 Alloy Surfaces Co. Inc. Pyrophoric materials and methods for making the same
KR940003787B1 (ko) 1988-09-14 1994-05-03 후지쓰 가부시끼가이샤 박막 형성장치 및 방법
JPH05326452A (ja) 1991-06-10 1993-12-10 Kawasaki Steel Corp プラズマ処理装置及び方法
US5739579A (en) 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5324690A (en) 1993-02-01 1994-06-28 Motorola Inc. Semiconductor device having a ternary boron nitride film and a method for forming the same
FR2713666B1 (fr) 1993-12-15 1996-01-12 Air Liquide Procédé et dispositif de dépôt à basse température d'un film contenant du silicium sur un substrat métallique.
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US6037274A (en) 1995-02-17 2000-03-14 Fujitsu Limited Method for forming insulating film
SE9501312D0 (sv) 1995-04-10 1995-04-10 Abb Research Ltd Method for procucing a semiconductor device
KR100219550B1 (ko) 1996-08-21 1999-09-01 윤종용 반사방지막 및 이를 이용한 패턴형성방법
JP3402972B2 (ja) 1996-11-14 2003-05-06 東京エレクトロン株式会社 半導体装置の製造方法
JP3164019B2 (ja) 1997-05-21 2001-05-08 日本電気株式会社 酸化シリコン膜およびその形成方法と成膜装置
US6045877A (en) 1997-07-28 2000-04-04 Massachusetts Institute Of Technology Pyrolytic chemical vapor deposition of silicone films
US6150719A (en) 1997-07-28 2000-11-21 General Electric Company Amorphous hydrogenated carbon hermetic structure and fabrication method
US6624064B1 (en) * 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US7064088B2 (en) 1998-02-05 2006-06-20 Asm Japan K.K. Method for forming low-k hard film
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US7582575B2 (en) 1998-02-05 2009-09-01 Asm Japan K.K. Method for forming insulation film
US7354873B2 (en) 1998-02-05 2008-04-08 Asm Japan K.K. Method for forming insulation film
US6432846B1 (en) 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6881683B2 (en) 1998-02-05 2005-04-19 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US5958324A (en) 1998-02-06 1999-09-28 Dow Corning Corporation Method for formation of crystalline boron-doped silicon carbide and amorphous boron silicon oxycarbide fibers from polymer blends containing siloxane and boron
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
JP4151862B2 (ja) 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
US6262445B1 (en) 1998-03-30 2001-07-17 Texas Instruments Incorporated SiC sidewall process
US6846391B1 (en) 1998-04-01 2005-01-25 Novellus Systems Process for depositing F-doped silica glass in high aspect ratio structures
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US5981398A (en) 1998-04-10 1999-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask method for forming chlorine containing plasma etched layer
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
JPH11354820A (ja) 1998-06-12 1999-12-24 Sharp Corp 光電変換素子及びその製造方法
US6316167B1 (en) 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US20030089992A1 (en) 1998-10-01 2003-05-15 Sudha Rathi Silicon carbide deposition for use as a barrier layer and an etch stop
US6399484B1 (en) 1998-10-26 2002-06-04 Tokyo Electron Limited Semiconductor device fabricating method and system for carrying out the same
EP1056139A3 (en) 1999-05-28 2007-09-19 Sharp Kabushiki Kaisha Method for manufacturing photoelectric conversion device
EP1208002A4 (en) * 1999-06-03 2006-08-02 Penn State Res Found MATERIALS WITH NETWORK OF SURFACE POROSITY COLUMNS DEPOSITED IN THIN FILM
ATE418158T1 (de) 1999-08-17 2009-01-15 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten sio2-filmen zur erhöhung der stabilität während der o2-veraschung
US6100587A (en) 1999-08-26 2000-08-08 Lucent Technologies Inc. Silicon carbide barrier layers for porous low dielectric constant materials
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US6399489B1 (en) * 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6537741B2 (en) 1999-11-24 2003-03-25 Nexpress Solutions Llc Fusing belt for applying a protective overcoat to a photographic element
JP3430091B2 (ja) 1999-12-01 2003-07-28 Necエレクトロニクス株式会社 エッチングマスク及びエッチングマスクを用いたコンタクトホールの形成方法並びにその方法で形成した半導体装置
US6818990B2 (en) 2000-04-03 2004-11-16 Rensselaer Polytechnic Institute Fluorine diffusion barriers for fluorinated dielectrics in integrated circuits
US6417092B1 (en) 2000-04-05 2002-07-09 Novellus Systems, Inc. Low dielectric constant etch stop films
US6303476B1 (en) 2000-06-12 2001-10-16 Ultratech Stepper, Inc. Thermally induced reflectivity switch for laser thermal processing
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6352921B1 (en) 2000-07-19 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Use of boron carbide as an etch-stop and barrier layer for copper dual damascene metallization
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6774489B2 (en) 2000-08-29 2004-08-10 Texas Instruments Incorporated Dielectric layer liner for an integrated circuit structure
TW535253B (en) 2000-09-08 2003-06-01 Applied Materials Inc Plasma treatment of silicon carbide films
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US6365527B1 (en) 2000-10-06 2002-04-02 United Microelectronics Corp. Method for depositing silicon carbide in semiconductor devices
US6576345B1 (en) 2000-11-30 2003-06-10 Novellus Systems Inc Dielectric films with low dielectric constants
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6936533B2 (en) 2000-12-08 2005-08-30 Samsung Electronics, Co., Ltd. Method of fabricating semiconductor devices having low dielectric interlayer insulation layer
US7019399B2 (en) 2001-01-22 2006-03-28 N.V. Bekaert S.A. Copper diffusion barriers made of diamond-like nanocomposits doped with metals
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6455409B1 (en) 2001-02-28 2002-09-24 Advanced Micro Devices, Inc. Damascene processing using a silicon carbide hard mask
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
KR100414156B1 (ko) 2001-05-29 2004-01-07 삼성전자주식회사 집적회로소자의 캐패시터 제조방법
US6506692B2 (en) 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US6573606B2 (en) 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
US7057251B2 (en) 2001-07-20 2006-06-06 Reflectivity, Inc MEMS device made of transition metal-dielectric oxide materials
US20030064154A1 (en) 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
KR100778947B1 (ko) 2001-08-30 2007-11-22 동경 엘렉트론 주식회사 성막 방법 및 성막 장치
AU2002333601A1 (en) 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
US20030154141A1 (en) 2001-09-18 2003-08-14 Pro Corp Holdings International Ltd. Image recognition inventory management system
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
KR100420598B1 (ko) 2001-11-28 2004-03-02 동부전자 주식회사 알루미늄을 이용한 구리 확산 방지 막 형성방법
US6670715B2 (en) 2001-12-05 2003-12-30 United Microelectronics Corp. Bilayer silicon carbide based barrier
US6838393B2 (en) 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6679978B2 (en) 2002-02-22 2004-01-20 Afg Industries, Inc. Method of making self-cleaning substrates
US6818570B2 (en) 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
KR100449028B1 (ko) 2002-03-05 2004-09-16 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법
US20030194496A1 (en) 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US6935553B2 (en) 2002-04-16 2005-08-30 Senju Metal Industry Co., Ltd. Reflow soldering method
CN100374617C (zh) 2002-05-24 2008-03-12 肖特股份公司 化学气相沉积涂涂料设备
US20060014384A1 (en) 2002-06-05 2006-01-19 Jong-Cheol Lee Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
US20040025791A1 (en) 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US6767836B2 (en) 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
US6803313B2 (en) 2002-09-27 2004-10-12 Advanced Micro Devices, Inc. Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4066332B2 (ja) 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
JP4109531B2 (ja) 2002-10-25 2008-07-02 松下電器産業株式会社 半導体装置及びその製造方法
US7485570B2 (en) 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
DE10250889B4 (de) 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
US20040232552A1 (en) 2002-12-09 2004-11-25 Advanced Micro Devices, Inc. Air gap dual damascene process and structure
US6825130B2 (en) 2002-12-12 2004-11-30 Asm Japan K.K. CVD of porous dielectric materials
US6975032B2 (en) 2002-12-16 2005-12-13 International Business Machines Corporation Copper recess process with application to selective capping and electroless plating
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7365029B2 (en) 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US6855645B2 (en) 2002-12-30 2005-02-15 Novellus Systems, Inc. Silicon carbide having low dielectric constant
US6790788B2 (en) 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US7238393B2 (en) 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6869542B2 (en) 2003-03-12 2005-03-22 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US20040197474A1 (en) 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US7081673B2 (en) 2003-04-17 2006-07-25 International Business Machines Corporation Multilayered cap barrier in microelectronic interconnect structures
US7115534B2 (en) 2003-05-19 2006-10-03 Applied Materials, Inc. Dielectric materials to prevent photoresist poisoning
JP3966249B2 (ja) 2003-07-30 2007-08-29 日産自動車株式会社 半導体装置及び半導体装置の製造方法
US6849561B1 (en) 2003-08-18 2005-02-01 Asm Japan K.K. Method of forming low-k films
US7420275B1 (en) 2003-09-24 2008-09-02 Novellus Systems, Inc. Boron-doped SIC copper diffusion barrier films
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US20050100682A1 (en) 2003-11-06 2005-05-12 Tokyo Electron Limited Method for depositing materials on a substrate
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
DE602004026635D1 (de) 2003-12-26 2010-05-27 Nissan Chemical Ind Ltd Zusammensetzung zur bildung eines nitridbeschichtungsfilms für eine hartmaske
US7803705B2 (en) 2004-01-13 2010-09-28 Tokyo Electron Limited Manufacturing method of semiconductor device and film deposition system
US7405147B2 (en) 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US20050233555A1 (en) 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7102232B2 (en) 2004-04-19 2006-09-05 International Business Machines Corporation Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
JP5113982B2 (ja) 2004-04-23 2013-01-09 トヨタ自動車株式会社 金属炭化物粒子が分散した炭素複合材料の製造方法
US7285842B2 (en) 2004-04-27 2007-10-23 Polyset Company, Inc. Siloxane epoxy polymers as metal diffusion barriers to reduce electromigration
US7259090B2 (en) 2004-04-28 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Copper damascene integration scheme for improved barrier layers
US7067409B2 (en) 2004-05-10 2006-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
US7622400B1 (en) 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US20050277302A1 (en) 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7282438B1 (en) 2004-06-15 2007-10-16 Novellus Systems, Inc. Low-k SiC copper diffusion barrier films
JP2006013190A (ja) 2004-06-28 2006-01-12 Rohm Co Ltd 半導体装置の製造方法
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7132374B2 (en) 2004-08-17 2006-11-07 Cecilia Y. Mak Method for depositing porous films
JP4987717B2 (ja) 2004-08-18 2012-07-25 ダウ・コーニング・コーポレイション コーティングを有する基板及びその調製方法
US7166544B2 (en) 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
KR20060029762A (ko) 2004-10-04 2006-04-07 삼성전자주식회사 반도체 장치의 박막 형성 방법
GB0423685D0 (en) 2004-10-26 2004-11-24 Dow Corning Ireland Ltd Improved method for coating a substrate
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7662355B2 (en) 2004-11-29 2010-02-16 National University Corporation Tokyo University Of Agriculture And Technology Silicon nanosized linear body and a method for producing a silicon nanosized linear body
US7259111B2 (en) 2005-01-19 2007-08-21 Applied Materials, Inc. Interface engineering to improve adhesion between low k stacks
TW200631095A (en) 2005-01-27 2006-09-01 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
US7189658B2 (en) 2005-05-04 2007-03-13 Applied Materials, Inc. Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
KR101272097B1 (ko) 2005-06-03 2013-06-07 가부시키가이샤 한도오따이 에네루기 켄큐쇼 집적회로 장치 및 그의 제조방법
US7335611B2 (en) 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
JP2007053133A (ja) 2005-08-15 2007-03-01 Toshiba Corp 半導体装置及びその製造方法
US8021992B2 (en) 2005-09-01 2011-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. High aspect ratio gap fill application using high density plasma chemical vapor deposition
JP4837370B2 (ja) 2005-12-05 2011-12-14 東京エレクトロン株式会社 成膜方法
US7718518B2 (en) 2005-12-16 2010-05-18 Asm International N.V. Low temperature doped silicon layer formation
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US20070173071A1 (en) 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
JP4967354B2 (ja) 2006-01-31 2012-07-04 東京エレクトロン株式会社 シード膜の成膜方法、プラズマ成膜装置及び記憶媒体
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
EP1989733A1 (en) 2006-02-28 2008-11-12 STMicroelectronics (Crolles 2) SAS Metal interconnects in a dielectric material
US8110242B2 (en) 2006-03-24 2012-02-07 Zimmer, Inc. Methods of preparing hydrogel coatings
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
WO2007116492A1 (ja) 2006-03-31 2007-10-18 Fujitsu Microelectronics Limited 半導体装置の製造方法
US7744746B2 (en) 2006-03-31 2010-06-29 Exxonmobil Research And Engineering Company FCC catalyst stripper configuration
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7528078B2 (en) 2006-05-12 2009-05-05 Freescale Semiconductor, Inc. Process of forming electronic device including a densified nitride layer adjacent to an opening within a semiconductor layer
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7851384B2 (en) 2006-06-01 2010-12-14 Applied Materials, Inc. Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
US20080124912A1 (en) 2006-08-01 2008-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor methods
JP5380797B2 (ja) 2006-08-21 2014-01-08 富士通株式会社 半導体デバイスの製造方法
KR100880310B1 (ko) 2006-09-06 2009-01-28 주식회사 하이닉스반도체 반도체 소자의 제조방법
US20080064173A1 (en) 2006-09-08 2008-03-13 United Microelectronics Corp. Semiconductor device, cmos device and fabricating methods of the same
US8956457B2 (en) 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US8053372B1 (en) 2006-09-12 2011-11-08 Novellus Systems, Inc. Method of reducing plasma stabilization time in a cyclic deposition process
US7759241B2 (en) 2006-09-15 2010-07-20 Intel Corporation Group II element alloys for protecting metal interconnects
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7550758B2 (en) 2006-10-31 2009-06-23 Atmel Corporation Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator
US7749892B2 (en) 2006-11-29 2010-07-06 International Business Machines Corporation Embedded nano UV blocking and diffusion barrier for improved reliability of copper/ultra low K interlevel dielectric electronic devices
US20080128907A1 (en) 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
US20080178805A1 (en) 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
DE102006058771B4 (de) 2006-12-12 2018-03-01 Schott Ag Behälter mit verbesserter Restentleerbarkeit und Verfahren zu dessen Herstellung
US20080156264A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US8017522B2 (en) 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
KR20090121361A (ko) 2007-02-27 2009-11-25 식스트론 어드밴스드 머티리얼즈 인코포레이티드 기판상에 막을 형성하는 방법
JP5140290B2 (ja) 2007-03-02 2013-02-06 富士フイルム株式会社 絶縁膜
TWI333676B (en) 2007-03-22 2010-11-21 United Microelectronics Corp Method for manufacturing mos transistor utilizing hybrid a hard mask
US7615482B2 (en) 2007-03-23 2009-11-10 International Business Machines Corporation Structure and method for porous SiCOH dielectric layers and adhesion promoting or etch stop layers having increased interfacial and mechanical strength
US8173537B1 (en) 2007-03-29 2012-05-08 Novellus Systems, Inc. Methods for reducing UV and dielectric diffusion barrier interaction
US20090264277A1 (en) 2007-04-17 2009-10-22 Dr. Rishi Raj Picoscale catalysts for hydrogen catalysis
CN101675505B (zh) 2007-05-03 2012-11-21 朗姆研究公司 硬掩模开口以及利用硬掩模开口的蚀刻形貌控制
US7955955B2 (en) 2007-05-10 2011-06-07 International Business Machines Corporation Using crack arrestor for inhibiting damage from dicing and chip packaging interaction failures in back end of line structures
US8283255B2 (en) 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
JP5022116B2 (ja) 2007-06-18 2012-09-12 三菱重工業株式会社 半導体装置の製造方法及び製造装置
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
WO2009012067A1 (en) 2007-07-13 2009-01-22 Applied Materials, Inc. Boron derived materials deposition method
US20090061649A1 (en) 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
JP2009075285A (ja) 2007-09-20 2009-04-09 Fujifilm Corp 半導体デバイスの剥離液、及び、剥離方法
JP5006938B2 (ja) 2007-11-02 2012-08-22 キヤノンアネルバ株式会社 表面処理装置およびその基板処理方法
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
US8338315B2 (en) 2008-02-26 2012-12-25 Axcelis Technologies, Inc. Processes for curing silicon based low-k dielectric materials
US9591738B2 (en) 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US8124522B1 (en) 2008-04-11 2012-02-28 Novellus Systems, Inc. Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties
US20090258487A1 (en) 2008-04-14 2009-10-15 Keng-Chu Lin Method for Improving the Reliability of Low-k Dielectric Materials
KR20150038544A (ko) 2008-05-07 2015-04-08 더 트러스티즈 오브 프린스턴 유니버시티 전자 장치들 또는 다른 물품들 위의 코팅들에 사용하기 위한 혼성 층들
BRPI0912863A2 (pt) 2008-05-19 2015-10-13 Sandvik Intellectual Property socador de nivelamento de estrada com arruela
KR101629193B1 (ko) 2008-06-26 2016-06-10 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Soi 기판의 제작 방법
US8754530B2 (en) 2008-08-18 2014-06-17 International Business Machines Corporation Self-aligned borderless contacts for high density electronic and memory device integration
US8916022B1 (en) 2008-09-12 2014-12-23 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US8168268B2 (en) 2008-12-12 2012-05-01 Ovishinsky Innovation, LLC Thin film deposition via a spatially-coordinated and time-synchronized process
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
JP2012506151A (ja) 2008-10-14 2012-03-08 アプライド マテリアルズ インコーポレイテッド プラズマ強化化学気相成長(pecvd)によって共形の非晶質炭素膜を堆積させる方法
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8268722B2 (en) 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
JP2011014872A (ja) 2009-06-04 2011-01-20 Tokyo Electron Ltd アモルファスカーボン膜の形成方法および形成装置
US8084339B2 (en) 2009-06-12 2011-12-27 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US20100317198A1 (en) 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8071451B2 (en) 2009-07-29 2011-12-06 Axcelis Technologies, Inc. Method of doping semiconductors
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8202783B2 (en) 2009-09-29 2012-06-19 International Business Machines Corporation Patternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication
JP5656010B2 (ja) 2009-12-04 2015-01-21 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated ハードマスク膜を形成する方法およびハードマスク膜を成膜する装置
US8178443B2 (en) 2009-12-04 2012-05-15 Novellus Systems, Inc. Hardmask materials
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
JP5394270B2 (ja) 2010-01-25 2014-01-22 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
US8399350B2 (en) 2010-02-05 2013-03-19 International Business Machines Corporation Formation of air gap with protection of metal lines
US8349746B2 (en) 2010-02-23 2013-01-08 Applied Materials, Inc. Microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US20130012030A1 (en) 2010-03-17 2013-01-10 Applied Materials, Inc. Method and apparatus for remote plasma source assisted silicon-containing film deposition
US20120142172A1 (en) 2010-03-25 2012-06-07 Keith Fox Pecvd deposition of smooth polysilicon films
US20130157466A1 (en) 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8288292B2 (en) 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
JP5123349B2 (ja) 2010-04-19 2013-01-23 Hoya株式会社 多階調マスクの製造方法
CN102939641A (zh) 2010-04-30 2013-02-20 应用材料公司 改良堆迭缺陷率的非晶碳沉积方法
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8440571B2 (en) 2010-11-03 2013-05-14 Applied Materials, Inc. Methods for deposition of silicon carbide and silicon carbonitride films
KR101787041B1 (ko) 2010-11-17 2017-10-18 삼성전자주식회사 식각방지막이 구비된 반도체 소자 및 그 제조방법
JP5638413B2 (ja) 2011-02-08 2014-12-10 東京エレクトロン株式会社 マスクパターンの形成方法
WO2012134605A1 (en) 2011-03-25 2012-10-04 Applied Materials, Inc. Method and apparatus for thermocouple installation or replacement in a substrate support
US8586478B2 (en) 2011-03-28 2013-11-19 Renesas Electronics Corporation Method of making a semiconductor device
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8637412B2 (en) 2011-08-19 2014-01-28 International Business Machines Corporation Process to form an adhesion layer and multiphase ultra-low k dielectric material using PECVD
KR101334640B1 (ko) 2011-08-22 2013-11-29 서울시립대학교 산학협력단 고강도 실리콘옥시카바이드 결합 탄화규소 소재 제조용 조성물, 탄화규소 소재 및 그 제조방법
JP2013055136A (ja) 2011-09-01 2013-03-21 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP2013074093A (ja) 2011-09-28 2013-04-22 Renesas Electronics Corp リフロー前処理装置およびリフロー前処理方法
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
JPWO2013073216A1 (ja) * 2011-11-14 2015-04-02 住友電気工業株式会社 炭化珪素基板、半導体装置およびこれらの製造方法
US8629040B2 (en) 2011-11-16 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for epitaxially growing active regions between STI regions
WO2013103037A1 (ja) 2012-01-07 2013-07-11 日本電気株式会社 光学装置、光学素子および画像表示装置
US20130242493A1 (en) 2012-03-13 2013-09-19 Qualcomm Mems Technologies, Inc. Low cost interposer fabricated with additive processes
US20130298942A1 (en) 2012-05-14 2013-11-14 Applied Materials, Inc. Etch remnant removal
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US20180330945A1 (en) 2012-06-12 2018-11-15 Lam Research Corporation Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US20180347035A1 (en) 2012-06-12 2018-12-06 Lam Research Corporation Conformal deposition of silicon carbide films using heterogeneous precursor interaction
JP6172660B2 (ja) 2012-08-23 2017-08-02 東京エレクトロン株式会社 成膜装置、及び、低誘電率膜を形成する方法
US9269747B2 (en) 2012-08-23 2016-02-23 Micron Technology, Inc. Self-aligned interconnection for integrated circuits
JP6047795B2 (ja) 2012-11-12 2016-12-21 日東電工株式会社 アンテナモジュール
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US8766404B1 (en) 2013-01-10 2014-07-01 Intermolecular, Inc. Device design for partially oriented rutile dielectrics
US8928149B2 (en) 2013-03-12 2015-01-06 Macronix International Co., Ltd. Interlayer conductor and method for forming
KR102136769B1 (ko) 2013-03-14 2020-07-22 어플라이드 머티어리얼스, 인코포레이티드 Pecvd 프로세스에서 우수한 접착 강도를 갖고 유전 상수 증가를 최소화하기 위한 접착 층
US20140302690A1 (en) 2013-04-04 2014-10-09 Applied Materials, Inc. Chemical linkers to impart improved mechanical strength to flowable films
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US8927442B1 (en) 2013-07-25 2015-01-06 International Business Machines Corporation SiCOH hardmask with graded transition layers
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
JP6267953B2 (ja) 2013-12-19 2018-01-24 東京エレクトロン株式会社 半導体装置の製造方法
US9362186B2 (en) 2014-07-18 2016-06-07 Applied Materials, Inc. Polishing with eddy current feed meaurement prior to deposition of conductive layer
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9391086B1 (en) 2015-02-23 2016-07-12 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing nonvolatile semiconductor memory device
US20160268286A1 (en) 2015-03-11 2016-09-15 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device and semiconductor device
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
CN111118477A (zh) 2015-06-05 2020-05-08 应用材料公司 赋予掺杂硼的碳膜静电夹持及极佳颗粒性能的渐变原位电荷捕捉层
KR102658085B1 (ko) 2015-07-09 2024-04-16 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 알킬아미노 치환 할로카보실란 전구체
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US20180033614A1 (en) 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6448186B1 (en) * 2000-10-06 2002-09-10 Novellus Systems, Inc. Method and apparatus for use of hydrogen and silanes in plasma
US7256139B2 (en) * 2002-05-08 2007-08-14 Applied Materials, Inc. Methods and apparatus for e-beam treatment used to fabricate integrated circuit devices
CN1735945A (zh) * 2002-11-02 2006-02-15 霍尼韦尔国际公司 气体层形成材料
CN101065834A (zh) * 2004-08-24 2007-10-31 应用材料股份有限公司 以等离子体增强化学气相沉积制造具低应力的低k值介电质的低温工艺
CN101017834A (zh) * 2007-03-02 2007-08-15 上海集成电路研发中心有限公司 一种soi集成电路结构及其制作方法
CN102187450A (zh) * 2008-10-16 2011-09-14 应用材料股份有限公司 以低蚀刻速率介电质衬里改善间隙填充的方法
CN102652353A (zh) * 2009-12-09 2012-08-29 诺发系统有限公司 新颖间隙填充整合
CN102468434A (zh) * 2010-11-17 2012-05-23 中芯国际集成电路制造(北京)有限公司 相变存储器的制作方法

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11894227B2 (en) 2012-06-12 2024-02-06 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US11680315B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
US11708634B2 (en) 2013-05-31 2023-07-25 Novellus Systems, Inc. Films of desired composition and film properties
CN110651064A (zh) * 2017-05-16 2020-01-03 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
CN110651064B (zh) * 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
CN112313362A (zh) * 2018-06-19 2021-02-02 应用材料公司 高品质间隙填充物的高偏压沉积
US11676858B2 (en) 2018-06-19 2023-06-13 Applied Materials, Inc. High bias deposition of high quality gapfill
CN113195786A (zh) * 2018-10-19 2021-07-30 朗姆研究公司 用于间隙填充的远程氢等离子体暴露以及掺杂或未掺杂硅碳化物沉积
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
CN111554590A (zh) * 2020-04-16 2020-08-18 上海陛通半导体能源科技股份有限公司 半导体填孔真空系统及填孔方法

Also Published As

Publication number Publication date
US11049716B2 (en) 2021-06-29
TW201708597A (zh) 2017-03-01
KR102648712B1 (ko) 2024-03-19
US20160314964A1 (en) 2016-10-27
KR20160125310A (ko) 2016-10-31
US20190181004A1 (en) 2019-06-13

Similar Documents

Publication Publication Date Title
CN106067440A (zh) 使用基于碳的膜的间隙填充
KR102510157B1 (ko) 반도체 패터닝 애플리케이션들을 위한 도핑된 ald 막들
TWI831756B (zh) 形成金屬薄膜的方法及儀器
US10580690B2 (en) Staircase encapsulation in 3D NAND fabrication
US10832908B2 (en) Self-aligned multi-patterning process flow with ALD gapfill spacer mask
KR102031377B1 (ko) 플라즈마 활성화된 등각 막 성막을 위한 전구체들
KR102209817B1 (ko) 유기금속 공-반응물들과의 교차-복분해 반응들을 통해 sic 및 sicn 막들을 증착시키기 위한 장치들 및 방법들
CN103890910B (zh) 等离子体活化保形电介质膜沉积的方法和装置
CN103975419B (zh) 等离子体活化保形电介质膜沉积
KR101913443B1 (ko) 컨포멀 막들의 플라즈마 활성화된 성막
US20050136684A1 (en) Gap-fill techniques
CN1698188B (zh) 沉积低介电常数膜的方法
CN105470194A (zh) 用核化抑制的特征填充
KR20150079470A (ko) 펄싱된 플라즈마 노출을 사용하여 플라즈마 강화된 원자층 증착
US11972952B2 (en) Atomic layer deposition on 3D NAND structures
CN103415914A (zh) 平面化后的致密化
TW201442148A (zh) 經控制之氣隙的形成
US8476142B2 (en) Preferential dielectric gapfill
KR20170021208A (ko) 반도체 디바이스에서 막들을 치밀화하는 방법
US20150140833A1 (en) Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance
KR20220047333A (ko) 텅스텐 증착
WO2020210260A1 (en) High step coverage tungsten deposition
US20230307290A1 (en) Reducing intralevel capacitance in semiconductor devices
KR20240032126A (ko) 실리콘-함유 막들의 플라즈마 강화 원자 층 증착
US20230002887A1 (en) In-situ pecvd cap layer

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20161102