CN102543831A - 用于半导体制造中的可流动沉积的系统和装置 - Google Patents

用于半导体制造中的可流动沉积的系统和装置 Download PDF

Info

Publication number
CN102543831A
CN102543831A CN2011104429262A CN201110442926A CN102543831A CN 102543831 A CN102543831 A CN 102543831A CN 2011104429262 A CN2011104429262 A CN 2011104429262A CN 201110442926 A CN201110442926 A CN 201110442926A CN 102543831 A CN102543831 A CN 102543831A
Authority
CN
China
Prior art keywords
chuck
shell
wafer
spray head
module
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011104429262A
Other languages
English (en)
Other versions
CN102543831B (zh
Inventor
乔纳森·D·莫恩
哈拉尔德·特尼耶胡伊斯
肖恩·M·汉密尔顿
凯文·马德里加尔
拉姆基尚·拉奥·林加姆帕利
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
ASM Nutool Inc
Original Assignee
ASM Nutool Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Nutool Inc filed Critical ASM Nutool Inc
Publication of CN102543831A publication Critical patent/CN102543831A/zh
Application granted granted Critical
Publication of CN102543831B publication Critical patent/CN102543831B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/34Accessory or component

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

描述用于可流动间隙填充的电子器件制造工艺、装置和系统或可流动沉积技术。在一些实施中,描述一种半导体制造腔室,所述半导体制造腔室经配置以将半导体晶片维持在接近0℃的温度,同时将所述制造腔室中的大多数其它组件维持在约5℃到10℃或高于晶片温度的温度。

Description

用于半导体制造中的可流动沉积的系统和装置
相关申请案的交叉参考
本申请案根据35U.S.C.§119(e)主张2010年12月20日提交的第61/425,150号美国临时专利申请案的权利,所述申请案以引用的方式并入本文中。
技术领域
本发明涉及电子器件制造工艺、装置和系统。在特定实施例中,本发明涉及介电间隙填充工艺、装置和系统。
背景技术
在半导体处理中,通常有必要用绝缘材料来填充高纵横比间隙。对于浅沟槽隔离(STI)、金属间电介质(IMD)层、层间电介质(ILD)层、前金属电介质(PMD)层、钝化层等即为此情况。随着器件几何尺寸缩小且热预算降低,窄宽度、高纵横比(AR)部件(例如,AR>6∶1)的无空隙填充由于现有沉积工艺的限制而变得越来越困难。
本文中论述用于介电间隙填充的新方法、装置、系统和技术。
发明内容
在一些实施中,提供一种晶片支撑装置。所述晶片支撑装置可包含卡盘,所述卡盘包含顶表面、底表面和外表面。所述顶表面与所述底表面可实质上平行于彼此,且可偏离彼此。所述外表面可位于所述顶表面与所述底表面之间,且所述顶表面可经配置以支撑半导体晶片。所述晶片支撑装置还可包含外壳。所述外壳可包含外壁和连接到所述外壁的外壳底板。所述外壳底板可包含从所述外壁朝向所述外壳底板的中心延伸的第一隔热区。所述第一隔热区可在一直延伸到所述外壳底板的中心之前停止。所述卡盘的底表面可面向所述外壳底板,且所述卡盘的底表面和外表面可实质上处于由所述外壁和所述外壳底板界定的容积内。所述卡盘与所述外壳可经配置以作为单一组合件在半导体制造腔室中一起移动。所述卡盘的外表面与所述外壳的外壁之间可无实质热接触,且跨越所述第一隔热区在所述底表面与所述外壳底板之间可无实质热接触。
在一些其它实施中,当所述晶片支撑装置暴露于在可流动沉积半导体制造腔室中存在的气体和环境条件时,可能会发生所述卡盘的外表面与所述外壳的外壁之间的无实质热接触以及跨越所述第一隔热区在所述底表面与所述外壳底板之间的无实质热接触。在又一些其它实施中,所述气体可包含Ar或He,且所述环境条件可包含介于25托与75托之间的压强。
在一些实施中,在所述卡盘的实质上全部外表面与所述外壳的外壁之间可存在至少为0.015″的间隙,且跨越所述第一隔热区在实质上全部所述底表面与所述外壳底板之间可存在至少为0.015″的间隙。
在一些实施中,所述外表面与所述外壁可为实质上圆柱形,所述外壳底板可为实质上环形且具有内部周界,且所述隔热区可不延伸到所述内部周界。
在一些其它实施中,所述晶片支撑装置可进一步包含介电中断区(dielectric break)。所述介电中断区可包含外介电壁和与所述外介电壁相接的介电底板,且所述介电底板可包含从所述外介电壁朝向所述介电底板的中心延伸的第二隔热区。所述介电底板可插入于所述外壳底板与所述底表面之间,且所述外介电壁可插入于所述外壁与所述外表面之间。所述外壁、所述外介电壁与所述外表面之间可以无实质热接触,跨越所述第二隔热区在所述底表面与所述介电底板之间无实质热接触,且跨越所述第一隔热区在所述介电底板与所述外壳底板之间无实质热接触。
在一些实施中,所述外表面与所述外介电壁的面向所述外表面的表面可隔开介于0.015″与0.050″之间的间隙,所述底表面与所述介电底板的在所述第二隔热区内且面向所述底表面的表面之间可隔开介于0.015″与0.050″之间的间隙,所述外介电壁与所述外壁的面向彼此的表面可隔开介于0.015″与0.050″之间的间隙,且所述介电底板的表面与所述外壳底板的在所述第一隔热区中的表面可隔开介于0.015″与0.050″之间的间隙。
在一些实施中,所述卡盘可包含冷却通道,所述冷却通道位于所述顶表面与所述底表面之间且沿循穿过所述卡盘的迂回路径。在一些其它实施中,所述迂回路径可包含具有不同大小的复数个嵌套的C形区段和复数个跨接区段。每一跨接区段可用另一C形区段的相应末端来接合一个C形区段的末端,且仅一个跨接区段可将任何两个C形区段接合在一起。
在一些实施中,所述卡盘可包含位于所述顶表面与所述底表面之间的环形吹扫气体通道。孔的圆形图案可将所述环形吹扫气体通道与所述顶表面流体连接。在又一些其它实施中,所述晶片支撑件可经配置以支撑呈指定标称直径的晶片,且所述圆形图案的直径可比所述标称直径小1mm到2mm。
在一些实施中,所述晶片支撑装置还可包含保护环。所述保护环可为实质上环形的,且内径大于所述顶表面经配置以支撑的半导体晶片的指定标称直径。所述保护环可由所述卡盘支撑,且可不与所述外壳的所述外壁或所述卡盘的所述外表面接触。在一些其它实施中,所述保护环可包含复数个柱体,每个柱体从所述保护环的面向所述顶表面的表面突出第一量,且突出到所述顶表面中的凹部中,所述凹部的深度小于所述第一量。所述保护环的有柱体突出的表面可从所述顶表面偏离15微米到250微米。在一些实施中,在所述保护环的最接近所述外壁的表面与所述外壁之间可存在至少为0.015″的间隙。
在一些实施中,复数个凸起突起从所述卡盘的顶表面突出。所述突起可排列成同心圆形图案,且每一突起可从所述顶表面突出15微米到250微米。
在一些实施中,所述卡盘可进一步包含校准光管和原位光管(in-situ light pipe)。所述校准光管的一个末端可终止于所述顶表面的中心处,且所述原位光管的一个末端可终止于位于所述顶表面与所述底表面之间的磷光盘处。所述校准光管与所述原位光管可在所述卡盘内分开一定距离,所述距离小于从所述外壳底板的中心到所述第一隔热区的距离。
在一些实施中,所述卡盘可包含第一板和第二板。所述第一板可包含第一顶面和第一底面,且所述第二板可包含第二顶面和第二底面。所述第一顶面可结合到所述第二底面,且所述冷却通道可凹入到所述第二底面中。所述第一板可包含两个通孔,每个通孔与所述冷却通道的不同终端对应,且所述第一板与所述第二板可对准,使得每一通孔与所述冷却通道的对应终端对准。在又一些其它实施中,所述卡盘可进一步包含第三板,所述第三板具有第三顶面和第三底面。所述第三底面可结合到所述第二顶面,且所述第三底面可包含环形吹扫气体通道和与所述环形吹扫气体通道流体连接的一个或一个以上吹扫气体供应通道。孔的圆形图案可将所述环形吹扫气体通道与所述第三顶面流体连接,且吹扫气体入口可穿过所述第一板和所述第二板,且将所述一个或一个以上吹扫气体供应通道与所述第一底面流体连接。
在一些实施中,所述卡盘和所述外壳可主要由铝制成,且所述介电中断区可主要由Al2O3制成。在一些其它实施中,所述卡盘可主要由3003铝制成,且所述顶表面可涂有YF3
在一些实施中,可提供一种用于半导体制造的装置。所述用于半导体制造的装置可包含腔室、卡盘、卡盘外壳和控制器。所述腔室可包含加热器系统和实质上圆柱形的内表面。所述卡盘可包含不受所述卡盘外壳妨碍的晶片支撑区域、实质上圆柱形的外表面和冷却系统,且可实质上含于所述卡盘外壳中且由所述卡盘外壳支撑。所述卡盘外壳可包含实质上圆柱形的外表面,且可相对于所述腔室移动。所述控制器可经配置以控制所述加热器系统和所述冷却系统,且通过调节冷却系统温度和加热系统温度而产生第一操作配置。在所述第一操作配置中,所述腔室的内表面可具有至少为40℃的温度,所述晶片支撑区域可具有介于-10℃与+10℃之间的温度,且所述卡盘外壳的外表面可具有比所述晶片支撑区域的温度高至少5℃的温度。
在一些其它实施中,所述控制器可进一步经配置以通过调节所述冷却系统温度和所述加热系统温度而产生第二操作配置。在所述第二操作配置中,所述腔室的内表面、所述卡盘外壳的外表面和所述晶片支撑区域可具有大于70℃的温度。
在一些其它实施中,所述控制器可进一步经配置以通过调节所述冷却系统温度和所述加热系统温度而产生第三操作配置。在所述第三操作配置中,所述腔室的内表面、所述卡盘外壳的外表面和所述晶片支撑区域可具有介于30℃与70℃之间的温度。在一些实施中,所述控制器可进一步经配置以维持温度概况,温度变化跨越由所述晶片支撑区域支撑的晶片小于0.35℃。
在一些实施中,可提供一种半导体制造模块。所述半导体制造模块可包含腔室、晶片支撑装置、喷淋头、气体分配系统、加热系统、冷却系统和温度控制器。所述腔室可包含内表面、顶板和底板。所述晶片支撑装置可含于所述腔室中,且可包含卡盘和外壳。所述卡盘可经配置以在处理期间通过位于所述卡盘的顶表面上的晶片支撑区域来支撑具有标称直径D的半导体晶片,总体形状可为实质上圆柱形,且具有大于D的标称直径。所述外壳可包含外表面和底板。所述外表面可为实质上圆柱形,可界定所述底板的外边缘。所述卡盘可实质上位于由所述外表面界定的容积内。所述喷淋头可位于所述晶片支撑区域上方。所述气体分配系统可经配置以通过喷淋头将反应物递送到所述腔室。所述加热系统可经配置以加热所述腔室的所述内表面、所述顶板和所述底板,且所述冷却系统可经配置以冷却所述卡盘。所述温度控制器可经配置以控制由所述加热系统供应的加热量和由所述冷却系统供应的冷却量。所述温度控制器还可经配置以通过调节所述冷却系统和所述加热系统而提供第一操作配置。在所述第一操作配置中,所述腔室的内表面可具有至少为40℃的温度,所述晶片支撑区域可具有介于-10℃与+10℃之间的温度,且所述外壳的外表面可具有比所述晶片支撑区域的温度高至少5℃的温度。
在所述半导体制造模块的一些实施中,所述喷淋头可包含第一充气部和第二充气部。所述第一充气部和所述第二充气部可在所述喷淋头内彼此流体隔离,且可各自配备有气体分配孔,所述气体分配孔用位于所述晶片支撑区域与所述喷淋头之间的处理容积而将两个充气部流体连接。所述气体分配系统可进一步经配置以通过第一喷淋头供应管线将一种或一种以上第一反应物递送到所述喷淋头的第一充气部,且通过第二喷淋头供应管线将一种或一种以上第二反应物递送到所述喷淋头的第二充气部。在一些其它实施中,所述第一喷淋头供应管线可经配置以待由第一喷淋头供应管线加热器加热,所述第二喷淋头供应管线可经配置以待由第二喷淋头供应管线加热器加热,且所述温度控制器可进一步经配置以控制由所述第一喷淋头供应管线加热器和所述第二喷淋头供应管线加热器供应的加热量。在又一些其它实施中,所述第一喷淋头供应管线加热器、所述第二喷淋头供应管线加热器和所述温度控制器可经配置以将所述第一喷淋头供应管线和所述第二喷淋头供应管线加热到至少为100℃的温度。
在所述半导体制造模块的一些实施中,所述卡盘可经配置以围绕所述晶片支撑区域的周界供应吹扫气体。在所述半导体制造模块的一些其它实施中,所述晶片支撑区域可包含复数个突起,所述突起经配置以使由所述晶片支撑区域支撑的半导体晶片从所述卡盘偏离介于15微米与250微米之间的距离。所述卡盘可经配置以通过圆形图案的吹扫气体孔围绕所述晶片支撑区域的周界供应吹扫气体。所述圆形图案可具有比标称直径小约1mm到2mm的直径,且所述吹扫气体孔可具有小于所述圆形图案与所述标称直径之间的直径差异的出口直径。
在所述半导体制造模块的一些实施中,所述晶片支撑装置可进一步包含插入于所述卡盘与所述外壳之间的介电中断区。所述介电中断区可跨越所述外壳的底板的中心外壳区域与所述外壳实质热接触,且跨越所述底板的除所述中心外壳区域之外的部分不实质热接触。所述介电中断区还可跨越中心卡盘区域与所述卡盘实质热接触,且跨越所述卡盘的除所述中心卡盘区域之外的部分不与该卡盘实质热接触。当沿着所述外壳外表面的中心轴观看时,所述中心卡盘区域和所述中心外壳区域可具有小于所述卡盘的直径的50%的标称大小。
在所述半导体制造模块的一些其它实施中,所述介电中断区与所述外壳的面向彼此的表面(除了这些面跨越中心外壳区域而彼此接触的部分之外)可彼此隔开介于0.015″与0.050″之间的间隙,且所述介电中断区与所述卡盘的面向彼此的表面(除了这些面跨越中心卡盘区域而彼此接触的部分之外)可彼此隔开介于0.015″与0.050″之间的间隙。
在所述半导体制造模块的一些其它实施中,所述晶片支撑装置可进一步包含保护环。所述保护环可由所述卡盘支撑,可实质上轴对称,且可具有小于所述卡盘的标称直径的内径。所述保护环可沿着卡盘中心轴从所述卡盘偏离15微米到250微米。从所述卡盘的所述偏离可由柱体提供,所述柱体跨越没有从卡盘偏离的重叠部分而与所述卡盘热接触,且所述保护环与所述介电中断区的面向彼此的表面可隔开0.015″到0.050″的间隙,且所述保护环与所述外壳的面向彼此的表面隔开0.015″到0.050″的间隙。
在一些实施中,所述半导体制造腔室的选自由腔室、卡盘、外壳和喷淋头组成的群组的一个或一个以上组件在暴露于腔室内的反应物的区域中可至少部分涂有疏水性涂层。在一些其它实施中,所述疏水性涂层可为TiO2
本说明书中所描述的标的物的一个或一个以上实施的细节在附图和以下描述中加以阐述。其它特征、方面和优点将从所述描述、图式和权利要求书而变得显而易见。注意,下图的相对尺寸可能不是按比例绘制。
附图说明
图1说明可流动间隙填充过程图。
图2A和图2B说明使用可流动间隙填充模块的工具的平面图。
图3说明可流动间隙填充模块的配置。
图4A到图4E说明一个可流动间隙填充模块实施中的各种结构。
图5A示意性地说明在可流动间隙填充装置的一些实施中用于气体递送系统的各种气体供应模块。
图5B示意性地说明使用He作为运载气体的实例气体递送系统。
图5C示意性地说明图5B的气体递送系统,其中Ar作为运载气体。
图5D示意性地说明使用Ar作为运载气体的另一实例气体递送系统。
图5E示意性地说明使用Ar作为运载气体的第二实例气体递送系统。
图5F示意性地说明使用Ar作为运载气体的第三实例气体递送系统。
图5G示意性地说明使用Ar作为运载气体的第四实例气体递送系统。
图5H示意性地说明使用Ar作为运载气体的第五实例气体递送系统。
图5I示意性地说明使用Ar作为运载气体的第六实例气体递送系统。
图5J示意性地说明使用Ar作为运载气体的第七实例气体递送系统。
图5K示意性地说明使用Ar作为运载气体的第八实例气体递送系统。
图5L示意性地说明使用Ar作为运载气体的第九实例气体递送系统。
图5M示意性地说明使用Ar作为运载气体的具有可选转向管线吹扫气体源的第九气体递送系统。
图6是反应器和可能电阻加热元件位置的简化平面图。
图7A以平面图说明可去除式挡板。
图7B以平面图说明反应器和环形通道。
图7C以等角剖视图说明反应器。
图8是挡板的分析结果曲线。
图9是反应器的等角视图,其中隐线展示内部特征。
图10说明用于喷淋头的部分孔图案。
图11展示反应器或模块的实例。
图12是远程等离子体处理模块的简化示意图。
图13是HDP-CVD装置的各种组件的简化说明。
图14A描绘实例反应器的等角视图。
图14B描绘图14A的反应器的等角剖面图。
图14C描绘图14A的反应器的侧剖面图。
图14D描绘图14A的反应器的等角剖面图,其中晶片在提升位置中。
图14E描绘图14A的反应器的侧剖面图,其中晶片在提升位置中。
图14F描绘图14A的晶片支撑装置的等角视图。
图14G描绘图14A的晶片支撑装置的等角分解图。
图14H描绘说明冷却管线界面的图14A的晶片支撑装置的等角部分剖面图。
图14I描绘用于图14A的反应器中的实例冷却板的多个视图。
图14J描绘说明光管界面的图14A的晶片支撑装置的等角剖面图。
图14K描绘图14J中所展示的剖面的侧视图。
图14L描绘说明气体吹扫界面的图14A的晶片支撑装置的等角剖面图。
图14M描绘图14L中所展示的剖面的侧视图。
图14N描绘说明真空界面的图14A的晶片支撑装置的等角剖面图。
图14O描绘图14N中所展示的剖面的侧视图。
图14P描绘图14A的晶片支撑装置的细节剖面图。
图14Q描绘图14A的晶片支撑装置的另一细节剖面图。
具体实施方式
介绍
本文中提供用于介电间隙填充的装置、系统和方法。根据各种实施例,装置和系统经配置以各种集成工艺进行间隙填充,所述集成工艺包含沉积可流动介电材料(在某些实施例中为可流动氧化物材料)。虽然下文论述包含可流动氧化物沉积工艺的细节,但类似技术和设备也可用于可流动氮化物和碳化物;本申请案不应解读为限于可流动氧化物技术,且意欲也包含所述额外的可流动薄膜技术。然而,这些方法、装置、系统和技术也不仅限于间隙填充应用,且可用于任何可流动沉积半导体制造工艺中,包含(但不限于)平坦化、牺牲薄膜沉积和封孔。在某些实施例中,装置和系统经配置以用可流动介电材料和高密度等离子体化学气相沉积介电材料来进行间隙填充。
暗示相对于环境的特定定向的各种术语(例如(但不限于),“底部”、“顶部”、“在……下面”等)可结合图式使用以有助于理解本文中所描述的概念。所述术语的使用不应解释为需要将所述定向用于实施本文中所描述的概念,除非特定概念需要所描述的定向以起作用。
图1为描绘代表性的可流动间隙填充方法的过程流程图。图1中所展示的许多或所有步骤可执行于可流动间隙填充沉积模块中,但一些步骤可执行于另一工艺模块中。举例来说,步骤115和步骤150可执行于特定地经配置以用于等离子体处理的模块中。晶片可被提供到模块且在适当时在模块之间转变。将晶片提供到模块可涉及将晶片夹持于基座或模块腔室中的其它支撑件。出于此目的,可使用静电或机械卡盘。可在适当时在真空(例如,使用真空转移系统)下或在惰性气氛下执行转变模块。
等离子体预处理或清洁可执行于步骤115中以使晶片预备好沉积。所上文所提及,步骤115也可发生于与过程100中的其它步骤分离的模块或腔室中。如果如此,那么晶片可需要在执行步骤115之后被转移到沉积反应器。
在步骤120中,引入处理气体。在形成以硅为主的电介质的实施例中,处理气体包含含有硅的化合物和(在需要时)另一反应物。举例来说,含有硅的前驱物可与氧化剂反应以形成二氧化硅,或与氮化物反应以形成氮化硅。气体也可包含一种或一种以上掺杂剂前驱物。有时(但不必),存在惰性运载气体。在某些实施例中,使用液体注射系统引入气体。通过单独的入口将含有硅的化合物和氧化剂引入到反应腔室。在某些实施例中,处理气体包含溶剂、催化剂和/或掺杂剂。而且,在某些实施例中,可以增加晶片表面之上的滞留时间和/或最大化反应器利用的方式来提供反应物。举例来说,可在其它反应物之前引入反应物。
含硅前驱物的实例包含(但不限于)烷氧硅烷,例如四氧甲基环四硅氧烷(TOMCTS)、八甲基环四硅氧烷(OMCTS)、四乙氧基硅烷(TEOS)、三乙氧基硅烷(TES)、三甲氧基甲硅烷(TriMOS)、甲基三乙氧基正硅酸酯(MTEOS)、四甲基正硅酸酯(TMOS)、甲基三甲氧基硅烷(MTMOS)、二甲氧基二甲基硅烷(DMDMOS)、二乙氧基硅烷(DES)、二甲氧基硅烷(DMOS)、三苯基乙氧基硅烷、1-(三乙氧基硅基)-2-(二乙氧基甲基硅基)乙烷、三第三丁氧基硅烷醇、六甲氧基二硅烷(HMODS)、六乙氧基二硅烷(HEODS)、四异氰酸硅烷(TICS)、二第三丁氨基硅烷(BTBAS)、氢硅倍半氧烷、第三丁氧基乙硅烷、T8-氢化球硅氧烷、八氢POSSTM(多面低聚倍半硅氧烷)和1,2-二甲氧基-1,1,2,2-四甲基乙硅烷。含硅前驱物的其它实例包含(但不限于)硅烷(SiH4)、乙硅烷、丙硅烷、己硅烷、环己硅烷(cyclohexasilane)和烷基硅烷,例如甲基硅烷和乙基硅烷。
合适的氧化剂的实例包含(但不限于)臭氧(O3)、包含过氧化氢(H2O2)的过氧化物、氧气(O2)、水(H2O)、醇类(例如,甲醇、乙醇和异丙醇)、一氧化氮(NO)、二氧化氮(NO2)、一氧化二氮(N2O)、一氧化碳(CO)和二氧化碳(CO2)。在某些实施例中,远程等离子体产生器可供应活性氧化剂种类。
溶剂或其它表面活性剂可用以减缓表面张力,且增加衬底表面上的反应物的湿润。其也可增加介电前驱物与其它反应物的可混合性,尤其是在液相中冷凝时。表面活性剂和溶剂的实例可包含醇类、乙二醇和聚乙二醇。不同表面活性剂可用于碳掺杂硅前驱物,这是因为含碳部分常使前驱物更具疏水性。
表面活性剂可用以减缓表面张力,且增加衬底表面上的反应物的湿润。其也可增加介电前驱物与其它反应物的可混合性,尤其是在液相中冷凝时。表面活性剂的实例包含溶剂、醇类、乙二醇和聚乙二醇。不同表面活性剂可用于碳掺杂硅前驱物,这是因为含碳部分常使前驱物更具疏水性。
溶剂可为非极性的或极性的和质子性的或非质子性的。溶剂可与介电前驱物的选择匹配以改良于氧化剂中的可混合性。非极性溶剂包含烷烃和烯烃;极性非质子性溶剂包含丙酮和醋酸;且极性质子性溶剂包含醇类和羧基化合物。
可引入的溶剂的实例包含醇类(例如,异丙醇、乙醇和甲醇)或可与反应物混合的其它化合物(例如,乙醚、羰基、腈)。溶剂为可选的且在某些实施例中可被单独引入或与氧化剂或另一处理气体一起引入。溶剂的实例包含(但不限于)甲醇、乙醇、异丙醇、丙酮、二乙醚、乙腈、二甲基甲酰胺和二甲亚砜、四氢呋喃(THF)、二氯甲烷、己烷、苯、甲苯、异庚烷和二乙醚。在某些实施例中,可通过吹喷或正常递送在其它反应物之前引入溶剂。在一些实施例中,可通过将溶剂吹喷到反应器中而引入溶剂以促进水解,尤其是在前驱物与氧化剂具有低可混合性的状况下。
含氮化合物(例如,沉积氮化硅或氧氮化硅)的实例包含含硅和含氮的前驱物(例如,三硅胺烷(TSA)或二硅胺烷(DSA))、氮前驱物(例如,氨(NH3)、BTBAS或肼(N2H4))。
接着在操作130中将晶片暴露于处理气体。反应器中的条件为如此以使得含有硅的化合物与氧化剂或其它反应物(如果存在的话)反应。反应机制可涉及吸收反应、水解反应、冷凝反应、聚合反应、产生冷凝的气相产品的气相反应、在反应之前反应物中的一者或一者以上的冷凝,或此等反应的组合。如操作140中所展示,由此将可流动薄膜沉积于晶片表面上。将晶片暴露于处理气体足以允许可流动薄膜填充间隙的时间段。在某些实施例中,沉积方法形成具有良好流动特性的软的类似胶状薄膜,提供连贯的填充。在本文中,出于论述的目的,也可将沉积的薄膜描述为具有液体流特性的凝胶、液体薄膜或可流动薄膜。薄膜机制可根据特定反应变化;例如,可流动薄膜可形成于间隙中或形成于围绕间隙的场区上且流动到间隙中,或此等情形的某一组合。
反应器中的处理条件为如此以使得反应产品可冷凝于反应器的表面上而非晶片表面上。在过程的沉积阶段(步骤130和步骤140)期间可或可不将晶片暴露于等离子体,且在某些实施例中,在“暗”(也就是,非等离子体条件)下将晶片带入到腔室中。虽然未在流程图上指示,但可从反应腔室连续地抽取气态副产品。
衬底温度可在约-20℃与约100℃之间。在一些实施中,衬底温度可在约-20℃与约30℃之间,例如在-10℃与10℃之间。在一些实施中,可经历较高衬底温度,例如可使用需要将衬底加热到约200℃到约400℃的化学气相沉积方法。腔室压强可在约0托与约600托之间;在某些状况下,腔室压强可在500毫托与200托之间,且在一些其它状况下,腔室压强可在10托与100托之间。在反应温度下,就组份蒸气压强来说,处理气体组份的分压强特征可在于Pp(反应物的分压强)和Pvp(反应物的蒸气压强)。实例为:前驱物分压强比(Pp/Pvp)=0.01到1(例如,0.01到0.5);氧化剂分压强比(Pp/Pvp)=0.25到2(例如,0.5到1);且溶剂分压强比(Pp/Pvp)=0到1(例如,0.1到1)。反应物分压强范围的实例为:氧化剂∶前驱物分压强比(Pp氧化剂/Pp前驱物)=1到30(例如,5到15),且溶剂∶氧化剂分压强比(Pp溶剂/Pp氧化剂)=0到10(例如,0.1到5)。所属领域的技术人员将认识到可根据实施使用在这些范围之外的值。
在可流动薄膜已沉积于间隙中之后,在操作150中的一个或一个以上操作中稠化沉积态可流动薄膜。可完全地或部分地稠化沉积的薄膜。后沉积稠化处理操作可涉及一个或一个以上操作,任何或全部这些操作也可导致化学转化沉积态薄膜。在其它实施例中,任何或全部稠化操作可在无化学转化的情况下稠化。在某些实施例中,可单独执行一转化操作,或根本不执行转化操作。如果单独执行,那么可在稠化操作之前或之后执行转化操作。在一实例中,通过暴露于反应性等离子体,接着进一步在惰性环境中通过热退火而稠化来转化且部分地稠化薄膜。
在一些实施例中,通过暴露于含有(例如)氧、氮、氦、氩和水中的一个或一个以上者的等离子体来转化薄膜。薄膜可在此操作处得以稠化以及在需要时化学转化成二氧化硅、氮化硅或氧氮化硅网。在可流动薄膜沉积方法的一些实施例中,可流动电介质薄膜在沉积态为二氧化硅(或其它所要的网)薄膜且在后沉积不需要转化。
图1提供可流动间隙填充工艺的实例;本文中提供的系统和装置经配置或可经配置以用于其它可流动间隙填充工艺。举例来说,虽然图1中的工艺为单循环沉积/稠化工艺,但在其它实施例中,执行多循环工艺。在其它实施例中,形成电介质薄膜,例如SiOC薄膜和SiON薄膜。可根据本发明使用的可流动间隙填充工艺的实例包含以下各者中所描述的彼等工艺:美国专利第7,074,690号;第7,524,735号;第7,582,555号和第7,629,227号;以及美国专利申请案第11/834,581号、第12/334,726号、第12/566,085号、第12/964,110号、第61/421,548号和第61/421,562号,这些专利和专利申请案以引用的方式并入本文中。也可根据任何适当可流动间隙填充方法来使用本文中所描述的系统和装置。此外,在某些实施例中,本文中所描述的系统和装置不限于本文中所描述的特定工艺,而且可用于集成电路制造、平板显示器制造等的其它工艺中。
可流动间隙填充工艺存在在其它半导体工艺中极少面临的挑战(如果有的话)。举例来说,可流动间隙填充工艺涉及在处理腔室内液体冷凝的故意形成。本文中所描述的装置和系统最大化被处理的衬底上的冷凝且最小化腔室中的其它任何地方的冷凝。在某些实施例中,此情形涉及其中处理腔室和设备的活跃的热管理。下文进一步详细描述用于可流动间隙填充反应器的热管理的装置和系统。
在可流动间隙填充过程期间遇到的另一挑战为管理处理气体以使得防止过早冷凝或沉积。举例来说,可在间隙填充操作期间混合可流动间隙填充反应物以产生用于间隙填充处理的恰当化学反应。过早混合反应物可导致系统内的粒子形成,如果粒子污染已处理晶片或冲击晶片表面并导致损坏,那么粒子形成可成问题。如果混合的反应物未保持于足够高温,那么混合的反应物可形成冷凝,冷凝可导致在反应物递送系统内部不合需要的沉积,或可导致小滴被猛烈地排出到反应器中,其可导致对被处理的衬底的损坏。下文也进一步详细描述用于可流动间隙填充反应器中的反应物管理和隔离的装置和系统。
在可流动间隙填充过程期间遇到的又一挑战为对晶片之上的反应物流的控制。在可流动间隙填充期间,产生冷凝的反应物混合物跨越被处理的衬底且朝向衬底的周界流动。此情形可导致比晶片内部中的沉积大的朝向晶片边缘和在晶片斜面上的沉积。下文也进一步详细描述用于减轻所述行为(例如,用于围绕晶片周边引入吹扫气体的配置)的装置和系统。
定义
在本申请案中,将可交换地使用术语“衬底”、“半导体晶片”、“晶片”和“部分制造的集成电路”。所属领域的技术人员将理解,术语“部分制造的集成电路”可指代在硅晶片上集成电路制造的许多阶段中的任何者期间的硅晶片。以下的详细描述假定本发明实施于晶片上。然而,本发明不限于此。工件可具有各种形状、大小和材料。除了半导体晶片之外,可利用本发明的其它工件包含各种物品,例如印刷电路板等。
通过使半导体晶片经过各种处理阶段来制造集成电路。虽然许多晶片为圆形形状,但晶片也可为其它形状。在本申请案中,晶片的“轴向”方向指代平行于圆形晶片的中心轴的方向。非圆形晶片的“轴向”方向将指代类似的方向,也就是正交于晶片的平坦面。“径向”方向指代沿着晶片的半径的方向,也就是实质上平行于晶片的平坦面且与晶片的中心区域相交。
如本文中所使用,术语“HDP氧化物薄膜”指代使用高密度等离子体(HDP)化学气相沉积(CVD)方法沉积的掺杂或不掺杂的二氧化硅薄膜。一般来说,高密度等离子体为具有至少约1×1011电子/立方厘米的电子密度的任何等离子体,虽然这些等离子体范围可在5×1010电子/立方厘米与1×1011电子/立方厘米之间。在某些实施例中,HDP-CVD反应特征也可在于在100毫托或100毫托以下的范围中的相对低的反应器压强。
如本文中所使用,术语“可流动氧化物薄膜”为具有提供间隙的连贯填充的流动特性的可流动掺杂或不掺杂的二氧化物薄膜。也可将可流动氧化物薄膜描述为软的类似胶状薄膜、具有液体流特性的凝胶、液体薄膜或可流动薄膜。不同于HDP-CVD反应,形成可流动薄膜涉及使含有硅的前驱物与氧化剂反应以在衬底上形成经冷凝的可流动薄膜。例如如以引用的方式并入本文中的美国专利7,629,227中所描述,可通过催化剂有助于薄膜的形成。本文中所描述的可流动氧化物沉积方法不限于特定反应机制,例如反应机制可涉及吸收反应、水解反应、冷凝反应、聚合反应、产生冷凝的气相产品的气相反应、在反应之前反应物中的一个或一个以上者的冷凝,或此等反应的组合。将衬底暴露于处理气体足以沉积可流动薄膜以填充或部分地填充间隙中的至少一些的时间段。沉积方法通常形成具有良好流动特性的软的类似胶状薄膜,提供连贯的填充。在某些实施例中,可流动薄膜为非晶有机硅薄膜。
沉积态HDP氧化物薄膜为稠化的固体且不可流动,而沉积态可流动氧化物薄膜未完全稠化。在沉积条件下,至少对于某一时间,可流动薄膜一般可流动。取决于特定的方法和化学反应,一旦从沉积条件去除晶片,那么可流动氧化物薄膜可为软的(例如,可刮掉)或硬的。如上文所描述,沉积态可流动薄膜可得以稠化和/或化学转化。术语“可流动氧化物薄膜”可在本文中用以指代已经受全部或部分地凝固可流动氧化物薄膜以及沉积态可流动氧化物薄膜的稠化或固化处理的可流动氧化物薄膜。
可流动间隙填充的工具水平集成
在本文中提供包含一个或一个以上可流动间隙填充模块的半导体制造工具。图2A描绘实例工具配置200,其中工具包含两个高密度等离子体化学气相沉积(HDP-CVD)模块210、可流动间隙填充模块220、PEC 230、WTS(晶片转移系统)240和真空预抽室250,在一些实施例中包含晶片冷却台。HDP-CVD模块210可(例如)为NovellusSPEED MAX模块。可流动间隙填充模块220可(例如)为Novellus Integra模块。PEC模块230可(例如)为Novellus基座静电卡盘(ESC)盖罩模块。WTS模块240可(例如)为Novellus WTS Max模块。
一些工具水平实施可以用于多个处理步骤的可流动间隙填充模块为特征。举例来说,可流动间隙填充模块也可用以执行原地预处理,接着执行可流动氧化物沉积工艺。此情形可允许以多个可流动间隙填充模块(例如,四个所述模块)为特征的工具。
图2B中所描绘的替代实例工具配置260包含晶片转移系统295和真空预抽室290、远程等离子体固化模块270,和可流动间隙填充模块280。也可包含额外远程等离子体固化模块270和可流动间隙填充模块280以增加工具的产量。
可用于预处理或后处理的其它模块包含Novellus SPEED或SPEED Max、NovellusINOVA反应性预清洁模块(RPM)、Novellus Altus ExtremeFill(EFx)模块、Novellus VectorExtreme预处理模块(用于等离子体、紫外线或红外线预处理)和Novellus SOLA(用于UV预处理),和Novellus Vector或Vector Extreme。这些模块可附接到与可流动间隙填充模块相同的基干。
可流动间隙填充模块概述
用于执行可流动间隙填充的处理模块可包含许多组件、子组件、系统和子系统。以下段落论述图3中所展示的可流动间隙填充处理模块300的实施例的主要组件和系统中的一些。
晶片上可流动薄膜的沈积发生于反应器310内部。反应器310也可称为反应腔室、处理腔室或腔室。
将在沉积过程期间使用的许多或全部气体和/或液体从气体递送系统320供应到反应器310。虽然所述系统在本申请案中被称为“气体递送系统”,但应理解,除气体之外或代替气体,气体递送系统可供应或处理液体、气溶胶或蒸气。气体递送系统320可包含处理反应物和化学源330或这些源的连接点、用于处理反应物和化学递送的流控制硬件340(例如,阀、脱气器、汽化器、加热器等),和用于控制流控制硬件340的气体递送控制器350。
在本申请案中,除非以其它方式注明,否则术语“反应物”将用以指代气体、液体或引入到用于晶片处理的反应器中的其它可流动材料。在此情况中,反应物也可包含未以化学方法参与晶片处理的惰性运载气体。虽然惰性运载气体未以直接化学方式参与晶片处理反应,但惰性运载气体的存在可在晶片处理反应中影响反应物的分压强,其可影响反应物的冷凝行为。举例来说,在保持其它气体流动且保持反应器压强恒定的同时增加惰性运载气体流将导致反应物流的减少的分压强,其将降低反应物的反应速率。
在递送到反应器310之后,可通过称为喷淋头的歧管跨越晶片的表面区域分配反应物。喷淋头360以所要量引入反应物,将反应物引入于所要位置中,且在所要压强下引入反应物以用于处理。实质上位于晶片与喷淋头之间的空间的容积在本文中被称为“反应区域”。
在引入到反应器301之后,反应物可通过形成对反应物流的机械障壁的裙缘的使用而被限制于反应区域。
由卡盘轴向地支撑晶片。卡盘也可包含在处理期间防止晶片横向移动的技术。可由基座370来支撑卡盘。基座370可经配置以沿着晶片的轴向方向移动卡盘和所支撑的晶片以用于晶片装载和卸载且用于使用基座驱动单元380来进行晶片处理。所述卡盘可在处理期间由制冷器系统加以冷却。
卡盘和基座370也可与帮助保护卡盘和基座370免受不希望有的处理的绝缘环介接。
图4A到图4E描绘突出不同处理操作的可流动间隙填充模块反应器的简化图。在图4A到图4E中的一者中的特定结构可未在所有图中用编号标注以减少视觉混乱。读者被要求假定将在图中参考用特定编号标注的组件,展示相同组件的图式使用相同的编号。举例来说,图4中的反应器400在图4B到图4E的论述中也将被称为反应器400,尽管可能未在彼等图中标注。
图4B到图4D,出于说明的目的,将在反应器400的反应区域中的下文论述的O反应物442和P反应物444和沉积气体混合物446描绘为具有良好界定边界的羽流或云,此等描绘仅意欲表示此等气体的引入或存在,且不应解释为描述在反应区域中此等气体的实际物理行为。举例来说,虽然将沉积气体混合物446描绘为仅占据反应区域的部分且描绘为翻腾出而进入反应器400的内部容积的仅一部分中,但沉积气体混合物446可实质上遍及反应器400的反应区域和内部容积中的全部实质上均匀地扩散,或可遍及反应器400的反应区域和内部容积但以不同密度扩散。
图4A说明可流动间隙填充模块反应器400的简化实施例。腔室外壳402、顶板404、裙缘406、喷淋头408、基座柱424和密封件426提供密封容积以用于可流动间隙填充处理。由卡盘412和绝缘环414支撑晶片410。卡盘412包含RF电极416和电阻加热元件418。由包含压板422和基座柱424的基座420支撑卡盘412和绝缘环414。基座柱424穿过密封件426以与基座驱动件(未图示)介接。基座柱424包含压板冷却剂管线428和基座吹扫管线430。喷淋头408包含分别由O气体管线436和P气体管线438馈入的O充气部432和P充气部434。可在分区440中在到达喷淋头408之前加热O气体管线436和P气体管线438。420′和420指代基座,但处于降低(420)和升高(420′)的位置中。
可流动间隙填充处理模块300可包含允许可流动间隙填充处理模块300在安装之后被调平的调平部件。可流动间隙填充工艺涉及液体流,且可因此对重力格外敏感。举例来说,如果可流动间隙填充处理模块300向一侧微小倾斜,那么沉积的可流动薄膜将倾向于向晶片平面的“下坡”侧徙动。此情形导致在下坡侧上较大的沉积和在“上坡”侧上较小的沉积。为了防止所述可流动薄膜行为,可流动间隙填充处理模块300可相对于地球引力而调平。
可在组合件的基座水平面处包含额外调平部件以便进一步调整晶片平面。举例来说,可在安装模块时执行初始调整。随着时间的流逝,可归因于(例如)热膨胀、组件应力、组合件差异而在晶片平面的水平度上存在漂移。可通过不需要重新调整整个模块的基底水平面调平部件来解决自晶片平面水平度的此等偏移。
气体递送系统
模块配备有或连接到气体递送系统320以用于将反应物递送到反应器310。气体递送系统320可用一种或一种以上氧化剂(包含水、氧气、臭氧、过氧化物、醇类等)来供应反应器310,这些氧化剂可单独或与惰性运载气体混合来供应。在本文中,指定用于氧化剂处理的组件用“O”前缀来指示。
在特定实施例中,O反应物包含氦(或其它惰性气体)、氧气、水、氦水和乙醇。气体递送系统320也可用一种或一种以上介电前驱物(例如,三乙氧基硅烷(TES))供应反应器310,这些介电前驱物可单独或与惰性运载气体混合来供应。在本文中,指定用于前驱物处理的组件用“P”前缀来指示。在特定实施例中,P反应物包含TES、氢气、氦和氮气。P反应物也可包含催化剂,例如含有卤素的硅前驱物。
在一些实施例中,在化学性质上为氧化剂的反应物可用P反应物而非用O反应物来递送;在这些状况下,具有P前缀的组件和系统也将处理此特定O反应物以及P反应物。举例来说,可通过P反应物递送路径来递送O反应物(例如,乙醇)。通过P反应物递送路径递送乙醇也可促进在将反应物引入到反应器310中的反应区域之后即匹配其它O反应物与P反应物之间的流动状态。在某些实施例中,气体递送系统也经配置以递送一种或一种以上清洁试剂(例如,NF3)以用于预沉积和后沉积反应器清洁。在某些实施例中,气体递送系统额外地经配置以递送一种或一种以上后沉积反应物。举例来说,对于后沉积等离子体处理,可递送氩、氮气、氧气或其它气体。
每种反应物可通过直接连接到设施源(例如,设施水或氮气源)或通过将含有反应物的安瓿连接到气体递送系统320而供应到气体递送系统320。气体递送系统320可包含用于连接到这些反应物源的配件和硬件340。
可通过单独气体管线(例如,O气体管线436和P气体管线438)将每一反应物投送到反应器310。每个反应物气体管线可连接到一个或一个以上反应物源330,且每一反应物源330可在引入到其各别气体管线中之前通过脱气器、过滤器、质量流量控制器、汽化器、压强变换器、压强调节器和/或温度传感器。一些反应物气体管线可包含额外组件,而一些反应物气体管线可包含这些组件的子集或不包含这些组件。举例来说,NF3气体管线可使用质量流量控制器,而氩气体管线可使用流量限制器。
用于反应物的安瓿可用气体(例如,氦)来加压以迫使反应物从安瓿到气体递送系统320中。通过将气体引入到安瓿中,安瓿顶部空间被加压且移置含有于安瓿内的反应物。接着将反应物驱动到气体递送系统管线436或438中。
气体递送系统320可经设计以最小化处理反应物和化学源330与反应器310之间的气体运输容积。举例来说,可去除不必要的弯曲、配件或其它容积。
类似地,气体递送系统320可经设计以最小化反应物通过气体递送系统320到反应器310的运输时间。举例来说,可为气体管线提供气体支路或转向,其允许气体流速斜升到通过支路的所要流动速度。在气体已到达所要流动速度时,可将气体从支路切换到反应器馈入管线。以此方式,可以比在流动斜升周期期间将要将气体引入到反应器310的状况下更接近于所要流速的流速将气体引入到反应器310。此情形可帮助确保在所要时间间隔内将所要气体量引入到反应器320中。
气体递送系统320可在流量控制硬件340中利用高精确性/低响应时间阀或其它流量控制器件。举例来说,P气体管线438可利用能够在打开由气体递送控制器350执行的阀的命令后的0.05s内到达气体流速的90%的阀。
气体递送系统320可包含用于在通过反应物气体管线传输到反应器310之前加热反应物的一个或一个以上预加热器件。用于反应物气体管线的一个或一个以上预加热器件可位于靠近用于彼反应物气体管线的汽化器处或与所述汽化器并置。加热器件可经配置以在汽化之后且在气体离开气体递送系统320并被输送到反应器310之前将汽化的反应物加热到所要温度水平。预加热器件可经配置以将反应物气体加热到50℃与250℃之间的温度(例如,50℃到150℃)。举例来说,乙醇反应物可在汽化之后且在引入到通向反应器310的气体管线之前预加热到150℃。
在一些实施例中,处理一种或一种以上反应物中的每种反应物的组件的湿润表面可预加热到在彼反应物的递送系统压强处高于彼反应物的露点至少10℃且低于彼反应物的分解温度至少10℃的温度。在其它实施例中,处理一种或一种以上反应物中的每一反应物的组件的湿润表面可预加热到在彼反应物的递送系统压强处高于彼反应物的露点至少20℃且低于彼反应物的分解温度至少20℃的温度。如果若干反应物混合在一起且作为混合物预加热,那么可使用混合物的露点和压强来应用以上规则。
除了预加热器件之外,或代替预加热器件,反应物气体管线也可包含热加热夹套以在从气体递送系统运输到反应器310期间将加热提供到反应物气体。举例来说,如由图4中的部分440所指示,可环绕气体递送系统与反应器310之间的暴露气体管线包裹电阻加热毯或套筒。或者,可将气体管线投送于外部套筒内,通过外部套筒,经加热的流体(例如,水或油)得以抽取或可通过感应加热线圈得以投送。在某些实施例中,加热夹套经配置以将气体管线的湿润内部表面中的一些或实质上全部维持于相对于所上文所描述的反应物的露点和分解温度而判定的温度处。另外,一个或一个以上气体管线可使用单独加热夹套而个别地加热到不同温度。
可采用模块化方法来提供气体递送系统。图5A说明用于气体递送系统中的六个可能模块。所说明的模块可经配置以供应不同类型的反应物或其它试剂,且连接到歧管以用于在需要时供应O反应物和P反应物。适合于供反应器(例如,本文中所描述的彼等反应器)使用的模块化气体递送系统的一些特定实施部分地用图表示于图5B到图5M中。应认识到,也可使用非模块方法构造类似的流体投送路线来提供类似的处理功能性。
图5A说明六个可能气体供应模块A到F。每个模块可包含可经配置以用于连接到气体歧管的歧管出口M。一些模块也可包含可经配置以用于连接到转向管线的转向出口D。在一些半导体制造处理步骤中,反应物可以流速的最小斜升被递送到喷淋头。在此等步骤中,来自特定气体源的流可首先被指引到转向管线直到流速稳定于实质上稳态条件。一旦到达稳态流条件,那么可关闭转向管线A的阀且可打开歧管出口的阀,使稳态流分流到通向喷淋头的气体歧管。若干转向管线可接合在一起以形成转向歧管,且可存在针对O反应物和P反应物的单独转向歧管。转向管线/歧管可通到与反应器分离的容积中。每一模块也可经配置以通过预加热用以将反应物递送到M出口和(在一些模块中)D出口的各种组件来预加热所供应的反应物。此预加热可使用电阻加热毯、热交换器或其它加热技术完成。可预加热的组件位于图5A到图5M的画有交叉影线的区域内。预加热组件可加热到50℃与250℃之间的温度(例如,50℃到150℃),且对于每个模块,可使用不同预加热温度。
模块A可经配置以将气态反应物(例如,O2、H2、N2、NF3、Ar、He等)供应到喷淋头。模块A可包含模块A气体源501和模块A质量流量控制器502。模块A气体源501可通过气体管线和同轴入口阀而连接到模块A质量流量控制器501。第二气体管线和同轴出口阀可将模块A质量流量控制器501连接到歧管出口M。模块A不包含转向出口D,且可用以递送非时序关键的气体。在模块A质量流量控制器502下游的模块A的组件可视情况在需要时预加热。
模块B类似于模块A,但包含转向功能性。模块B可包含模块B气体源503和模块B质量流量控制器504。模块B气体源503可通过气体管线和同轴入口阀而连接到模块B质量流量控制器504。第二气体管线和同轴出口阀可将模块B质量流量控制器504连接到歧管出口M。第三气体管线和随附同轴出口阀也可将模块B质量流量控制器与转向出口D流体连接。模块B不包含转向出口D,且可因此用以递送为时序关键的气体。模块B未用于图5B到图5L中所展示的实例气体递送系统中的任何者中,但可用于在由模块A递送的气体为时序关键的情况下代替特定模块A。模块B质量流量控制器504下游的模块B的组件可视情况得以预加热。
模块C可经配置以通过运载气体供应经汽化的反应物。模块C可包含模块C液体源505和模块C气体源506。模块C液体源505可通过流体管线和同轴阀而流体连接到模块C液体流量计507。模块C液体源505可(例如)含有水、溶剂或另一液体反应物。模块C液体流量计507可通过另一流体管线和同轴阀而与模块C汽化器509流体连接。模块C气体源506可通过气体管线和同轴阀而流体连接到模块C质量流量控制器508。模块C气体源506可含有(例如)Ar或He。模块C质量流量控制器508也可通过气体管线而流体连接到模块C汽化器。从模块C液体源505流动的液体可在模块C汽化器509内汽化且夹带于来自模块C气体源506的气体流中。模块C汽化器509可以与模块B质量流量控制器504与歧管出口M和转向出口D流体连接极为相同的方式与歧管出口M和转向出口D流体连接。模块C汽化器509和模块C的下游组件可视情况在需要时预加热。
如果可选预加热用于模块C,那么模块C液体源505和模块C液体流量计507可不被预加热以允许所供应的液体的更准确计量。来自模块C气体源506的运载气体的使用不仅有助于将汽化的液体反应物输送到喷淋头,而且也有助于通过蒸发液体反应物中的一些来汽化液体反应物。此情形可允许使用具有较高沸点的液体反应物。可显著减少模块C汽化器509下游的气体流的器件的缺失可相应地导致在模块C汽化器509下游没有发现显著压强下降,其可减少冷凝的可能。转向功能性的使用可允许模块C将汽化的液体反应物递送到喷淋头而无启动延迟或不稳定性。
模块D可经配置以供应汽化的反应物而不使用运载气体。模块D可包含与模块D液体流量控制器511流体连接的模块D液体源510。模块D液体流量控制器511又可与模块D汽化器512流体连接。模块D汽化器512可以与模块B质量流量控制器504与歧管出口M和转向出口D流体连接极为相同的方式与歧管出口M和转向出口D流体连接。模块D液体源510可(例如)含有溶剂、前驱物或其它液体。与模块C一样,模块D汽化器512和模块D的下游组件可视情况在需要时预加热。
与模块C一样,如果可选预加热用于模块D,那么模块D液体源510和模块D液体流量控制器511可不被预加热以允许所供应的液体的更准确计量。模块D也可允许所供应的液体蒸气的更未稀释的递送,这是因为不存在运载气体来稀释汽化的液体。可显著减少模块D汽化器512下游的气体流的器件的缺失可相应地导致在模块D汽化器512下游没有发现显著压强下降,其可减少冷凝的可能。转向功能性的使用可允许模块D将汽化的液体反应物递送到喷淋头而无启动延迟或不稳定性。
类似于模块D的模块E可经配置以供应汽化的反应物而不使用运载气体。模块E可包含通过流体管线和同轴阀而与模块E汽化器514流体连接的模块E液体源513。模块E汽化器514可通过气体管线而流体连接到模块E质量流量计515。模块E质量流量计515接着可以与模块B质量流量控制器504与歧管出口M和转向出口D流体连接极为相同的方式与歧管出口M和转向出口D流体连接。
如果模块E使用可选预加热,那么模块E汽化器514和模块E质量流量计515均可预加热,虽然模块E液体供应513可未预加热。此情形允许递送汽化的液体而不由运载气体稀释且允许在已发生汽化和预加热之后计量蒸气。
模块F可经配置以通过运载气体供应一种或两种汽化的液体反应物。模块F可包含模块F第一液体源516、模块F第二液体源518和模块F气体源517。模块F第一液体源516可通过流体管线和同轴阀而流体连接到模块F第一液体流量控制器519。模块F第一液体流量控制器519可通过流体管线和同轴阀而流体连接到模块F蒸发器522。类似地,模块F第二液体源518可通过流体管线和同轴阀而流体连接到模块F第二液体流量控制器521。模块F第二液体流量控制器521也可通过流体管线和同轴阀而流体连接到模块F蒸发器522。模块F气体源517可通过气体管线和同轴阀而流体连接到模块F质量流量控制器520。模块F质量流量控制器520也可通过气体管线而与模块F蒸发器522流体连接。模块F蒸发器522可以与模块B质量流量控制器504与歧管出口M和转向出口D流体连接极为相同的方式与歧管出口M和转向出口D流体连接。
模块F可视情况经配置以预加热模块F蒸发器522和下游组件。模块F第一液体源516和模块F第二液体源518可各自含有不同液体。举例来说,模块F第一液体源516可含有第一前驱物,且模块F第二液体源可含有不同于第一前驱物的第二前驱物。
图5B描绘以用于将O反应物提供于O分区527内的模块和用于将P反应物提供于P分区528内的模块为特征的气体递送系统的一个实施的图。在O分区527中,模块C539在模块C液体源505中含有液体H2O且在模块C气体源506中含有气态He,模块A(O分区)530在模块A气体源501内含有气态He,模块A 531在模块A气体源501内含有气态O2,且模块A 532在模块A气体源501内含有气态NF3。在P分区528中,模块A 533在模块A气体源501内含有气态H2,模块A 534在模块A气体源501内含有气态N2,模块A(P分区)535在模块A气体源501内含有气态He,模块E 536在模块E液体源513内含有液体溶剂,模块E 537在模块E液体源513内含有液体第一前驱物,且模块E 538在模块E液体源513内含有液体第二前驱物。
O转向管线523和P转向管线524可分别与O分区527和P分区528中的模块的转向出口连接。
来自模块C 529、模块A 530、模块A 531和模块A 532的歧管出口可连接到共同O歧管,共同O歧管通过阀连接到双流喷淋头526。类似地,来自模块A 533、模块A 534、模块A 535、模块E 536、模块E 537和模块E 538的歧管出口可类似地连接到共同P歧管,共同P歧管通过阀连接到双流喷淋头526。递送到双流喷淋头526的反应物可在转移到双流喷淋头526期间在分区525中进一步加热。分区525内的组件可加热到与用于预加热的温度不同的温度。举例来说,分区525内的组件可加热到高达150℃的温度,但典型预加热温度可为约100℃。
虽然仅展示从O分区527通向双流喷淋头526的一个管线且仅展示从P分区528通向双流喷淋头526的一个管线,但在一些实施中,可存在从一或两个这些分区伸展到双流喷淋头526的多个这些管线。举例来说,如果两个前驱物用于P分区528中,这两个前驱物各自具有针对在不分解的状况下汽化的不同温度或压强要求,那么可能需要防止混合两种前驱物直到将其引入到双流喷淋头526中为止。前驱物可(例如)使用通向双流喷淋头526的物理分离的气体管线而保持分离。对于化学侵蚀性前驱物种类,选择用于这些气体管线以及用于气体递送系统的对应模块中的各种组件的材料可经选择以最小化前驱物对组件的腐蚀。
图5C描绘气体递送系统实施,其很大程度上类似于图5B中所展示的气体递送系统,但其中模块C 539、模块A 540和模块A 541分别替换模块C 529、模块A 530和模块A535。模块C 539的模块C气体源506、模块A 540的模块A气体源501和模块A 541的模块A气体源501均含有Ar而不是He。
图5D描绘气体递送系统实施,其很大程度上类似于图5C中所展示的气体递送系统,但其中模块E 536用模块D 542来替换。模块D 542的模块D液体源510可含有溶剂。
图5E描绘气体递送系统实施,其也很大程度上类似于图5C中所展示的气体递送系统,但其中模块E 536用模块C 543来替换。模块C 543的模块C液体源505和模块C气体源506可分别含有溶剂和Ar。
图5F描绘以用于将O反应物提供于O分区527内的模块和用于将P反应物提供于P分区528内的模块为特征的气体递送系统的另一实施的图。在O分区527中,模块C539在模块C液体源505中含有液体H2O且在模块C气体源506中含有气态He,模块E 544在模块E液体源513中含有溶剂,模块A(O分区)540在模块A气体源501内含有气态Ar,模块A 531在模块A气体源501内含有气态O2,且模块A 532在模块A气体源501内含有气态NF3。在P分区528中,模块A 533在模块A气体源501内含有气态H2,模块A 534在模块A气体源501内含有气态N2,模块A(P分区)541在模块A气体源501内含有气态Ar,模块D 545在模块D液体源510内含有液体第一前驱物,且模块E 538在模块E液体源513内含有液体第二前驱物。
图5G描绘气体递送系统实施,其很大程度上类似于图5C中所展示的彼气体递送系统实施,但其中模块E 537用模块D 546来替换。模块D 546可在模块D液体源510内含有液体第一前驱物。
图5H也描绘气体递送系统实施,其很大程度上类似于图5C中所展示的彼气体递送系统实施,但其中模块E 537用模块C 547来替换。模块C 547可分别在模块C液体源506和模块C气体源505内含有液体第一前驱物和Ar气体。
图5I描绘气体递送系统实施,其很大程度上类似于图5F中所展示的彼气体递送系统实施,但其中模块D 545和模块E 538用单一模块F 548来替换。模块F 548在模块F第一液体源516中含有第一前驱物,在模块F第二液体源518中含有第二前驱物,且在模块F气体源517中含有Ar。
图5J描绘气体递送系统实施,其很大程度上类似于图5C中所展示的彼气体递送系统实施,但其中从P分区528去除模块E 536,且其中在O分区527中,模块C 539用模块F 549替换。模块F 549可在模块F第一液体源516中含有H2O,在模块F第二液体源518中含有溶剂,且在模块F气体源517中含有Ar。
图5K描绘气体递送系统实施,其很大程度上类似于图5I中所展示的彼气体递送系统实施,但其中模块C 539和模块E 544用单一模块F 549来替换。模块F 549在模块F第一液体源516中含有H2O,在模块F第二液体源518中含有溶剂,且在模块F气体源517中含有Ar。
图5L描绘气体递送系统实施,其很大程度上类似于图5J中所展示的彼气体递送系统实施,但其中模块E 537用模块C 547来替换。如图5H中所论述的模块C 547可含有液体第一前驱物和Ar气体。
图5M描绘图5L的气体递送系统,但具有可用以吹扫经转向的反应物的转向管线的额外Ar源。
在图5A到图5M中描画的各种阀可在需要时打开或关闭以在晶片处理的各种阶段期间将O反应物和P反应物供应到双流喷淋头526。
反应器
模块包含反应器400,反应器400也被称为反应腔室、腔室等。反应器400充当密封环境,在密封环境内可流动间隙填充处理可发生。在许多实施例中,反应器400以径向对称的内部为特征。减少或消除从径向对称内部的偏离帮助确保反应物的流动在晶片410之上以径向平衡的方式发生。由径向不对称性导致的对反应物流的干扰可导致比其它区域上的沉积多或少的在晶片410的一些区域上的沉积,其可产生在晶片均一性方面不希望有的变化。
反应器400包含若干主要组件。在结构上,反应器400可包含腔室外壳402和顶板404。顶板404经配置以附接到腔室外壳402,且在腔室外壳402与气体分配歧管/喷淋头、电极或其它模块设备之间提供密封界面。不同顶板404可取决于工艺的特定设备需要而用于同一腔室外壳402。
腔室外壳402和顶板404可由铝(例如,6061-T6)机械加工,但也可使用其它材料,包括其它品种的铝和其它非铝材料。铝的使用允许容易的机械加工和处理,且使得可利用铝的高热传导性质。
顶板404可配备有电阻加热毯以将顶板404维持于所要温度下。举例来说,顶板404可配备有经配置以将顶板404维持于40℃与80℃之间的温度下的电阻加热毯。除电阻加热毯之外或作为电阻加热毯的替代,可使用替代加热源,例如循环通过顶板404的经加热的液体,或将电阻加热匣供应给顶板404。
腔室外壳402可配备有经配置以将腔室外壳402维持于所要温度下的电阻加热匣。举例来说,腔室外壳402可配备有四个电阻加热匣,所述四个电阻加热匣位于腔室的四个角落中的每一者处。图6以简化平面图说明所述配置。在图6中,反应器600包含界定密封处理环境的具有内部细孔620的腔室610;腔室610可经配置以在角落中具有细孔以收纳电阻加热匣630。可回应于由电阻热器件(RTD)640或其它温度监控传感器量测的温度来以电子方式控制电阻加热匣630。两个RTD 640可位于腔室610的相反侧处,其中每个RTD 640位于最近的两个电阻加热匣630中间。来自RTD 640的反馈可用以控制电阻加热匣630和腔室610的温度。也可使用其它温度控制系统,例如循环通过腔室壁中的细孔的经加热的流体。
可在可流动间隙填充处理期间使用电阻加热匣630将腔室内壁温度控制于40℃与80℃之间的温度。在一些实施中,顶板404可不包含加热元件且,可替代地依赖从腔室电阻加热匣630热传导热以维持所要温度。各种实施例可经配置以将腔室内壁和不需要沉积的其它表面(例如,基座、裙缘和喷淋头)温度控制于约10℃到40℃的温度,其高于目标沉积处理温度。在一些实施中,这些组件可保持于高于此范围的温度。
通过在处理期间活跃地加热且维持反应器400的温度,内部反应器壁可相对于晶片410所维持的温度保持于高温下;稍后更详细描述晶片温度。相对于晶片温度升高内部反应器壁的温度可在可流动薄膜沉积期间最小化或消除反应物于反应物400的内壁上的冷凝。如果反应物的冷凝发生于反应器400的内壁上,那么冷凝可在内壁上形成不合需要的沉积层。
除加热腔室外壳402和/或顶板404之外,或代替加热腔室外壳402和/或顶板404,可将疏水性涂层涂覆到反应器400和具有湿润表面的其它组件(例如,基底420、绝缘环414或压板422)的湿润表面中的一些或全部以防止冷凝。所述疏水性涂层可耐处理化学反应和处理温度范围(例如,40℃到80℃的处理温度范围)。一些以硅为主和以碳氟化合物为主的疏水性涂层(例如,聚乙烯)可能不与氧化(例如,等离子体)环境相容且可能不适合于使用。可使用具有超疏水性质的基于纳米技术的涂层;这些涂层可为超薄型的,且除疏水性之外也可拥有疏油性,其可允许所述涂层防止用于可流动薄膜沉积中的许多反应物(例如,TES、乙醇和水)的冷凝以及沉积。合适的超疏水性涂层的一个实例为二氧化钛(TiO2)。
在一个实施例中,反应器400可以倾斜底板来实施。举例来说,反应器400的底板可为圆锥表面而非平面表面。反应器底板可倾斜以使得沉积于反应器底板上的任何冷凝物朝向反应器400的底部外部内边缘流动。或者,反应器底板可倾斜以将所述冷凝物指引朝向反应器400的中心。排出端口可包含于任何所述冷凝物所收集的位置中。在一些实施中,可使用反应器底板的平面翘起以代替圆锥倾斜;然而,与平面翘起相比,圆锥倾斜可减少反应器400的制造复杂性。
反应器400也可包含经配置以在反应器400中在晶片处理操作期间测量压强的压强传感器。举例来说,压强传感器可装配于反应器400的内壁,反应器400的内壁中的凹部内,和/或反应器400的外部上。如果压强传感器装配于反应器400的外部上,那么可提供压强监控端口以允许压强传感器与反应器400的内部流体连接。如果实施压强监控端口,那么压强监控端口可经配置以具有主轴,主轴水平或倾斜以使得主轴处于其与反应器400的内壁相交的其最低点处。以此方式,将通过重力促使形成于压强监控端口中的冷凝物从压强监控端口排出。压强传感器也可个别地加热以防止冷凝物形成且影响压强传感器。压强传感器可经配置以在反应器400内的一个或一个以上位置处测量压强。举例来说,压强传感器可经配置以在绕反应器400的内直径的若干位置和在基座420在如由基座420′所展示的高起位置中时位于喷淋头408与晶片410之间的垂直位置处获得压强测量。压强传感器也可在晶片410正经历沉积处理时装配于大致与晶片410的平面一致的高度。
反应器压强传感器可在晶片处理期间提供反应区域中的压强读数。这些压强读数可用以验证绕反应区域周边的压强梯度相对均一。压强读数也可用以验证处理压强保持处于处理参数内。压强传感器也可用于封闭回路控制实施中,其中回应于来自压强传感器的反馈来调节反应物的出口流速。举例来说,如果由压强传感器测量的反应区域压强指示将不维持所要的反应区域压强,那么反应物出口流速可降低以抵消压强下降(或在反应区域压强超过所要的反应区域压强的状况下增加)。可使用(例如,可变角度节流阀(例如,蝶形阀))来管理可变出口流速的这些减少和增加。可根据来自压强传感器的反馈来调节阀的节流板的角度。
具有不同灵敏度的压强传感器可用以允许在宽压强范围上的准确测量。举例来说,100托压强计和10托压强计可用以允许在高压强和低压强下的准确压强测量。
反应器400亦可包含真空源流动路径或用于从反应器400抽空气体且诱发横跨晶片410的反应物流的其它构件。举例来说,反应器400可包含与真空源流体连接的一系列径向排列的端口。径向排列的端口可位于反应器400的底部表面上。端口可均匀地间隔且可各自具有大致相同的大小。可将径向排列的端口集成于可去除式挡板中,所述可去除式挡板可安装于存在于反应器400的底部表面中的实质上环形通道之上。环形通道可为真空源流动路径的部分且可包含径向凹室,从而提供与真空源流动路径的流体流动连接。
反应器400中的可去除式挡板和下层环形通道的一个实施例可见于图7A到图7C中。图7A描绘可去除式挡板701,其包含环形区703和径向延伸区705。可去除式挡板701包含24个均匀间隔的孔707。孔707可在直径上为0.225″,且可提供约0.95平方英寸的总横截面流动面积,但孔707的直径范围大小可为从0.085″到0.3″,且给定可去除式挡板的孔707应均为相同的标称大小。孔707可保持于严格的直径容限(例如,±0.001″)以最小化流动不对称性。可提供额外孔709以促进将可去除式挡板701装配于反应器711;反应器711将类似功能性提供给反应器400。
反应器711可包含环形通道713以及其它部件。环形通道713可包含将环形通道713与真空端口717流体连接的径向凹室715。环形通道713可具有1.5平方英寸的标称横截面积;环形通道713的横截面积在(例如)径向凹室715附近可更大。可在图7B中观察到这些特征。
图8描绘用于例如上文关于图7A到图7C描述的实施例的径向流动分布的图。描绘了三种情形:可去除式挡板不存在的情形,可去除式挡板包含24个直径为0.225″的孔的情形,和可去除式挡板包含24个0.3″孔的情形。对于每种情形,模拟了表示工艺流程的流体流动并针对围绕流动路径中的晶片的周界的点获得正态化流动结果。归因于对称作用,只针对总晶片周界的一半展示数据。如可见,对于没有可去除式挡板的情形,围绕晶片的周界的流动从92%的平均周界流动变化到113%的平均周界流动。对于具有0.225″直径孔的可去除式挡板,变化为约0.4%;对于0.3″直径孔,变化为约1.9%。
也可设想可去除式挡板的其它配置。举例来说,可去除式挡板701可包含不同数目个孔707和/或不同直径孔707。环形通道713不限于环形形状并且也可使用其它形状(例如,直通道或掠过C形路径而不是通过完整圆的通道)实施。可去除式挡板701也可制造为在安装于反应器711中时可实质上围绕基座驱动柱的两件或两件以上。可去除式挡板701的实施例可以总横截面流动区域为特征,其中可去除式挡板的总横截面流动区域与环形通道713的径向横截面流动区域的比为约1∶10,但特定配置可变化。
真空端口717可与真空来源(未图示)连接,所述真空来源经配置以在反应器711中抽出真空或部分真空。可变角度节流阀可插入于真空端口717与真空来源之间;可变角度节流阀可用来变化经由真空端口717提供的吸取的程度。
图7C展示反应器700的剖面图。环形通道713可见,就像可去除式挡板701可见。环形通道713与真空端口717流体连接。
反应器400也可包含像在图9中展示的反应器900的实施例中所展示的远程等离子体来源端口901,远程等离子体来源端口901可用来将等离子体处理气体引入到反应器400中。举例来说,远程等离子体来源端口810可作为将蚀刻或清洁气体(例如,NF3)引入到反应区域的构件而提供,而不需要通过喷淋头408投送蚀刻或清洁气体。远程等离子体来源端口810还可用来递送可用来使NF3平静的氢氧惰性气体混合物。通过构件而不是喷淋头408提供蚀刻气体允许喷淋头408专用于沉积处理,并在反应器400中提供较均一的等离子体形成。
在反应器清洁的情况中,有两种选择来活化NF3:直接等离子体和远程等离子体。在直接等离子体的状况下,如果通过喷淋头投送NF3,那么等离子体将较均一,这可提供较好可重复性。有时候,在待清洁的区域在基座的外边缘处的情况下,NF3可能不需要通过整个喷淋头投送,而是可替代地(例如)通过在晶片周界附近的喷淋头的环形区来投送。在远程等离子体的状况下,通过喷淋头来投送活性NF3(主要为原子F)通常为较不需要的,因为原子F将在任何表面处(例如,喷淋头的内部)重组,这减少清洁速率。高反应性原子F可导致对气体分配系统的内部组件(例如,O形环和阀)的损坏。
气体分配歧管/喷淋头
模块可包含以所要方式促进跨越晶片的气体分配的气体分配歧管或喷淋头。在可流动间隙填充处理中,喷淋头408可经配置以分别将氧化剂和前驱物递送给反应区域来防止将这些反应物引入到反应器400中之前氧化剂与前驱物混合。一旦氧化剂与前驱物被允许混合,它们便可形成可流动薄膜。如果可流动薄膜在引入至反应器400中之前在喷淋头408中形成,那么可流动薄膜可干扰通过喷淋头408的反应物的均匀分配。举例来说,如果可流动薄膜在喷淋头408内形成,那么所述薄膜可部分或完全阻塞下文描述的小孔中的一些,所述小孔可用来跨越晶片410的表面分配反应物。这些阻塞可导致跨越晶片410的不均匀的流体流动。另一担忧为由反应物混合导致的粒子形成。粒子可形成并夹带在反应物流中,且可污染已处理晶片,或可冲击晶片表面并导致表面不规则性。
喷淋头408经配置以向反应器400提供双流气体递送。双流喷淋头408经配置以经由单独递送路径跨越反应器中的反应区域均匀地分配氧化剂和前驱物。举例来说,双流喷淋头408可包含O充气部432和P充气部434。每个充气部可经由复数个流动路径(例如,经由通过面向晶片的喷淋头的面穿透到每个各别充气部中的小孔的图案)与反应器400的内部流体连接。如在图4B中说明,通过双流喷淋头408中的O充气部432和P充气部434分配的O反应物442和P反应物444流体地分离直到它们被引入到反应器400中为止,此时,O反应物442与P反应物444互相混合以形成沉积气体混合物446。沉积气体混合物446流动跨越晶片410,且通过在基座420′与裙缘406之间的环形间隙流入腔室400的较大内部容积中。可定位用于每个各别充气部的孔图案的孔以便跨越处理区域均匀地分配充气部的各别反应物。
O充气部432可经由O充气部孔448的O图案与反应区域流体连接。类似地,P充气部434可经由P充气部孔450的P图案与反应区域流体连接。O充气部孔448和P充气部孔450的直径可经配置以使得来自O充气部孔448的O反应物442的平均排出速度实质上和来自P充气部孔450的P反应物444的平均排出速度匹配。
可按不同体积流速将O反应物442和P反应物444从气体递送系统320供应给喷淋头408。举例来说,在可流动气体填充处理期间,可按比将P反应物444递送给喷淋头408的体积流速大四倍的体积流速将O反应物442从气体递送系统320递送给喷淋头408。因此,O充气部432可包含与P充气部434中的P充气部孔450具有相同直径的O充气部孔448,但包含多达P充气部孔450的四倍的O充气部孔448。或者,O充气部432可包含相同数目个O充气部孔448和P充气部孔450,但O充气部432中的每个O排放孔448可具有比P充气部434中的P排放孔450的横截面积大四倍的横截面积。也可使用其它配置,例如调整充气部孔的直径/横截面积以及用于给定充气部的充气部孔的数目。在一些实施例中,O充气部孔448的总横截面积与P充气部孔450的总横截面积的比实质上等于氧化剂反应物442的体积流速与前驱物反应物444的体积流速的比。
在特定实施例中,喷淋头408以如在图10中展示的O图案和P图案为特征。图10中展示的孔图案1000经开发以供双流喷淋头使用,所述双流喷淋头经设计以供TES+乙醇+氦P反应物和蒸气+氦O反应物和约500sccm到5000sccm的总流速使用。O图案以具有0.040英寸的直径的1456个O孔1010为特征。P图案以具有0.019英寸的直径的1616个P孔1020为特征。O孔1010的O图案的总横截面积为约1.83平方英寸。P孔1020的P图案的总横截面积为约0.46平方英寸。P图案横截面积与O图案横截面积的总比为约1∶4。
图10中展示的孔的O图案和孔的P图案都是直线图案,其中X方向和Y方向间隔相等;O图案与P图案偏离彼此,使得一内部孔图案对角地位于另一图案的最近的四个孔之间的中心。也可预期其它孔图案,例如六角图案、非均等直线图案、圆形图案、螺旋图案和具有取决于与晶片的中心的孔距离而变化的间隔的图案。
用于充气部的充气部孔还可被定大小来防止反应物到反应器中的过度喷射。当来自喷淋头408的反应物流抵制从薄层流动状态转变为湍流流动状态时,过度喷射发生,这可导致反应物流在接触晶片410之前不能有效地与彼此混合,或可归因于反应物中的不均匀压强波前而导致在沉积的可流动薄膜中形成凹坑或碗状部件。可调整反应物的流速以在受处理的晶片的表面处或附近产生恒定或接近恒定的压强波前。一般来说,反应物流速、充气部孔数量和在充气部孔与晶片表面之间的间距都有助于可接受充气部孔直径的确定。举例来说,充气部流动孔可根据以下关系式设计:L/D≥0.112Pe,其中L是混合长度(例如,在充气部流动孔出口与晶片之间的距离),D是在邻近充气部流动孔之间的距离,且Pe是反应物流的(质量色散)佩克莱数。
可将通过喷淋头充气部的反应物运输时间最小化到可能增加系统响应性的程度。在一些实施例中,喷淋头充气部容积应小于反应区域的容积的10%到20%。对于双流喷淋头,可匹配在每个充气部内的反应物的停留时间以确保来自两个充气部的反应物的同步递送。举例来说,如果O反应物流速比P反应物流速大X倍,那么O充气部在容积上可比P充气部大X倍。举例来说,具有比P充气部的容积大4倍的容积的O充气部的喷淋头可用于O反应物流速约比P反应物流速大4倍的系统中。
尽管本文中描述双流充气部,但单流充气部可用来跨越晶片处理区域分配反应物。举例来说,反应物可在引入到反应器中之前供应给喷淋头,并可在单一充气部内混合。尽管双流喷淋头可在反应物在喷淋头中的压强和温度条件处以气相反应时使用,但单流喷淋头可在一些处理情形中提供可接受替代方案,其中喷淋头内的压强和温度条件使得反应物不反应或以减少的程度反应。另外,单流喷淋头可在喷淋头内的反应物的停留时间短时使用。在这些状况下,可能不需要反应物的物理分离来减轻喷淋头内的不希望有的沉积。
喷淋头408可包含可在可流动间隙填充处理期间将喷淋头温度维持于可接受处理参数内的加热元件或热传导路径。举例来说,喷淋头408可热耦合到顶板404,顶板404可安装有像上文所论述的电阻加热毯。电阻加热毯可经由顶板404向喷淋头408提供热,且经配置以将喷淋头408加热到40℃与150℃之间,但在一些配置中,喷淋头可通常加热到约100℃。喷淋头408可因此相对于受处理的晶片410维持于高温。通过将喷淋头408维持于高温,防止了沉积气体混合物446在喷淋头408内的冷凝。在喷淋头408是单流设计的实施例中,加热喷淋头408也可防止可存在于喷淋头充气部内的任何沉积气体混合物446的冷凝。
喷淋头408还可包含用于在反应区域内产生等离子体环境的RF电极。基座420也可包含用于在反应区域内产生等离子体环境的RF电极。这些等离子体环境可使用供电电极和接地电极之间的电容耦合来产生;可与等离子体产生器连接的供电电极可与喷淋头408中的RF电极对应。接地电极可与基座RF电极对应。替代配置也是可能的。电极可经配置以产生在13.56MHz范围、27MHz范围中,或更一般来说在50Khz和60MHz之间的RF能量。在一些实施例中,可提供多个电极,这些电极各自经配置以产生特定频率范围的RF能量。在喷淋头408包含供电RF电极的实施例中,卡盘412可包含或充当接地RF电极。举例来说,卡盘412可为接地铝板,这可导致跨越基座-卡盘-晶片界面的增强的冷却,这是因为铝相对于其它材料(例如,陶瓷)具有较高热传导性。铝板还可允许在铝板的背面中机械加工出冷却通道以允许液体冷却剂在卡盘412内循环;这些通道可归因于热膨胀应力而导致陶瓷板中产生裂缝。这稍后在下文中进行论述。将RF电极包含于具有接地电极的喷淋头408中也可导致对晶片的较低离子轰击。
图7说明反应器700的一个实施例,反应器700以装配到顶板704的喷淋头708为特征。电阻加热元件709嵌入于喷淋头708的顶部上的凹槽中,且可用来加热喷淋头708。
尽管上述实施例论述以接地铝板RF电极为特征的卡盘,但卡盘的其它实施例可以不包含与铝卡盘整合的RF电极。
裙缘或遮挡物
裙缘406或遮挡物(下文中称为“裙缘”)可用来提供在反应器400内的反应物流的机械障壁。在裙缘406和基座420′之间的界面可在径向方向上限制沉积气体混合物446流出反应区域。界面可构成环形间隙,其中外径由裙缘406的内径界定,且内径由基座420′的外径界定。用于典型晶片的环形间隙可在0.112″和0.125″之间,其中0.125″的标称间隙大小用于具有14.25″内径的裙缘。基座420′和裙缘406可经配置以使得基座420′相对于喷淋头408的相对位置可从沉积配置改变成清洁或等离子体处理配置,且反之亦然,而不更改环形间隙的横截面流动面积。
裙缘406可通过这个流量限制而导致背压产生于反应区域中。裙缘406不应与用于和基座420′形成气密密封的其它半导体制造工艺中的遮挡物混淆。
裙缘406还可用来在于反应器400中执行等离子体处理时将等离子体限制于反应区域中。尽管可流动填充间隙处理在可流动间隙填充操作期间不需要等离子体,但等离子体仍可用于清洁、预沉积处理、间隙填充后处理、固化或其它操作中。裙缘406也可用来通过变化反应区域中的背压来调整等离子体的大小。
裙缘406也可对反应器400内的热流产生影响。
裙缘406可从陶瓷材料制成。如果等离子体处理也在反应器400内发生,那么裙缘406也可从介电材料制成。可通过使用放置在裙缘406中的加热元件和/或通过来自顶板404或与裙缘406传导地耦合的其它组件的热传导来加热裙缘406。裙缘406可经配置以在沉积处理期间加热到在40℃与80℃之间的温度。因为在可流动间隙填充期间不需要裙缘406来与基座420′形成接触密封,所以裙缘406可相对于基座420′和晶片410维持于高温而不将传导热传递给基座420′和晶片410。
裙缘406可经配置以装配到顶板404或安置于顶板404中,且可提供用于装配喷淋头408的界面。各种实施例使用替代装配配置。举例来说,喷淋头408和裙缘406都可直接装配到顶板408而不直接与彼此介接。在特定实施例中,裙缘406可与喷淋头408或与顶板404整合,且可能不是明显的组件。
基座
基座420在处理期间经由稍后论述的卡盘向晶片提供轴向支撑。基座420可经配置以在处理期间升高和降低(像由基座420′指示)来促进不同处理阶段或晶片410装载和卸载。基座420也可提供电力以用于使等离子体发火花。基座420也可提供冷却和/或加热能力以用于在处理期间控制卡盘412和晶片410的温度。
在可流动间隙填充处理期间,可定位基座420′以使得晶片410定位于喷淋头408下方约12mm。裙缘406配置、基座420′大小和相对于裙缘406的基座420′位置可在基座420′与裙缘406之间界定环形基座流动区域。反应区域中的背压可随环形基座流动区域、反应物的体积流速、归因于化学反应的压强增加和环境条件而变化。
在各种实施例中,在可流动间隙填充处理期间定位基座420′以使得反应区域中的背压维持于约25托。在可流动间隙填充处理之后,可重新定位基座420′以产生较大环形间隙来用于快速背压释放或用于晶片处理。在特定实施例中,可在沉积期间基于时间或到达的反应区域压强设定点连续地或每隔一段时间重新定位基座420′。在可流动间隙填充处理期间,晶片410/喷淋头408间隔可大于或小于12mm,这取决于其它参数,例如基座420′大小或裙缘406的尺寸和位置。
在等离子体处理期间(例如,在晶片清洁操作期间),可定位基座420′以使得晶片410定位于喷淋头408下方约25mm。在清洁的准备中,基座408可相对于基座408用于可流动间隙填充处理时所在的位置重新定位以促进压强从反应区域快速排出。
基座420可包含压板422或基板、驱动柱424和驱动机构(未图示)。压板422或基板(下文中称为“压板”)可为圆形实质上平坦表面。压板422可充当用于卡盘412的界面,卡盘412经配置以收纳用于处理的晶片410。或者,在一些处理中,晶片410可直接放置于压板422上。驱动柱424向压板422提供轴向支撑,且可经配置以沿腔室中心轴线平移在腔室外壳402内的压板422。驱动柱424可突出而穿过腔室外壳402的底板,且与驱动机构连接。密封件426可密封在腔室外壳402与驱动柱424之间的界面,以防止反应器400与外部环境之间的流体流动。驱动机构经配置以在竖直方向上(也就是,朝着或远离喷淋头408)平移驱动柱424和压板422。
基座420可包含用于冷却或加热装配到压板422的卡盘412的部件。举例来说,基座420可包含使已冷的冷却剂从外部制冷器循环通过压板422的冷却剂回路428。其它配置可导引冷却剂回路428以通过(例如)卡盘412。冷却剂回路428可由可用来升高压板422的温度的加热器(未图示)(例如,电阻加热元件)增大。通过使用制冷器和加热器,达成所要温度设定点所需要的时间可显著减少。举例来说,如果晶片410需要从20℃冷却到-5℃,那么可使用具有-5℃的设定点的制冷器。然而,如果结合加热器使用制冷器,那么可将制冷器设定到低于-5℃的设定点,这将加速冷却过程。一旦到达-5℃标记,加热器就可用来抵消制冷器。举例来说,制冷器可具有-20℃到+80℃的设定点,和/或经配置以支持-15℃到+80℃的卡盘设定点。用这种方式,将晶片冷却到所要工作温度的总时间可显著减少,这减少处理时间并增加系统的产量。较低制冷器设定点也可用来抵消从热晶片给予已冷压板的热。在一些实施例中,制冷器可经配置有比晶片处理温度低1℃到5℃的设定点。
加热器(和/或制冷器)也可经配置以在等离子体处理期间将压板加热到大于70℃(例如,80℃)的温度来避免等离子体反应物冷凝于压板、基座、卡盘或晶片上。加热器(和/或制冷器)可经配置以将压板或基座加热到在30℃与50℃之间的温度(例如,40℃)来解除吸附反应的产物和副产物。可在腔室外壳402和腔室内的其它组件中诱发类似温度。
压板422和裙缘406可经设计以具有紧密的同心度容限。通过维持在压板422和裙缘406之间的高同心度程度,在裙缘406和装配到压板422的绝缘环414之间形成的环形间隙可绕绝缘环414的周界维持于接近恒定值。这促进跨越晶片410的均匀气流并减少不平衡沉积。
压板422相对于裙缘406的同心度可通过使用压板422上的径向定位器部件来增强,所述径向定位器部件与裙缘406啮合以在径向上相对于裙缘406将压板422定于中心。当然,径向定位器部件也可定位于裙缘406上并与压板422介接。替代实施例可涉及压板422上的径向支座,径向支座和腔室外壳402的侧壁啮合;如果裙缘406类似地配备有径向定位器部件,那么腔室外壳402可充当可由两个组件用来建立同心关系的共同参考表面。这个后者配置具有允许径向定位器部件离由裙缘406和绝缘环414形成的环形间隙某一距离而定位的益处,这减轻由定位于压板-裙缘环形间隙附近的径向定位器部件的存在引起的流动不平衡。
基座420可将吹扫气体供应430并入于基座驱动柱424中来防止基座420内的沉积、冷凝或结冰。如图4C中所展示,吹扫气体供应430可使吹扫气体452(例如,清洁干空气(CDA)或氮气)循环通过基座驱动柱424;吹扫气体452也可经加热以进一步抑制在基座驱动柱424内形成冷凝或冰。用加热的CDA或氮气来加热基座驱动柱424的内部也可用来间接加热基座驱动柱424的外部,这也可防止在外表面上的冷凝或结冰。
基座420也可经配置以将吹扫气体递送给晶片410的周界。举例来说,如图4D中所展示(图4D描绘在图4A到图4C和图4E中所描绘的实施例的变化),吹扫气体52可通过基座柱424递送到分配系统,分配系统从晶片410的下侧围绕晶片410的周边均匀地分配吹扫气体452。吹扫气体452可因此用来保护卡盘412的表面和绝缘环414以防不希望有的沉积。吹扫气体452也可用来防止围绕晶片410的周边的增加的沉积。吹扫气体452也仍可供应给基座驱动柱424的内部,但这个部件在图4D中未展示。
卡盘
卡盘412在晶片处理期间充当基座420与晶片410之间的界面。卡盘412可实现许多作用。卡盘412在处理期间在竖直方向上支撑晶片410。卡盘412也可并有将晶片410限制于径向方向上并防止晶片410相对于卡盘412旋转的部件或技术。
在本发明的一个实施例中,卡盘412可为可包含具有嵌入式RF电极416的陶瓷圆盘的静电卡盘(ESC)。RF电极416可经配置为偏压电极,且提供电力来产生并维持在反应器400内产生的等离子体。举例来说,RF电极416可经配置以按13.65MHz将3kW的电力供应给在反应器400内产生的等离子体。在这个实施例中,喷淋头接地;在其它实施例中,接地在具有供电喷淋头408的基座424中。在等离子体未使用或电力提供给其它组件(例如,喷淋头408)的配置中,卡盘412可包含接地铝圆盘。在处理期间相比于其它卡盘材料,接地铝圆盘可具有较高热传导性并允许晶片410的较快加热和冷却。
以接地铝卡盘为特征的实施例可简单地将接地铝卡盘整合到基座424中的组件中。举例来说,卡盘412和压板422可为一个整合组件而不是单独件。这归因于两个零件之间的界面的消除而将比单独卡盘412/压板422配置提供改良的热传导。
卡盘412可具有嵌入在卡盘412内部或附接到卡盘412的外表面的加热部件(例如,电阻加热器418)。卡盘412也可包含用于提供冷却的部件,例如用于冷冻的冷却剂循环的珀尔帖结或冷却剂流动路径。这些加热和冷却部件可增大或替换上文在基座的论述中所提到的部件。在一些实施例中,冷却部件可定位于一个组件中,且加热部件可定位于另一组件中。举例来说,卡盘412可包含嵌入在包括卡盘412的壳体的陶瓷圆盘内的电阻加热元件418,且压板422可包含经配置以使图4E中展示的冷却剂454在卡盘412和晶片410之间的界面表面下方循环的冷却剂回路428。冷却剂454可用来冷却压板422,并经由传导热传递冷却卡盘412。可对电阻加热元件418供电来直接在卡盘412中产生热456。因此,可加热和冷却卡盘412。
冷却剂454可从远程制冷器(例如,来自Solid State Cooling的Thermorack 1200)循环。制冷器可距基座远程地装配以减少基座组合件中的振动。制冷器可经配置以基于来自定位于基座420或卡盘412内的温度感测器件的反馈调节冷却剂454温度。举例来说,卡盘412可配置有可向制冷器提供关于卡盘412的当前温度的反馈的一或多个RTD。制冷器可调高或调低冷却剂454温度,这取决于来自RTD的温度反馈。将一或多个RTD定位在卡盘454内或紧密接近卡盘412(例如,在基座420中在压板422/卡盘412界面附近)可比依赖在制冷器自身中的RTD的配置改良冷却响应时间达几乎50%。使用一或多个卡盘装配式RTD的替代方案是使用能够远程测量的传感器(例如,Lumasense红外线温度计)。远程感测器件(例如,Lumasense温度计)的使用允许晶片410的温度而不是卡盘的温度用于控制制冷器。基于晶片410温度的读数来管理卡盘412温度将导致晶片410的较准确的热控制。
在晶片410处理期间,卡盘412和/或冷却剂回路428可降低晶片410的温度,以促进沉积气体混合物446在晶片410上冷凝为可流动间隙填充材料。举例来说,冷却剂回路428可将卡盘412和晶片410的温度降低到-5℃的设定点以用于可流动间隙填充处理。
电阻加热元件418也可经配置以将卡盘412加热到实质上高温。举例来说,电阻加热元件418可经配置以在等离子体清洁操作期间将卡盘412加热到80℃来防止在等离子体清洁操作期间的冷凝。
在以到晶片410的周界的吹扫气体递送为特征的一些实施例中(像先前在描述基座的部分中所论述),晶片可使用实际支座从卡盘的表面偏离,且吹扫气体可引入到在晶片410和卡盘412的界面表面之间的间隙中。支座可经配置以用最低程度地干扰在晶片410和卡盘412之间的吹扫气体流的方式支撑晶片410。吹扫气体可通过位于卡盘412中的端口引入到在晶片410和卡盘412之间的间隙中。
周界吹扫气体递送实施例也可包含在卡盘412、绝缘环414或其它基座420组件上的部件,这些部件在吹扫气体排出在晶片410和卡盘412之间的区时引导吹扫气体流。举例来说,绝缘环414可包含围绕晶片410并具有稍大于晶片410的外径的内径的凸起环形突起。在这个实施例中,和在吹扫气体在晶片410和卡盘412之间时所经历的大径向流动形成对比,吹扫气体一般可在到达晶片410的周界之后在轴向方向上流动。这些实施例可用来减少在晶片410的周边处(例如,在晶片斜面区或晶片侧面区中)的可流动薄膜沉积。
先前介绍的图7C描绘用于特定实施例的反应器700和装配到基座720的组件。冷却剂管线728投送冷却剂通过基座轴724和压板722到卡盘712。冷却通道728′遍及卡盘712分配冷却剂。电阻加热元件也可嵌入在712内,但图7中未展示。绝缘环714围绕卡盘712。卡盘712可包含跨越卡盘的晶片支撑区而排列的吹扫气体分配孔719。卡盘712也可以支座(未图示)为特征,支座在处理期间向晶片提供支撑并允许吹扫气体朝着已处理晶片的周边流动。
绝缘环
基座420也可包含绝缘环414。绝缘环414可用来遮挡基座420和卡盘412的表面以免于在晶片处理期间形成的等离子体。绝缘环414也可遮挡基座420和晶片410的表面以免于在晶片处理期间的不希望有的沉积或冷凝。最后,绝缘环414可防止等离子体弓向卡盘412或RF电极416。
绝缘环414可从例如氧化铝的材料制作,且可形成为圆形形状。绝缘环414可制造成具有稍大于压板422的外径的第一内径和稍大于卡盘412的直径的第二内径。
如果绝缘环414用于基座420中,那么绝缘环414的边缘或表面可界定像先前论述的在基座420和裙缘406之间的环形间隙的一个边界。如果基座420用来调节通过沉积区域的气体流,那么绝缘环414和裙缘406的同心性将至少部分决定通过环形间隙的流动均一性。在这些配置中,必须紧密控制绝缘环414的尺寸容限,就像在绝缘环414一旦安装在基座420上时必须紧密控制绝缘环414的位置。
绝缘环的一个实施例可以直径为14″的环形环为特征。环形环可以针对实质上0.5″到0.6″的环形环厚度的实质上11.5″到12″的内径为特征。环形环的内径可接着针对实质上0.25″到0.375″的环形环厚度逐步增加到实质上12.75″到13″的直径。最后,环形环可针对实质上0.625″到0.75″的环形环厚度逐步增加到实质上13″到13.125″的直径。环形环的总厚度可为约1.375″到1.725″。也可存在其它部件(例如,倒角、小肩角和圆角)以及定位器或索引部件。
替代反应器和模块配置
上文揭示的系统和结构也可包含其它反应器或模块配置,例如经配备以用于介电薄膜的沉积和/或预沉积或后沉积处理的反应器或模块,包含HDP-CVD反应器、PECVD反应器、低于大气压的CVD反应器、经配备以用于CVD反应的任何腔室、用于PDL(脉冲沉积层)的任何腔室和经配备以用于CFD的腔室。图11到图13为可包含于工具配置(例如,图2A和图2B中展示的工具配置)中的模块或反应器的实例。
图11展示可根据本发明的特定实施例使用的反应器或模块的实例。反应器1100可用作沉积腔室、处理和沉积腔室或用作独立固化模块。反应器1100适合用于暗(非等离子体)或等离子体增强的沉积以及处理(例如,经由电容耦合的等离子体)。如所展示,反应器1100包含围封反应器的其它组件并用来容纳由电容式系统产生的等离子体的处理腔室1124,处理腔室1124包含结合接地加热器框1120工作的喷淋头1114。低频率RF产生器1102和高频率RF产生器1104连接到喷淋头1114。电力和频率足以从处理气体产生等离子体(例如,400W到700W的总能量)。在一些实施中,产生器不用于(例如)非等离子体沉积或处理。在等离子体处理步骤期间,可使用一或两个产生器。举例来说,在典型方法中,高频率RF组件一般在2MHz到60MHz之间;在优选实施例中,组件为13.56MHz。
在反应器内,晶片基座1118支撑衬底1116。基座通常包含用来在沉积和/或等离子体处理反应期间和之间抓持并转移衬底的卡盘、叉架或起模针。卡盘可为可用于工业和/或研究中的静电卡盘、机械卡盘或各种其它类型的卡盘。
经由入口1112引入处理气体。多个来源气体管线1110连接到歧管1108。可预混合或不预混合气体。混合碗状/歧管管线的温度应维持在反应温度以上的水平处。在约80℃处或以上的温度通常足够。使用适当的装设阀门和质量流量控制机构来确保在方法的沉积和等离子体处理阶段期间递送正确气体。在化学前驱物以液体形式递送的状况下,使用液体流量控制机构。液体接着在到达沉积腔室之前在加热到其汽化点以上的歧管中的输送期间汽化并与其它处理气体混合。
处理气体经由出口1122排出腔室1100。真空泵1126(例如,单级或双极机械干式泵和/或涡轮分子泵)通常将处理气体抽出并通过关闭回路控制的流量限制器件(例如,节流阀或摆阀)维持反应器内的适合低压。
图12是根据某些实施例的远程等离子体处理模块的简化示意图。装置1200具有由喷淋头组合件或面板1217分离的等离子体产生部分1211和暴露腔室1201。在暴露腔室1201内,压板(或平台)1205提供对晶片1203的支撑。压板1205装有加热/冷却元件。在一些实施例中,压板1205也经配置以用于将偏压施加给晶片1203。在暴露腔室1201中经由真空泵经由管道1207达到低压。气态处理气体的来源经由入口1209将气体流提供到装置的等离子体产生部分1211中。等离子体产生部分1211可由感应线圈(未图示)环绕。在操作期间,气体混合物被引入到等离子体产生部分1211中,感应线圈受激发,且等离子体产生于等离子体产生部分1211中。喷淋头组合件1217可具有施加电压,且终止一些离子的流动并允许中性种类流入暴露腔室1201中。
图13是根据各种实施例的可用于预沉积和/或后沉积处理和/或固体氧化物材料的沉积的HDP-CVD装置的各种组件的简化说明。如所展示,反应器1301包含围封反应器的其它组件并用来容纳等离子体的处理腔室1303。在一个实例中,处理腔室壁是从铝、铝的氧化物和/或其它合适材料制成。图13中展示的实施例具有两个等离子体来源:顶部RF线圈1305和侧面RF线圈1307。顶部RF线圈1305是中等频率或MFRF线圈,且侧面RF线圈1307是低频率或LFRF线圈。在图13中展示的实施例中,MFRF频率可从430kHz到470kHz,且LFRF频率从340kHz到370kHz。然而,可使用具有单一来源和/或非RF等离子体来源的装置。
在反应器内,晶片基座1309支撑衬底1311。包含用于供应热传递流体的管线1313的热传递子系统控制衬底1311的温度。晶片卡盘和热传递流体系统可促进维持适当晶片温度。
HFRF来源1315的高频率RF用来对衬底1311加电偏压,并将已充电前驱物种类抽出到衬底上以用于预处理或固化操作。来自来源1315的电能经由电极或电容耦合而耦合到(例如)衬底1311。注意,施加到衬底的偏压不需要为RF偏压。也可使用其它频率和DC偏压。
经由一或多个入口1317引入处理气体。可预混合或不预混合气体。气体或气体混合物可从主气体环1321引入,主气体环1321可能或可能不朝着衬底表面指引气体。喷射器可连接到主气体环1321以将气体或气体混合物中的至少一些指引到腔室中并朝着衬底引导。用于朝着晶片指引处理气体的喷射器、气体环或其它机构在某些实施例中不存在。处理气体经由出口1322排出腔室1303。真空泵通常将处理气体抽出并维持反应器内的适合低压。尽管在预沉积和/或后沉积处理或固化的情况中描述HDP腔室,但在某些实施例中,HDP腔室可用作用于可流动薄膜的沉积的沉积反应器。举例来说,在热(非等离子体)沉积中,可使用这种腔室而不撞击等离子体。
图14A到图14Q描绘经配置以用于可流动间隙填充操作的反应器的一个实例实施的各种视图和组件。这种反应器也可用于其它非间隙填充可流动沉积处理中。图14A描绘反应器1400(没有安装顶板或喷淋头)的立体图。反应器1400包含腔室1401、晶片支撑装置1420和提升机构1402。腔室1401可包含(例如)经配置以收纳用于加热腔室1401的加热元件的两个加热器插孔。还展示了晶片1404,晶片1404针对这个实施是300mm直径的晶片。一般来说,尽管图14A到图14Q中展示的组件经设计以与300mm晶片一起使用,但较大或较小晶片大小可由根据类似原理设计但经重新定大小以容纳较大或较小大小的晶片的设备容纳。晶片支撑装置可提供与图4A到图4E中的基座420提供的功能性类似的功能性,且也可视为基座的一个实施。相反地,基座420也可视为晶片支撑装置的一个实施。反应器1400可与本文中任一处描述的系统(例如,气体分配系统、双流喷淋头、RF电力、真空来源、晶片处理系统等)连接。
反应器1400可(例如)经配置以将晶片1404冷却到约-5℃的温度以促进晶片1404上的可流动间隙填充沉积,同时将腔室1401和反应器1400内的其它组件维持在较高温度以抑制非晶片组件上的沉积,像先前大体所论述。图14A到图14Q中展示的设计的各方面是针对管理晶片支撑装置1420和其中的组件以及晶片1404的热环境。图14A到图14Q中展示的设计可(例如)能够跨越实质上整个晶片1404达成小于0.35℃或甚至小于0.1℃的温度变化,同时将晶片1404维持在低温(例如,-10℃到-5℃),且同时将紧密接近晶片1404但不触碰晶片1404的各种其它组件维持在比晶片1404高约5℃到10℃的温度。
图14B描绘反应器1400的立体剖面图,且图14C从侧视透视图描绘图14B的剖面图。一些较小组件(例如,O形环、配件、紧固件、管路等)可能未展示或可能未以整体展示以避免不适当的视觉混乱。晶片1404可由晶片支撑装置1420的卡盘1422支撑,晶片支撑装置1420又可由介电板1427支撑。可支撑晶片1404的卡盘1422的区域可称作晶片支撑区域。晶片支撑区域一般可与卡盘1422的顶表面对应,但卡盘1422的顶表面可延伸超出晶片1404和晶片支撑区域的标称直径。除了支撑卡盘1422之外,介电板1427也可支撑介电环1426。介电板1427和介电环1427可(例如)从Al2O3制成。尽管介电板1427和介电环1426展示为单独件,但在一些实施例中也可制成单一零件。无论是单一零件还是多个件的组合件,介电板1427和介电环1326可视为具有“介电底板”或“外介电壁”。介电板1427和介电环1426或等效结构也可视为并可称作“介电中断区”。介电板1427一般可与介电底板对应,且介电环1426和介电板1427的最外部分一般可与外介电壁对应。介电板1427可由外壳1429支撑,外壳1429可由受提升机构1402驱动的支撑柱1454支撑。外壳1429可从铝(例如,6061铝)制成,且类似于介电板1427和介电环1426,可包含底板和外壁。外壳1429的外壁可为实质上圆柱形。在一些实施中,外壳1429也可充当用于在处理期间使用的RF能量的接地平面。外壳1429的底板可实质上为平面的,且可沿着外壁的一条边缘与外壁相接。外壳1429也可包含进一步界定外壳1429的整体形状的其它组件或部分。外壳1429可提供由图4到图4E的压板422提供的功能性中的一些,例如,外壳1429可直接或间接地提供对卡盘1422的支撑。外壳1429也可称作卡盘外壳。
当晶片支撑装置1420由提升机构1402降低时,起模针组合件1428可提升晶片1404离开卡盘1422。图14D和图14E展示反应器1401的立体剖面图和侧面剖面图,其中晶片支撑装置1420处于降低位置,且晶片1404由起模针组合件1428提升离开卡盘1422。
图14F和图14G展示卡盘1422的立体图和立体分解图(未展示晶片1404)。卡盘1422可为多层组件,且可包含可结合于一起以形成毗连零件的吹扫通道板1423、冷却通道板1424和基板1425。吹扫通道板1423可向晶片1404和保护环1421提供支撑。保护环1421可从介电材料(例如,Al2O3)制成。起模针组合件1428可安装于卡盘1422中。卡盘1422可部分或完全以涂层涂布来保护卡盘1422以防在等离子体清洁操作期间腐蚀。这种涂层可(例如)由2μm到3μm的电子束沉积氟化钇(YF3)提供。卡盘1422可(例如)从铝(例如,3003铝)制成。起模针组合件1428可(例如)从Al2O3制成,且可通过使用O形环或其它顺应性夹持机构而保持于卡盘1422内的适当位置处。卡盘1422可比晶片1404的标称晶片直径具有较大直径。举例来说,卡盘1422可在径向方向上延伸超出晶片1404的边缘10mm到15mm,或约13mm。
返回参看图14B和图14C,各种管道可通过支撑柱1454导引到卡盘1422的下侧。举例来说,冷却剂管线(包含冷却剂供应管线和冷却剂回流管线)可导引通过支撑柱1454且连接到界面板1430,界面板1430可密闭地密封到卡盘1422的下侧。为了辅助理解卡盘1422内的各种管道界面,图14H和图14J到图14O提供展示这些界面的各种立体剖面图和侧面剖面图。
图14H描绘反应器1400的立体非平面剖面图。在图14H中,反应器1400已沿相交于晶片支撑装置1420的中心处且通过冷却剂管线1431的中心线的平面剖切。在一些实施中(例如,图14H中捕绘的实施),冷却性能可基本上与哪个冷却剂管线1431用来供应冷却剂和哪个用来回流冷却剂无关。然而,在一些其它实施中,一个冷却剂管线1431可需要设计为供应管线,且另一冷却剂管线1431设计为回流管线以便促进跨越卡盘1422的均一冷却。图14A到图14Q中展示的实施不以卡盘1422内的加热元件为特征。
图14I从各种透视图(包含三个单独立体透视图)描绘冷却板1424。冷却板1424的冷却通道1430可由嵌套C形部分组成,嵌套C形部分可大体对准,使得每一C形部分的“敞开”部分定向于实质上相同方向中。每个C形部分可通过跨接部分连接到另一C形部分,跨接部分将C形部分的一个末端连结到另一C形部分的对应末端。一些C形部分可在两个末端处都不连接到其它C形部分,且可替代地使一个末端流体连接到与冷却剂管线1431对应的入口或出口。这些C形部分可利用跨接部分到达入口或出口,或C形部分可简单地将一末端定位在这个入口或出口处。冷却通道1430可(例如)具有约0.3″的标称深度和0.45″的标称宽度。
图14J和图14K分别描绘沿着通过校准光管1432和原位光管1433的中心线的平面的晶片支撑装置1420的立体剖面图和侧面剖面图。校准光管1432可提供双重目的功能性。举例来说,校准光管1432可定于晶片支撑装置1420/卡盘1422上的中心,并用作辅助将晶片1404定于晶片支撑装置1420上的中心的基准。举例来说,晶片转移机器人(未图示)可照明晶片支撑装置1420的顶侧。来自这个照明的光可从晶片支撑装置1420的顶表面反射回晶片转移机器人处。然而,由于校准光管1432在光学上是透明的,因此从晶片支撑装置1420的顶表面反射回的光的量在光入射在装置的中心上时减少。晶片转移机器人可配备有测量反射光的量的检测器。晶片转移机器人可经配置以使较低反射率区域与晶片支撑装置1420的中心相关。校准光管1432可由装配于吹扫板1423和冷却板1424中的蓝宝石窗覆盖。
校准光管可提供的额外功能性为允许使用原位光管1433校准温度监控系统。归因于本文中描述的方法中使用的低温,常规非接触温度测量(例如,红外线温度测量)可能不能在处理期间测量晶片1404的温度。为了在处理期间获得关于晶片1404的温度的原位温度资料,可假定在卡盘1422内一点处的卡盘1422的温度可用来及时可靠地估计给定点处的晶片1404的温度。原位光管1433可提供用于获得卡盘1422的这种内部温度测量的机构。磷光盘1434可与卡盘1422进行热接触(例如,以冷却板1424),且原位光管1433可提供光学路径以供由磷光盘1434发射的光到达光谱光传感器(未图示)。磷光盘1434可取决于其所处的温度而发射不同波长的光,且这些波长/温度相互关系可基于所发射光的波长来提供磷光盘1434的温度的准确测量。然而,与从磷光盘1434获得的温度相比时,晶片1404温度可能存在某一偏差。为了量化这个偏差并校正这个偏差,可使用校准晶片来采取校准措施,校准晶片经涂布以允许经由与校准光管1432连接的光学传感器的温度测量。当使用校准晶片时,可使用原位光管1433和校准光管1432获得温度测量。针对相同环境条件在两组测量值之间观察到的差异可用来校正与晶片1404相关联的卡盘1422的原位光管1433读数并获得处理期间的晶片1404温度的较准确估计。
图14L和图14M分别描绘沿着通过卡盘1422和吹扫气体管线1435的中心线的平面的晶片支撑装置1420的立体剖面图和侧面剖面图。两个吹扫气体上升器1456允许由吹扫气体管线1435提供的吹扫气体到达吹扫气体入口通道1438,并通过吹扫气体辐条1455(在图14G和图14H中不可见,但一个吹扫气体辐条1455在图14B和图14C中可见)分配到环形吹扫气体通道1439。稍后更详细地论述用于这个实施的吹扫气体功能性。
图14N和图14O分别描绘沿着通过卡盘1422和真空管线1457的中心线的平面的反应器1400的立体剖面图和侧面剖面图。真空管线1457可经由真空上升器1458与环形真空通道1437流体连接。尽管图14N和图14O中未展示,但若干小真空端口可流体连接环形真空通道1437与卡盘1422的顶面以在某一处理期间允许晶片1404的真空辅助夹持。六个这种真空端口1461的圆形图案可见于图14F和图14G中。
图14P展示(例如)在图14F中展示的晶片支撑装置组件的子部分的约一半的细节侧面剖面图以及晶片1404。图14Q展示包含保护环1421的晶片支撑装置1420的边缘区的其它细节侧面剖面图。保护环可具有比晶片1404的标称直径大约2mm的内径。如从图14P和图14Q为明显的,各种隔热区可分离晶片支撑装置1420的各种组件。如本文中使用,隔热区指在零件之间的物理分离(也就是,间隙),隔热区充分大以足够在实质上防止在零件之间经由陷入于隔热区内的任何气体的传导热传递,但也充分小以足够防止在零件之间经由气体的实质上对流热传递。直接接触或由间隙分离但仍充分靠近以足够经历跨越间隙的经由陷入于间隙内的任何气体的显著传导热传递的零件或零件的部分在本文档中可称作与彼此“热接触”。
在晶片支撑装置1420的状况下,可驻留于隔热区内的气体可为处理气体,例如Ar、He或由气体递送系统供应的其它气体。隔热区可经设计以考虑在晶片处理期间存在的处理环境中的这些气体的密度。举例来说,当Ar或He气体填充隔热区间隙且间隙处于25托到75托的压强时,0.015″或较低隔热区可导致两个零件之间的不可忽略的热传导。术语“隔热区”可用来指组件的一部分,当组件与另一组件组装时,组件的所述部分可表示隔热区的一侧。另一组件可具有形成隔热区的另一侧的对应隔热区。
举例来说,当介电板1427的下侧可跨越第一结构支撑区1459与外壳1429物理接触时,如图14P中展示的介电板1427的下侧的其余部分可通过轴向隔热区1453从外壳1429偏离。第一结构支撑区1459可为具有(例如)4″内径和5.25″外径的实质上环形区域。在一些实施中,第一结构支撑区可具有小于卡盘1422的直径的约50%的外径。在外壳1429与介电板1427的下侧之间的轴向隔热区1453大小可在0.015″与0.050″之间且在两个零件上的环形隔热区上方延伸,环形隔热区具有约5.25″的内径和约13.25″的外径。应认识到,本文中相对于图14P和图14Q中所展示的各种隔热区所描述的特定隔热区值可不同于可有效地提供类似热管理功能性的其它值,且应理解,通过使用与本文中描述的隔热区类似但具有不同值的隔热区来达成类似热管理功能性的晶片支撑装置设计落入本发明的范畴内。
轴向隔热区1453可转变为在外壳1429的内圆柱形表面和介电板1429的外圆柱形表面之间的径向隔热区1450,且可在外壳1429的内圆柱形表面和介电环1426的外圆柱形表面之间延续。术语“轴向隔热区”在本文中用来描述主要特征在于在沿着实质上轴向对称的组合件的中心轴线的零件之间的一个或若干间隙的隔热区,且术语“径向隔热区”在本文中用来描述主要特征在于在这些零件之间的一个或若干径向间隙的隔热区。径向隔热区1450可具有介于0.015″与0.050″之间的间隙距离。
在图14P中明显的另一隔热区位于卡盘1422与介电板1427以及介电环1426之间。卡盘1422可横跨第二结构支撑区1460与介电板1427进行物理接触,就像介电板1427可横跨第一结构支撑区1459与外壳1429进行物理接触一样。从第二结构支撑区1460向外延伸的卡盘1422的部分可通过轴向隔热区1452与介电板1427分离,轴向隔热区1452转变为卡盘1422的外圆柱形表面和介电板1427的内圆柱形表面之间的径向隔热区1447。在卡盘1422与介电板1427之间的轴向隔热区1452和径向隔热区1447都可具有介于0.015″和0.050″之间的间隙距离。轴向隔热区1452可跨越介电板1427和卡盘1422上的环形隔热区延伸,环形隔热区可具有约5.25″的内径和约12.75″的外径。
除了将介电环1426和介电板1427与外壳1429和与卡盘1422分离的隔热区之外,其它隔热区可存在于图14P和图14Q中所展示的其它组件之间。举例来说,保护环1421可通过可为约0.015″到0.050″的轴向隔热区1446和1449以及径向隔热区1448与外壳1429和介电环1421热分离。尽管物理上受卡盘1422支撑,但保护环1421可大部分通过间隙1444和径向隔热区1445与卡盘1422分离,间隙1444在一些实施中可为约15微米到250微米。保护环1421可通过从保护环1421的下侧突出的若干柱体1442与卡盘1422间隔开,柱体1442搁置在卡盘1422的顶表面中的相应凹部1443内。尽管在保护环1421与卡盘1422之间经由柱体1442及收纳凹部1443存在物理接触,但这种物理接触可非常受限制(例如,三个小直径柱体),且通过柱体1422到卡盘1422中的传导热传递可相应地可忽略。除了(例如)柱体1442之外,保护环1421可为实质上轴向对称的。另外,和晶片支撑装置1420中的其它组件中的许多组件相比,保护环1421可具有较低热质量,这减少热惯性,也就是说,和晶片支撑装置1420内的其它组件相比,热可在保护环1421内非常快速地流动。保护环1421内的这种快速热流动准许从保护环1421到周围环境的对流热传递的高速率。在处理期间,反应物气体可横跨晶片1404朝着晶片周界并在保护环上方流动。这些气体可通过这种对流热传递去除已从腔室1401传递到保护环1421的热,并将热从晶片1404携带走。通过上文描述的对流热传递和最小热传导的组合,卡盘1422可在处理期间从保护环1421接收可忽略量的热。
尽管归因于通过上文描述并(例如)在图14Q中展示的部件和几何形状的来自保护环1421的热传递,晶片1404可被保护以免于热偏移,但晶片1404也可易受卡盘1422上的局部热点或冷点损坏,这可导致横跨晶片1404的较不均一的温度分布。为了帮助保护晶片1404以免于横跨卡盘1422的表面的这种可能温度偏差,晶片1404可通过使用小凸台或突起1441的图案来从卡盘1422的表面偏离。突起1441高度可在15微米到250微米(约0.0006″到0.01″)之间,且使晶片1404从卡盘1422偏移达相应量。突起1441可(例如)直径在0.010″到0.050之间,且以同心辐射图案排列来横跨晶片1404的整个跨度提供晶片1404的分布式支撑。突起1441以及突起1441的整体图案在图14F和图14G中也可见;展示总共96个突起1441。可使用具有不同数目、突起直径或大小和突起高度的其它图案。
环形吹扫气体通道1439和吹扫气体分配孔1440也在图14P和图14Q中可见。吹扫气体分配孔1440可沿环形吹扫气体通道1439间隔以形成圆形孔图案;圆形孔图案可具有比晶片1404的标称直径稍小(例如,小1mm到2mm)的直径。吹扫气体分配孔1440可为具有约0.001″到0.015″的排出直径的阶梯式孔。环形吹扫气体通道1439可经由一或多个吹扫气体辐条1455流体连接到吹扫气体入口通道1438。通过吹扫气体管线1435供应到吹扫气体入口通道1438的吹扫气体可行进通过一或多个吹扫气体辐条1455进入到环形吹扫气体通道1439中,并通过吹扫气体分配孔1440离开。吹扫气体可接着排出吹扫气体分配孔1440进入在晶片1404和卡盘1422之间的间隙中,在所述间隙处,吹扫气体可最终朝着晶片1404的周边并在保护环1421的上方和下方流动。吹扫气体可用来实质上使卡盘1422免于用于沉积的反应物,因此防止卡盘1422上的实质上的沉积并延长卡盘1422的使用寿命。尽管吹扫气体在晶片1404的顶部上方和朝着晶片1404的中心的一些反扩散可发生,但可通过反应物气体的流动朝着保护环1421并在晶片支撑装置1420的边缘上方推动大多数吹扫气体。吹扫气体还可保护保护环1421和外壳1429以防不希望有的沉积。
在一些实施中,(例如)不提供RF能量的晶片支撑装置、由介电板1427和介电环1426形成的介电中断区可从设计省略。在这些实施中,外壳1429和卡盘1422可经构造以在彼此之间提供0.015到0.050的隔热区,因此避免产生大的空闲容积,省略的介电材料原本可位于所述大的容积处。
图11到图14L提供可结合本文论述的结构和系统使用的装置的实例。然而,所属领域的技术人员将理解,可以从所提供的描述进行各种修改。举例来说,等离子体处理模块可为远程和/或直接感应耦合或电容耦合的等离子体模块。在一些实施中,可流动间隙填充模块可以容纳于单一腔室中的一个以上基座和喷淋头(例如,两个基座和喷淋头)为特征。此举允许增加产量,这是因为可在同一腔室中同时处理两个晶片。
在一些实施例中,所述装置可包含系统控制器,所述系统控制器具有用于控制根据本发明的处理操作的指令。所述系统控制器通常将包含一个或一个以上存储器件和一个或一个以上处理器,所述一个或一个以上处理器经配置以执行指令,以使得装置将执行根据本发明的方法。含有用于控制根据本发明的处理操作的指令的机器可读取媒体可耦合到所述系统控制器。所述处理器可包含CPU或计算机,且可包含一个或一个以上模拟和/或数字输入/输出连接、步进电动机控制器板等,或与一个或一个以上模拟和/或数字输入/输出连接、步进电动机控制器板等可通信地连接。举例来说,所述系统控制器可经配置以控制气体递送系统、基座移动、真空端口抽吸、等离子体电极,和/或加热和冷却元件(如果存在于特定实施例中)。
通常,将存在与系统控制器相关联的用户界面。所述用户界面可包含显示屏、装置和/或处理条件的图形软件显示器,和用户输入器件,例如指示器件、键盘、触摸屏、麦克风等。系统控制器可连接到工具或模块中所展示的任一或所有组件,包含在本申请案的图式中展示的组件;系统控制器的放置和连接可根据特定实施而变化。
在某些实施例中,系统控制器控制处理腔室中的压强。系统控制器还可通过调节阀、液体递送控制器和递送系统中的MFC以及排放管线中的流量限制阀来控制腔室中各种处理气体的浓度。系统控制器执行系统控制软件,所述系统控制软件包含用于控制气体和液体的时序、流速、腔室压强、腔室/喷淋头/基座/衬底压强和/或特定处理的其它参数的指令集合。在一些实施例中,可使用存储在与控制器相关联的存储器件中的其它计算机程序。在某些实施例中,系统控制器控制衬底到图中所示的装置的转移和从图中所示的装置的转移。
用于控制处理序列中的处理的计算机程序代码可以任何常规的计算机可读取编程语言来编写,例如,汇编语言、C、C++、Pascal、Fortran或其它语言。编译目标代码或脚本可由处理器执行以执行程序中所识别出的任务。系统软件可用许多不同方式来加以设计或配置。举例来说,可编写各种腔室组件子程序或控制目标以控制执行所述工艺所必需的腔室组件的操作。用于此目标的程序或程序片段的实例包含处理气体控制代码、压强控制代码和等离子体控制代码。
控制器参数与处理条件有关,例如,每一操作的时序、腔室内的压强、衬底温度、处理气体流速、RF功率以及上述其它条件。这些参数是以配方的形式提供给用户,且可利用用户界面来输入。用于监控处理的信号可以通过系统控制器的模拟和/或数字输入连接来提供。用于控制处理的信号在装置的模拟和数字输出连接上输出。
上文所描述的装置/工艺可与光刻图案化工具或工艺结合使用,例如,用于制造半导体器件、显示器、LED、光伏打面板等等。通常,但不必,这些工具/工艺将在共同制造设施中一起使用或进行。薄膜的光刻图案化通常包括以下步骤中的一些或全部,每个步骤用若干可能工具实现:(1)使用旋涂或喷涂工具将光阻材料涂覆到工件(即衬底)上;(2)使用热板或炉子或UV固化工具来固化光阻材料;(3)用例如晶片步进器的工具将光阻材料暴露到可见光或UV或x光;(4)对所述抗蚀剂显影以便选择性地去除抗蚀剂,进而使用例如湿式工作台的工具来将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转印到下层薄膜或工件;和(6)使用例如RF或微波等离子体抗蚀剂剥离器的工具来去除抗蚀剂。另外,所揭示的方法可在一个工艺中实施,在该工艺中,光刻和/或图案化处理在所揭示方法之前或之后。
应理解,除非所述特定实施中的任一者中的特征明确识别为彼此矛盾,或相关背景暗示其相互排斥且不易在互补和/或相互支持的意义上加以组合,否则本发明的总体涵盖且设想那些互补实施的特定特征可选择性地加以组合以提供一个或一个以上全面但稍有不同的技术解决方案。因此,应进一步了解,以上描述仅作为实例给出,且在本发明的范围内可进行细节上的修改。

Claims (35)

1.一种晶片支撑装置,其包括:
卡盘;其中:
所述卡盘包含顶表面、底表面和外表面;
所述顶表面与所述底表面实质上平行于彼此,且可偏离彼此;
所述外表面位于所述顶表面与所述底表面之间;且
所述顶表面经配置以支撑半导体晶片;以及
外壳;其中:
所述外壳包含外壁和连接到所述外壁的外壳底板;
所述外壳底板包含从所述外壁朝向所述外壳底板的中心延伸的第一隔热区,其中所述第一隔热区在一直延伸到所述外壳底板的所述中心之前停止;
所述卡盘的所述底表面面向所述外壳底板;
所述卡盘的所述底表面和所述外表面可实质上处于由所述外壁和所述外壳底板界定的容积内;
所述卡盘与所述外壳经配置以作为单一组合件在半导体制造腔室中一起移动;
所述卡盘的所述外表面与所述外壳的所述外壁之间无实质热接触;且
跨越所述第一隔热区在所述底表面与所述外壳底板之间无实质热接触。
2.根据权利要求1所述的晶片支撑件,其中当所述晶片支撑装置暴露于在可流动沉积半导体制造腔室中存在的气体和环境条件时,发生所述卡盘的所述外表面与所述外壳的所述外壁之间的所述无实质热接触以及跨越所述第一隔热区在所述底表面与所述外壳底板之间的所述无实质热接触。
3.根据权利要求2所述的晶片支撑件,其中所述气体包含Ar或He,且所述环境条件包含介于25托与75托之间的压强。
4.根据权利要求1所述的晶片支撑件,其中:
在所述卡盘的实质上全部所述外表面与所述外壳的所述外壁之间存在至少为0.015″的间隙;且
跨越所述第一隔热区在实质上全部所述底表面与所述外壳底板之间存在至少为0.015″的间隙。
5.根据权利要求1所述的晶片支撑件,其中:
所述外表面与所述外壁为实质上圆柱形;
所述外壳底板为实质上环形且具有内部周界;且
所述隔热区不延伸到所述内部周界。
6.根据权利要求5所述的晶片支撑件,所述晶片支撑件进一步包括介电中断区,其中:
所述介电中断区包含外介电壁和与所述外介电壁相接的介电底板;
所述介电底板包含从所述外介电壁朝向所述介电底板的所述中心延伸的第二隔热区;
所述介电底板插入于所述外壳底板与所述底表面之间;
所述外介电壁插入于所述外壁与所述外表面之间;
所述外壁、所述外介电壁与所述外表面之间无实质热接触;
跨越所述第二隔热区在所述底表面与所述介电底板之间无实质热接触;且
跨越所述第一隔热区在所述介电底板与所述外壳底板之间无实质热接触。
7.根据权利要求6所述的晶片支撑件,其中:
所述外表面与所述外介电壁的面向所述外表面的表面隔开介于0.015″与0.050″之间的间隙;
所述底表面与所述介电底板的在所述第二隔热区内且面向所述底表面的表面之间隔开介于0.015″与0.050″之间的间隙;
所述外介电壁与所述外壁的面向彼此的表面隔开介于0.015″与0.050″之间的间隙;且
所述介电底板的表面与所述外壳底板的在所述第一隔热区中的表面隔开介于0.015″与0.050″之间的间隙。
8.根据权利要求1所述的晶片支撑件,其中所述卡盘包含冷却通道,所述冷却通道位于所述顶表面与所述底表面之间且沿循穿过所述卡盘的迂回路径。
9.根据权利要求8所述的晶片支撑件,其中所述迂回路径包括:
具有不同大小的复数个嵌套的C形区段;以及
复数个跨接区段;其中:
每个跨接区段用另一C形区段的相应末端来接合一个C形区段的末端;且
仅一个跨接区段将任何两个C形区段接合在一起。
10.根据权利要求1所述的晶片支撑件,其中所述卡盘包含位于所述顶表面与所述底表面之间的环形吹扫气体通道,且其中孔的圆形图案将所述环形吹扫气体通道与所述顶表面流体连接。
11.根据权利要求10所述的晶片支撑件,其中所述晶片支撑件经配置以支撑呈指定标称直径的晶片,且所述圆形图案的直径比所述标称直径小1mm到2mm。
12.根据权利要求1所述的晶片支撑件,其进一步包括:
保护环,其中所述保护环:
为实质上环形;
内部直径大于所述顶表面经配置以支撑的所述半导体晶片的指定标称直径;
由所述卡盘支撑;
不与所述外壳的所述外壁或所述卡盘的所述外表面接触。
13.根据权利要求12所述的晶片支撑件,其中:
所述保护环包含复数个柱体;
每一柱体从所述保护环的面向所述顶表面的表面突出第一量,且突出到所述顶表面中的凹部中,所述凹部的深度小于所述第一量;
所述保护环的有所述柱体突出的所述表面从所述顶表面偏离15微米到250微米。
14.根据权利要求12所述的晶片支撑件,其中在所述保护环的最接近所述外壁的表面与所述外壁之间存在至少为0.015″的间隙。
15.根据权利要求1所述的晶片支撑件,其中:
复数个凸起突起从所述顶表面突出;
所述突起排列成同心圆形图案;且
每个突起从所述顶表面突出15微米到250微米。
16.根据权利要求1所述的晶片支撑件,其中:
所述卡盘进一步包含校准光管和原位光管;
所述校准光管的一个末端终止于所述顶表面的中心处;
所述原位光管的一个末端终止于位于所述顶表面与所述底表面之间的磷光盘处;且
所述校准光管与所述原位光管在所述卡盘内分开一定距离,所述距离小于从所述外壳底板的所述中心到所述第一隔热区的距离。
17.根据权利要求9所述的晶片支撑件,其中:
所述卡盘包含第一板和第二板;
所述第一板包含第一顶面和第一底面;
所述第二板包含第二顶面和第二底面;
所述第一顶面结合到所述第二底面;
所述冷却通道凹入到所述第二底面中;
所述第一板包含两个通孔;
每一通孔与所述冷却通道的不同终端对应,且所述第一板与所述第二板对准,使得每个通孔与所述冷却通道的所述对应终端对准。
18.根据权利要求17所述的晶片支撑件,其中:
所述卡盘进一步包含第三板;
所述第三板包含第三顶面和第三底面;
所述第三底面结合到所述第二顶面;
所述第三底面包含环形吹扫气体通道和与所述环形吹扫气体通道流体连接的一个或一个以上吹扫气体供应通道;
孔的圆形图案将所述环形吹扫气体通道与所述第三顶面流体连接;且
吹扫气体入口穿过所述第一板和所述第二板,且将所述一个或一个以上吹扫气体供应通道与所述第一底面流体连接。
19.根据权利要求6所述的晶片支撑件,其中:
所述卡盘和所述外壳主要由铝制成;且
所述介电中断区主要由Al2O3制成。
20.根据权利要求19所述的晶片支撑件,其中:
所述卡盘主要由3003铝制成;且
所述顶表面涂有YF3
21.一种用于半导体制造的装置,所述装置包括:
腔室,所述腔室包含加热器系统和实质上圆柱形的内表面;
卡盘,其具有晶片支撑区域、实质上圆柱形的外表面和冷却系统;
卡盘外壳,其具有实质上圆柱形的外表面;以及
控制器,其经配置以控制所述加热器系统和所述冷却系统;其中:
所述卡盘实质上含于所述卡盘外壳中,且由所述卡盘外壳支撑;
所述卡盘外壳可相对于所述腔室移动;
所述晶片支撑区域不受所述卡盘外壳妨碍;
所述控制器经配置以通过调节冷却系统温度和加热系统温度而产生第一操作配置;其中,在所述第一操作配置中:
所述腔室的所述内表面具有至少为40℃的温度;
所述晶片支撑区域具有介于-10℃与+10℃之间的温度;且
所述卡盘外壳的所述外表面具有比所述晶片支撑区域的所述温度高至少5℃的温度。
22.根据权利要求21所述的装置,其中所述控制器进一步经配置以通过调节所述冷却系统温度和所述加热系统温度而产生第二操作配置;其中,在所述第二操作配置中:所述腔室的所述内表面、所述卡盘外壳的所述外表面和所述晶片支撑区域具有大于70℃的温度。
23.根据权利要求21所述的装置,其中所述控制器进一步经配置以通过调节所述冷却系统温度和所述加热系统温度而产生第三操作配置;其中,在所述第三操作配置中:所述腔室的所述内表面、所述卡盘外壳的所述外表面和所述晶片支撑区域具有介于30℃与50℃之间的温度。
24.根据权利要求21所述的装置,其中所述控制器进一步经配置以维持温度概况,温度变化跨越由所述晶片支撑区域支撑的晶片小于0.35℃。
25.一种半导体制造模块,所述模块包括:
腔室;所述腔室包含:
内表面;
顶板;以及
底板;
晶片支撑装置,所述晶片支撑装置含于所述腔室中,且包含:
卡盘;其中所述卡盘:
经配置以在处理期间通过位于所述卡盘的顶表面上的晶片支撑区域来支撑具有标称直径D的半导体晶片;
总体形状为实质上圆柱形;且
具有大于D的标称直径;以及
外壳,所述外壳包含外表面和底板;其中:
所述外表面为实质上圆柱形;
所述卡盘实质上位于由所述外表面界定的容积内;且
所述外表面界定所述底板的外边缘;
喷淋头,所述喷淋头位于所述晶片支撑区域上方;
气体分配系统,所述气体分配系统经配置以通过所述喷淋头将反应物递送到所述腔室;
加热系统,所述加热系统经配置以加热所述腔室的所述内表面、所述顶板和所述底板;
冷却系统,所述冷却系统经配置以冷却所述卡盘;以及
温度控制器,其中所述温度控制器经配置以:
控制由所述加热系统供应的加热量;
控制由所述冷却系统供应的冷却量;且
通过调节所述冷却系统和所述加热系统而提供第一操作配置;其中,在所述第一操作配置中:
所述腔室的所述内表面具有至少为40℃的温度;
所述晶片支撑区域具有介于-10℃与+10℃之间的温度;且
所述外壳的所述外表面具有比所述晶片支撑区域的所述温度高至少5℃的温度。
26.根据权利要求25所述的半导体制造模块,其中:
所述喷淋头包含第一充气部和第二充气部,其中所述第一充气部和所述第二充气部在所述喷淋头内彼此流体隔离,且各自配备有气体分配孔,所述气体分配孔用位于所述晶片支撑区域与所述喷淋头之间的处理容积而将两个充气部流体连接;且
其中所述气体分配系统进一步经配置以:
通过第一喷淋头供应管线将一种或一种以上第一反应物递送到所述喷淋头的所述第一充气部;且
通过第二喷淋头供应管线将一种或一种以上第二反应物递送到所述喷淋头的所述第二充气部。
27.根据权利要求26所述的半导体制造模块,其中:
所述第一喷淋头供应管线经配置以待由第一喷淋头供应管线加热器加热;
所述第二喷淋头供应管线经配置以待由第二喷淋头供应管线加热器加热;
所述温度控制器进一步经配置以控制由所述第一喷淋头供应管线加热器和所述第二喷淋头供应管线加热器供应的加热量。
28.根据权利要求27所述的半导体制造模块,其中所述第一喷淋头供应管线加热器、所述第二喷淋头供应管线加热器和所述温度控制器经配置以将所述第一喷淋头供应管线和所述第二喷淋头供应管线加热到至少为100℃的温度。
29.根据权利要求26所述的半导体制造模块,其中所述卡盘经配置以围绕所述晶片支撑区域的周界供应吹扫气体。
30.根据权利要求29所述的半导体制造模块,其中:
所述晶片支撑区域包含复数个突起,所述突起经配置以使由所述晶片支撑区域支撑的半导体晶片从所述卡盘偏离介于15微米与250微米之间的距离;
所述卡盘经配置以通过圆形图案的吹扫气体孔围绕所述晶片支撑区域的所述周界供应所述吹扫气体;
所述圆形图案具有比所述标称直径小约1mm到2mm的直径;且
所述吹扫气体孔具有小于所述圆形图案与所述标称直径之间的直径差异的出口直径。
31.根据权利要求26所述的半导体制造模块,其中所述晶片支撑装置进一步包含:
插入于所述卡盘与所述外壳之间的介电中断区;其中:
所述介电中断区跨越所述外壳的所述底板的中心外壳区域与所述外壳实质热接触;
所述介电中断区跨越所述底板的除所述中心外壳区域之外的部分不实质热接触;
所述介电中断区跨越中心卡盘区域与所述卡盘实质热接触;
所述介电中断区跨越所述卡盘的除所述中心卡盘区域之外的部分不与该卡盘实质热接触;且
当沿着所述外壳外表面的中心轴观看时,所述中心卡盘区域和所述中心外壳区域具有小于所述卡盘的所述直径的50%的标称大小。
32.根据权利要求31所述的半导体制造模块,其中:
所述介电中断区与所述外壳的面向彼此的表面,除了这些面跨越所述中心外壳区域而彼此接触的部分之外,彼此隔开介于0.015″与0.050″之间的间隙;且
所述介电中断区与所述卡盘的面向彼此的表面,除了这些面跨越所述中心卡盘区域而彼此接触的部分之外,彼此隔开介于0.015″与0.050″之间的间隙。
33.根据权利要求31所述的半导体制造模块,其中所述晶片支撑装置进一步包含保护环,其中所述保护环:
由所述卡盘支撑;
实质上轴对称;
具有小于所述卡盘的所述标称直径的内径;且
沿着卡盘中心轴从所述卡盘偏离15微米到250微米,其中从所述卡盘的所述偏离是由柱体提供,所述柱体跨越没有从所述卡盘偏离的重叠部分而与所述卡盘热接触;且
其中所述保护环与所述介电中断区的面向彼此的表面隔开0.015″到0.050″的间隙,且所述保护环与所述外壳的面向彼此的表面隔开0.015″到0.050″的间隙。
34.根据权利要求25所述的半导体制造模块,其中选自由所述腔室、所述卡盘、所述外壳和所述喷淋头组成的群组的一个或一个以上组件在暴露于所述腔室内的反应物的区域中至少部分涂有疏水性涂层。
35.根据权利要求34所述的半导体制造模块,其中所述疏水性涂层为TiO2
CN201110442926.2A 2010-12-20 2011-12-20 用于半导体制造中的可流动沉积的系统和装置 Active CN102543831B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201061425150P 2010-12-20 2010-12-20
US61/425,150 2010-12-20
US13/329,078 US9719169B2 (en) 2010-12-20 2011-12-16 System and apparatus for flowable deposition in semiconductor fabrication
US13/329,078 2011-12-16

Publications (2)

Publication Number Publication Date
CN102543831A true CN102543831A (zh) 2012-07-04
CN102543831B CN102543831B (zh) 2016-04-13

Family

ID=46315677

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201110442926.2A Active CN102543831B (zh) 2010-12-20 2011-12-20 用于半导体制造中的可流动沉积的系统和装置

Country Status (4)

Country Link
US (1) US9719169B2 (zh)
KR (1) KR102013456B1 (zh)
CN (1) CN102543831B (zh)
TW (1) TWI643290B (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105386012A (zh) * 2014-08-22 2016-03-09 朗姆研究公司 用于在低温ald系统中的稳定沉积率控制的方法和装置
CN106449366A (zh) * 2016-11-09 2017-02-22 上海华力微电子有限公司 一种解决刻蚀腔体内静电吸盘表面颗粒污染的方法
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
CN111033682A (zh) * 2019-11-27 2020-04-17 重庆康佳光电技术研究院有限公司 一种半导体真空处理设备及处理半导体的方法
CN111902926A (zh) * 2018-03-29 2020-11-06 应用材料公司 静电吸盘和基板处理设备
CN114078680A (zh) * 2020-08-20 2022-02-22 中微半导体设备(上海)股份有限公司 等离子体处理装置
CN115537765A (zh) * 2022-09-27 2022-12-30 盛吉盛(宁波)半导体科技有限公司 等离子体化学气相沉积装置和小尺寸沟槽填充方法

Families Citing this family (516)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
JP2013516763A (ja) * 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
JP5544343B2 (ja) * 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
DE102012001267A1 (de) * 2012-01-23 2013-07-25 Carl Zeiss Microscopy Gmbh Partikelstrahlsystem mit Zuführung von Prozessgas zu einem Bearbeitungsort
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
TWI448706B (zh) * 2012-12-24 2014-08-11 Chroma Ate Inc 具有乾燥環境之測試平台
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9255326B2 (en) * 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9859145B2 (en) * 2013-07-17 2018-01-02 Lam Research Corporation Cooled pin lifter paddle for semiconductor substrate processing apparatus
US10170297B2 (en) 2013-08-22 2019-01-01 Versum Materials Us, Llc Compositions and methods using same for flowable oxide deposition
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9847222B2 (en) * 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
KR102150728B1 (ko) * 2013-12-16 2020-09-01 에스케이실트론 주식회사 공정 챔버의 세정 장치 및 세정 방법
US20150167160A1 (en) * 2013-12-16 2015-06-18 Applied Materials, Inc. Enabling radical-based deposition of dielectric films
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) * 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
KR102352739B1 (ko) * 2014-04-09 2022-01-17 어플라이드 머티어리얼스, 인코포레이티드 개선된 유동 균일성/가스 컨덕턴스로 가변 프로세스 볼륨을 처리하기 위한 대칭적 챔버 본체 설계 아키텍처
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
JP6225837B2 (ja) 2014-06-04 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US20160068961A1 (en) * 2014-09-05 2016-03-10 Aixtron Se Method and Apparatus For Growing Binary, Ternary and Quaternary Materials on a Substrate
US9627608B2 (en) 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP2016081945A (ja) * 2014-10-09 2016-05-16 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
US10490429B2 (en) 2014-11-26 2019-11-26 Applied Materials, Inc. Substrate carrier using a proportional thermal fluid delivery system
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
JP2016134569A (ja) * 2015-01-21 2016-07-25 株式会社東芝 半導体製造装置
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR101670382B1 (ko) * 2015-03-10 2016-10-28 우범제 퍼지가스 분사 플레이트 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102363241B1 (ko) * 2015-03-27 2022-02-16 삼성전자주식회사 플라즈마 강화 화학기상 증착 장비 및 그 동작 방법
US20160329213A1 (en) * 2015-05-04 2016-11-10 Lam Research Corporation Highly selective deposition of amorphous carbon as a metal diffusion barrier layer
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US10515786B2 (en) * 2015-09-25 2019-12-24 Tokyo Electron Limited Mounting table and plasma processing apparatus
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10518985B2 (en) * 2016-05-31 2019-12-31 Wood's Powr-Grip Co., Inc. Control systems and methods for vacuum lift equipment
WO2017223323A1 (en) * 2016-06-25 2017-12-28 Applied Materials, Inc. Flowable amorphous silicon films for gapfill applications
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9773643B1 (en) * 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
WO2018187546A1 (en) * 2017-04-07 2018-10-11 Applied Materials, Inc. Gapfill using reactive anneal
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
WO2019079281A1 (en) 2017-10-17 2019-04-25 Molekule Inc. SYSTEM AND METHOD FOR PHOTOELECTROCHEMICAL AIR PURIFICATION
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP2021505766A (ja) 2017-12-08 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation 遠隔プラズマ膜蒸着を可能にするためにラジカルおよび前駆体ガスを下流チャンバに供給するための改良された孔パターンを備える統合シャワーヘッド
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7066438B2 (ja) * 2018-02-13 2022-05-13 東京エレクトロン株式会社 冷却システム
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR20210007032A (ko) * 2018-06-08 2021-01-19 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 강화 화학 기상 증착 챔버에서 기생 플라즈마를 억제하기 위한 장치
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
KR20210076999A (ko) 2018-11-14 2021-06-24 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
SG11202108355VA (en) * 2019-02-05 2021-08-30 Applied Materials Inc Multi channel splitter spool
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
WO2020263750A1 (en) * 2019-06-27 2020-12-30 Lam Research Corporation Apparatus for photoresist dry deposition
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US20210003922A1 (en) * 2019-07-01 2021-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus, system and method
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN110468390B (zh) * 2019-08-02 2021-06-29 北方夜视技术股份有限公司 超大长径比微通道板通道内壁制备功能膜层的方法
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102539806B1 (ko) 2020-01-15 2023-06-05 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
US11097525B1 (en) 2020-02-03 2021-08-24 Molekule, Inc. Filter media and system and method for manufacture thereof
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TWI722944B (zh) * 2020-07-20 2021-03-21 天虹科技股份有限公司 薄膜沉積設備及薄膜沉積方法
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
WO2022047421A1 (en) 2020-08-31 2022-03-03 Molekule, Inc. Air filter and filter media thereof
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11961716B2 (en) 2021-12-09 2024-04-16 Industrial Technology Research Institute Atomic layer deposition method
KR20230103310A (ko) 2021-12-31 2023-07-07 세메스 주식회사 기판 지지 유닛 및 플라즈마 처리 장치

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999022043A1 (en) * 1997-10-24 1999-05-06 Quester Technology, Inc. New deposition systems and processes for transport polymerization and chemical vapor deposition
US5970383A (en) * 1997-12-17 1999-10-19 Advanced Micro Devices Method of manufacturing a semiconductor device with improved control of deposition layer thickness
CN1655330A (zh) * 2004-02-05 2005-08-17 艾格瑞系统有限公司 在氟化氧化物沉积工艺中减少半导体器件污染
US20080318439A1 (en) * 2007-06-22 2008-12-25 Renesas Technology Corp. Method of manufacturing semiconductor device

Family Cites Families (193)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1483144A (en) 1975-04-07 1977-08-17 British Petroleum Co Protective films
JPS618945A (ja) 1984-06-25 1986-01-16 Nec Corp 半導体集積回路装置
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
DE3787533T2 (de) 1987-12-21 1994-01-20 Union Carbide Corp Verwendung von superkritischen Flüssigkeiten als Verdünner beim Aufsprühen von Überzügen.
CA2009518C (en) 1990-02-07 2000-10-17 Luc Ouellet Spin-on glass processing technique for the fabrication of semiconductor device
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5932289A (en) 1991-05-28 1999-08-03 Trikon Technologies Limited Method for filling substrate recesses using pressure and heat treatment
US5387546A (en) 1992-06-22 1995-02-07 Canon Sales Co., Inc. Method for manufacturing a semiconductor device
CA2137928C (en) 1992-07-04 2002-01-29 Christopher David Dobson A method of treating a semiconductor wafer
KR100238629B1 (ko) * 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
JP3165938B2 (ja) * 1993-06-24 2001-05-14 東京エレクトロン株式会社 ガス処理装置
CA2131668C (en) 1993-12-23 1999-03-02 Carol Galli Isolation structure using liquid phase oxide deposition
US5858880A (en) 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
US5534731A (en) 1994-10-28 1996-07-09 Advanced Micro Devices, Incorporated Layered low dielectric constant technology
JPH08153784A (ja) 1994-11-28 1996-06-11 Nec Corp 半導体装置の製造方法
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5960300A (en) 1994-12-20 1999-09-28 Matsushita Electric Industrial Co., Ltd. Method of manufacturing semiconductor device
JPH08279495A (ja) 1995-02-07 1996-10-22 Seiko Epson Corp プラズマ処理装置及びその方法
US5796074A (en) * 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
US5747381A (en) 1996-02-12 1998-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Technique for the removal of residual spin-on-glass (SOG) after full SOG etchback
US6001183A (en) * 1996-06-10 1999-12-14 Emcore Corporation Wafer carriers for epitaxial growth processes
KR0183886B1 (ko) 1996-06-17 1999-04-15 김광호 반도체장치의 트렌치 소자분리 방법
US5775808A (en) * 1996-06-19 1998-07-07 Applied Materials, Inc. Apparatus for real-time, in situ measurement of temperature and a method of fabricating and using same
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5807785A (en) 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
GB2331626B (en) 1996-08-24 2001-06-13 Trikon Equip Ltd Method and apparatus for depositing a planarized dielectric layer on a semiconductor substrate
US5879574A (en) * 1996-11-13 1999-03-09 Applied Materials, Inc. Systems and methods for detecting end of chamber clean in a thermal (non-plasma) process
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
US5911833A (en) * 1997-01-15 1999-06-15 Lam Research Corporation Method of in-situ cleaning of a chuck within a plasma chamber
US6114224A (en) 1997-01-21 2000-09-05 Advanced Micro Devices System and method for using N2 O plasma treatment to eliminate defects at an interface between a stop layer and an integral layered dielectric
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6077764A (en) 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US5903428A (en) * 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US5866945A (en) 1997-10-16 1999-02-02 Advanced Micro Devices Borderless vias with HSQ gap filled patterned metal layers
TW354417B (en) 1997-10-18 1999-03-11 United Microelectronics Corp A method for forming a planarized dielectric layer
GB9801359D0 (en) 1998-01-23 1998-03-18 Poulton Limited Methods and apparatus for treating a substrate
GB9801655D0 (en) 1998-01-28 1998-03-25 Trikon Equip Ltd Method and apparatus for treating a substrate
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6218268B1 (en) 1998-05-05 2001-04-17 Applied Materials, Inc. Two-step borophosphosilicate glass deposition process and related devices and apparatus
JP3530021B2 (ja) * 1998-05-25 2004-05-24 株式会社日立製作所 真空処理装置及びその処理台
TW405223B (en) 1998-07-28 2000-09-11 United Microelectronics Corp Method for avoiding the poisoning at the trench of the dual damascene structure and the dielectric hole
US6316052B1 (en) 1998-08-19 2001-11-13 Anelva Corporation Method for the surface treatment of vacuum materials and surface treated vacuum
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6251759B1 (en) 1998-10-03 2001-06-26 Applied Materials, Inc. Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6583638B2 (en) * 1999-01-26 2003-06-24 Trio-Tech International Temperature-controlled semiconductor wafer chuck system
JP2002538604A (ja) 1999-02-26 2002-11-12 トリコン ホールディングス リミティド ポリマー層の処理方法
ES2261210T3 (es) 1999-06-21 2006-11-16 Kuhnil Pharmaceutical Co., Ltd. Comoposicion anestesica para inyeccion intravenosa que comprende propofol.
GB2354528B (en) 1999-09-25 2004-03-10 Trikon Holdings Ltd Delivery of liquid precursors to semiconductor processing reactors
US6114259A (en) 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
EP1077274A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes
EP1077479A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
US6300219B1 (en) 1999-08-30 2001-10-09 Micron Technology, Inc. Method of forming trench isolation regions
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
TW439194B (en) 2000-01-24 2001-06-07 United Microelectronics Corp Manufacturing method of shallow trench isolation region
US6776875B2 (en) 2000-02-28 2004-08-17 Applied Materials Inc. Semiconductor substrate support assembly having lobed o-rings therein
EP1139404A1 (en) 2000-03-31 2001-10-04 Applied Materials, Inc. Low thermal budget solution for PMD application using SACVD layer
US6309933B1 (en) 2000-06-05 2001-10-30 Chartered Semiconductor Manufacturing Ltd. Method of fabricating T-shaped recessed polysilicon gate transistors
KR20010111058A (ko) * 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
US6700099B2 (en) * 2000-07-10 2004-03-02 Temptronic Corporation Wafer chuck having thermal plate with interleaved heating and cooling elements, interchangeable top surface assemblies and hard coated layer surfaces
JP4654544B2 (ja) 2000-07-12 2011-03-23 日産化学工業株式会社 リソグラフィー用ギャップフィル材形成組成物
US6323123B1 (en) 2000-09-06 2001-11-27 United Microelectronics Corp. Low-K dual damascene integration process
US6439244B1 (en) * 2000-10-13 2002-08-27 Promos Technologies, Inc. Pedestal design for a sputter clean chamber to improve aluminum gap filling ability
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
KR100354442B1 (ko) 2000-12-11 2002-09-28 삼성전자 주식회사 반도체 장치의 스핀 온 글래스 절연막 형성 방법
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
JP4644943B2 (ja) * 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
US6858195B2 (en) 2001-02-23 2005-02-22 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material
AU2002240261A1 (en) * 2001-03-02 2002-09-19 Tokyo Electron Limited Method and apparatus for active temperature control of susceptors
US7001778B2 (en) 2001-03-09 2006-02-21 Symetrix Corporation Method of making layered superlattice material with improved microstructure
US6902947B2 (en) 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
US6828162B1 (en) 2001-06-28 2004-12-07 Advanced Micro Devices, Inc. System and method for active control of BPSG deposition
WO2003021642A2 (en) 2001-08-31 2003-03-13 Applied Materials, Inc. Method and apparatus for processing a wafer
US6620745B2 (en) 2001-10-19 2003-09-16 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a blocking layer
GB2395493B (en) 2001-11-16 2005-03-09 Trikon Holdings Ltd Forming low K dielectric layers
US20030121898A1 (en) * 2001-11-26 2003-07-03 Tom Kane Heated vacuum support apparatus
AU2003215357A1 (en) 2002-02-22 2003-09-09 Terrasimco Inc. Bladder-based apparatus and method for dispensing coatings
TW538461B (en) 2002-02-26 2003-06-21 Silicon Integrated Sys Corp Device for depositing an insulating layer in a trench
DE10211544C1 (de) 2002-03-15 2003-11-27 Infineon Technologies Ag Verfahren zur Herstellung einer Kondensatorelektrode eines Grabenkondensators aus flüssiger Phase
EP1490529A1 (en) 2002-03-28 2004-12-29 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US6743736B2 (en) 2002-04-11 2004-06-01 Micron Technology, Inc. Reactive gaseous deposition precursor feed apparatus
US6908862B2 (en) 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
US7056560B2 (en) 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US6909300B2 (en) 2002-05-09 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd Method for fabricating microelectronic fabrication electrical test apparatus electrical probe tip having pointed tips
US20060014384A1 (en) 2002-06-05 2006-01-19 Jong-Cheol Lee Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
US6812135B2 (en) 2002-10-30 2004-11-02 Taiwan Semiconductor Manufacturing Company, Ltd Adhesion enhancement between CVD dielectric and spin-on low-k silicate films
AU2003296988A1 (en) 2002-12-19 2004-07-29 Matrix Semiconductor, Inc An improved method for making high-density nonvolatile memory
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
KR20040074348A (ko) 2003-02-17 2004-08-25 삼성전자주식회사 박막 형성 방법 및 이를 이용한 트렌치 소자 분리막의형성 방법
US7091453B2 (en) * 2003-02-27 2006-08-15 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus by means of light irradiation
JP2004292636A (ja) 2003-03-27 2004-10-21 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜、及び半導体装置
TW200505966A (en) 2003-04-02 2005-02-16 Dow Global Technologies Inc Organosilicate resin formulation for use in microelectronic devices
US7718930B2 (en) * 2003-04-07 2010-05-18 Tokyo Electron Limited Loading table and heat treating apparatus having the loading table
JP4222086B2 (ja) 2003-04-07 2009-02-12 東京エレクトロン株式会社 熱処理装置
US7238604B2 (en) 2003-04-24 2007-07-03 Intel Corporation Forming thin hard mask over air gap or porous dielectric
US6693050B1 (en) 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
US20040229452A1 (en) 2003-05-15 2004-11-18 Johnston Steven W. Densifying a relatively porous material
US7074727B2 (en) 2003-07-09 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Process for improving dielectric properties in low-k organosilicate dielectric material
US7122481B2 (en) 2003-07-25 2006-10-17 Intel Corporation Sealing porous dielectrics with silane coupling reagents
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US7250370B2 (en) 2003-09-19 2007-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Two step post-deposition treatment of ILD layer for a lower dielectric constant and improved mechanical properties
KR100673884B1 (ko) 2003-09-22 2007-01-25 주식회사 하이닉스반도체 습식 세정에 의한 어택을 방지할 수 있는 반도체 장치제조 방법
KR100645458B1 (ko) 2003-10-02 2006-11-13 주식회사 하이닉스반도체 습식 세정에 의한 어택을 방지할 수 있는 반도체 장치제조 방법
KR100571658B1 (ko) 2003-11-21 2006-04-17 주식회사 하이닉스반도체 반도체소자 제조 방법
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
CN100452306C (zh) * 2004-01-30 2009-01-14 东京毅力科创株式会社 具有流体间隙的衬底保持器和制造衬底保持器的方法
JP2005260040A (ja) 2004-02-12 2005-09-22 Sony Corp ドーピング方法、半導体装置の製造方法および電子応用装置の製造方法
US20050229849A1 (en) * 2004-02-13 2005-10-20 Applied Materials, Inc. High productivity plasma processing chamber
JP2005229075A (ja) 2004-02-16 2005-08-25 Tokyo Electron Ltd 積層膜の改質方法及び積層膜
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7697260B2 (en) * 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7504727B2 (en) 2004-05-14 2009-03-17 International Business Machines Corporation Semiconductor interconnect structure utilizing a porous dielectric material as an etch stop layer between adjacent non-porous dielectric materials
US7033945B2 (en) 2004-06-01 2006-04-25 Applied Materials Gap filling with a composite layer
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7153783B2 (en) 2004-07-07 2006-12-26 Honeywell International Inc. Materials with enhanced properties for shallow trench isolation/premetal dielectric applications
KR100546161B1 (ko) 2004-07-13 2006-01-24 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 제조 방법
US7670436B2 (en) * 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
US7271112B1 (en) 2004-12-30 2007-09-18 Novellus Systems, Inc. Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry
US7135402B2 (en) 2005-02-01 2006-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Sealing pores of low-k dielectrics using CxHy
US7202564B2 (en) 2005-02-16 2007-04-10 International Business Machines Corporation Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
US8598044B2 (en) 2005-03-25 2013-12-03 Renesas Electronics Corporation Method of fabricating a semiconductor device
US7585704B2 (en) 2005-04-01 2009-09-08 International Business Machines Corporation Method of producing highly strained PECVD silicon nitride thin films at low temperature
US7575633B2 (en) 2005-05-17 2009-08-18 Nordson Corporation Fluid dispenser with positive displacement pump
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7566655B2 (en) 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070054505A1 (en) 2005-09-02 2007-03-08 Antonelli George A PECVD processes for silicon dioxide films
WO2007080944A1 (ja) 2006-01-13 2007-07-19 Tokyo Electron Limited 多孔質膜の成膜方法およびコンピュータ可読記録媒体
JP2007258585A (ja) * 2006-03-24 2007-10-04 Tokyo Electron Ltd 基板載置機構および基板処理装置
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
CN101079391B (zh) 2006-05-26 2012-01-25 中芯国际集成电路制造(上海)有限公司 用于半导体器件的具有高间隙填充能力的方法
US20070277734A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
JP2009539268A (ja) 2006-05-30 2009-11-12 アプライド マテリアルズ インコーポレイテッド シリコン含有前駆物質と原子酸素を用いた高品質流動状二酸化シリコンの化学気相堆積
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7948034B2 (en) 2006-06-22 2011-05-24 Suss Microtec Lithography, Gmbh Apparatus and method for semiconductor bonding
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
JP2008028058A (ja) 2006-07-20 2008-02-07 Tokyo Electron Ltd 半導体装置の製造方法、半導体装置の製造装置、半導体装置及び記憶媒体
US7727906B1 (en) 2006-07-26 2010-06-01 Novellus Systems, Inc. H2-based plasma treatment to eliminate within-batch and batch-to-batch etch drift
US20080054466A1 (en) 2006-08-31 2008-03-06 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing semiconductor device
KR100839529B1 (ko) 2006-09-29 2008-06-19 주식회사 하이닉스반도체 반도체소자의 소자분리막 형성 방법
US7589950B2 (en) * 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US20080274626A1 (en) 2007-05-04 2008-11-06 Frederique Glowacki Method for depositing a high quality silicon dielectric film on a germanium substrate with high quality interface
US7942969B2 (en) * 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
KR101284146B1 (ko) 2007-07-19 2013-07-10 삼성전자주식회사 트렌치 소자분리 영역을 갖는 반도체소자 및 그 제조방법
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
CN101569000B (zh) * 2007-09-03 2011-07-13 佳能安内华股份有限公司 衬底热处理设备和衬底热处理方法
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
JP5014080B2 (ja) * 2007-11-19 2012-08-29 コバレントマテリアル株式会社 面状ヒータ
WO2009085098A1 (en) 2007-12-19 2009-07-09 Lam Research Corporation Vapor phase repair and pore sealing of low-k dielectric materials
KR20100103627A (ko) * 2007-12-21 2010-09-27 어플라이드 머티어리얼스, 인코포레이티드 기판의 온도를 제어하기 위한 방법 및 장치
US8338315B2 (en) 2008-02-26 2012-12-25 Axcelis Technologies, Inc. Processes for curing silicon based low-k dielectric materials
KR100945927B1 (ko) 2008-03-05 2010-03-05 주식회사 하이닉스반도체 반도체 메모리장치의 제조방법
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20100000684A1 (en) * 2008-07-03 2010-01-07 Jong Yong Choi Dry etching apparatus
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
US7804130B1 (en) 2008-08-26 2010-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned V-channel MOSFET
JP5195175B2 (ja) 2008-08-29 2013-05-08 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5172567B2 (ja) 2008-09-25 2013-03-27 株式会社東芝 膜形成用組成物、絶縁膜、半導体装置およびその製造方法
US20100109155A1 (en) 2008-11-05 2010-05-06 Chartered Semiconductor Manufacturing, Ltd. Reliable interconnect integration
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
KR101561862B1 (ko) 2008-12-26 2015-10-21 삼성전자 주식회사 반도체 집적 회로 장치의 제조 방법
JP5262878B2 (ja) 2009-03-17 2013-08-14 東京エレクトロン株式会社 載置台構造及びプラズマ成膜装置
JP5225957B2 (ja) 2009-09-17 2013-07-03 東京エレクトロン株式会社 成膜方法および記憶媒体
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8329587B2 (en) 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
US8728958B2 (en) 2009-12-09 2014-05-20 Novellus Systems, Inc. Gap fill integration
US7947551B1 (en) 2010-09-28 2011-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a shallow trench isolation structure
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
KR20130131406A (ko) 2010-12-27 2013-12-03 다우 코닝 코포레이션 경화성 실리케이트-실록산 혼합된 매트릭스 멤브레인 조성물
US8664287B2 (en) 2011-05-16 2014-03-04 Eastman Kodah Company Photocuring methods and articles prepared therefrom
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9224594B2 (en) 2013-11-18 2015-12-29 Intermolecular, Inc. Surface preparation with remote plasma
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999022043A1 (en) * 1997-10-24 1999-05-06 Quester Technology, Inc. New deposition systems and processes for transport polymerization and chemical vapor deposition
US5970383A (en) * 1997-12-17 1999-10-19 Advanced Micro Devices Method of manufacturing a semiconductor device with improved control of deposition layer thickness
CN1655330A (zh) * 2004-02-05 2005-08-17 艾格瑞系统有限公司 在氟化氧化物沉积工艺中减少半导体器件污染
US20080318439A1 (en) * 2007-06-22 2008-12-25 Renesas Technology Corp. Method of manufacturing semiconductor device

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105386012A (zh) * 2014-08-22 2016-03-09 朗姆研究公司 用于在低温ald系统中的稳定沉积率控制的方法和装置
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US11075127B2 (en) 2016-08-09 2021-07-27 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
CN106449366A (zh) * 2016-11-09 2017-02-22 上海华力微电子有限公司 一种解决刻蚀腔体内静电吸盘表面颗粒污染的方法
CN111902926A (zh) * 2018-03-29 2020-11-06 应用材料公司 静电吸盘和基板处理设备
CN111033682A (zh) * 2019-11-27 2020-04-17 重庆康佳光电技术研究院有限公司 一种半导体真空处理设备及处理半导体的方法
WO2021102712A1 (zh) * 2019-11-27 2021-06-03 重庆康佳光电技术研究院有限公司 一种半导体真空处理设备及处理半导体的方法
CN111033682B (zh) * 2019-11-27 2021-11-02 重庆康佳光电技术研究院有限公司 一种半导体真空处理设备及处理半导体的方法
CN114078680A (zh) * 2020-08-20 2022-02-22 中微半导体设备(上海)股份有限公司 等离子体处理装置
CN114078680B (zh) * 2020-08-20 2023-09-29 中微半导体设备(上海)股份有限公司 等离子体处理装置
CN115537765A (zh) * 2022-09-27 2022-12-30 盛吉盛(宁波)半导体科技有限公司 等离子体化学气相沉积装置和小尺寸沟槽填充方法

Also Published As

Publication number Publication date
CN102543831B (zh) 2016-04-13
TW201719801A (zh) 2017-06-01
TWI643290B (zh) 2018-12-01
KR102013456B1 (ko) 2019-08-22
US20120161405A1 (en) 2012-06-28
US9719169B2 (en) 2017-08-01
KR20120069597A (ko) 2012-06-28

Similar Documents

Publication Publication Date Title
CN102543831B (zh) 用于半导体制造中的可流动沉积的系统和装置
KR102648013B1 (ko) 3차원 ic 트랜지스터들의 핀-형상 채널 영역들을 도핑하기 위한 캡핑된 ald 막들
CN101454886B (zh) 用于沉积与硬化低k膜层用于充填间隙与同形膜层应用的方法
US7943531B2 (en) Methods for forming a silicon oxide layer over a substrate
TWI440126B (zh) 配衡之基板支撐件
KR101329285B1 (ko) 에스티아이를 위한 실리콘 디옥사이드의 고품질 유전 필름의 제조: 하프 ⅱ― 원격 플라즈마 향상된 증착 공정을 위한 상이한 실록산―기재 전구체의 용도
KR101515082B1 (ko) 포토레지스트 또는 건식 에칭이 필요없는 패턴화된 하드 마스크 막의 형성(rfp)을 위한 공정 시퀀스
KR102500194B1 (ko) 가스 분배 및 개별적인 펌핑을 갖는 배치 경화 챔버
US20060127068A1 (en) Method and apparatus for silicon oxide deposition on large area substrates
KR20150086197A (ko) 기상 증착된 막들의 결함 감소를 위한 방법 및 장치
JP2014514729A (ja) 酸化ケイ素多重層を使用したパターンローディングの低減
CN103993293B (zh) 带温度控制的多室喷头
US10903070B2 (en) Asymmetric wafer bow compensation by chemical vapor deposition
CN102105312B (zh) 用于化学处置和热处置的高产量处理系统及操作方法
US20120015113A1 (en) Methods for forming low stress dielectric films
US20180135171A1 (en) Hydrogen partial pressure control in a vacuum process chamber
CN107460451A (zh) 自居中底座加热器
TW201237994A (en) System and apparatus for flowable deposition in semiconductor fabrication
CN115989573A (zh) 具有用于背面处理的不同站支持特征的多站处理工具
TWI773910B (zh) 具有氣體分佈及個別泵送的批次固化腔室
US20120009347A1 (en) Precise temperature control for teos application by heat transfer fluid

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant