TWI643290B - 在半導體製造中可流動沈積之系統及裝置 - Google Patents

在半導體製造中可流動沈積之系統及裝置 Download PDF

Info

Publication number
TWI643290B
TWI643290B TW105136948A TW105136948A TWI643290B TW I643290 B TWI643290 B TW I643290B TW 105136948 A TW105136948 A TW 105136948A TW 105136948 A TW105136948 A TW 105136948A TW I643290 B TWI643290 B TW I643290B
Authority
TW
Taiwan
Prior art keywords
wafer
chuck
module
gas
dielectric
Prior art date
Application number
TW105136948A
Other languages
English (en)
Other versions
TW201719801A (zh
Inventor
強納森D 孟
尼結修 哈拉德 締
史汪M 漢米爾敦
凱文 馬卓爾
拉其尚 雷歐 琳嘉波莉
Original Assignee
諾菲勒斯系統公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 諾菲勒斯系統公司 filed Critical 諾菲勒斯系統公司
Publication of TW201719801A publication Critical patent/TW201719801A/zh
Application granted granted Critical
Publication of TWI643290B publication Critical patent/TWI643290B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/34Accessory or component

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

描述用於可流動間隙填充之電子器件製造程序、裝置及系統或可流動沈積技術。在一些實施中,描述一種半導體製造腔室,該半導體製造腔室經組態以將一半導體晶圓維持在接近0℃之一溫度,同時將該製造腔室中之大多數其他組件維持在約5℃至10℃或高於晶圓溫度之溫度。

Description

在半導體製造中可流動沈積之系統及裝置
本發明係關於電子器件製造程序、裝置及系統。在特定實施例中,本發明係關於介電間隙填充製程、裝置及系統。
本申請案根據35 U.S.C.§ 119(e)主張2010年12月20日提交之美國臨時專利申請案第61/425,150號之權利,該申請案以引用之方式併入本文中。
在半導體處理中,通常有必要用絕緣材料來填充高縱橫比間隙。對於淺渠溝隔離(STI)、金屬間介電質(IMD)層、層間介電質(ILD)層、前金屬介電質(PMD)層、鈍化層等即為此情況。隨著器件幾何尺寸縮小且熱預算降低,窄寬度、高縱橫比(AR)特徵(例如,AR>6:1)之無空隙填充由於現有沈積製程之限制而變得愈加困難。
本文中論述用於介電間隙填充之新方法、裝置、系統及技術。
在一些實施中,提供一種晶圓支撐裝置。該晶圓支撐裝置可包含卡盤,該卡盤包含頂表面、底表面及外表面。該頂表面與該底表面可實質上平行於彼此,且可偏離彼此。該外表面可位於該頂表面與該底表面之間,且該頂表面可經組態以支撐半導體晶圓。該晶圓支撐裝置亦可包含外殼。該外殼可包含外壁及連接至該外壁之外殼底板。該外 殼底板可包含自該外壁朝向該外殼底板之中心延伸的第一隔熱區。該第一隔熱區可在一直延伸至該外殼底板之中心之前停止。該卡盤之底表面可面向該外殼底板,且該卡盤之底表面及外表面可實質上處於由該外壁及該外殼底板界定之容積內。該卡盤與該外殼可經組態以作為單一總成在半導體製造腔室中一起移動。該卡盤之外表面與該外殼之外壁之間可無實質熱接觸,且跨越該第一隔熱區在該底表面與該外殼底板之間可無實質熱接觸。
在一些其他實施中,當該晶圓支撐裝置曝露於在可流動沈積半導體製造腔室中存在之氣體及環境條件時,可能會發生該卡盤之外表面與該外殼之外壁之間的無實質熱接觸及跨越該第一隔熱區在該底表面與該外殼底板之間的無實質熱接觸。在又一些其他實施中,該等氣體可包含Ar或He,且該等環境條件可包含介於25托與75托之間的壓力。
在一些實施中,在該卡盤之實質上全部外表面與該外殼之外壁之間可存在至少為0.015英寸之間隙,且跨越該第一隔熱區在實質上全部該底表面與該外殼底板之間可存在至少為0.015英寸之間隙。
在一些實施中,該外表面與該外壁可為實質上圓柱形,該外殼底板可為實質上環形且具有內部周界,且該隔熱區可不延伸至該內部周界。
在一些其他實施中,該晶圓支撐裝置可進一步包含介電中斷區(dielectric break)。該介電中斷區可包含外介電壁及與該外介電壁相接之介電底板,且該介電底板可包含自該外介電壁朝向該介電底板之中心延伸之第二隔熱區。該介電底板可插入於該外殼底板與該底表面之間,且該外介電壁可插入於該外壁與該外表面之間。該外壁、該外介電壁與該外表面之間可無實質熱接觸,跨越該第二隔熱區在該底表面與該介電底板之間無實質熱接觸,且跨越該第一隔熱區在該介電底 板與該外殼底板之間無實質熱接觸。
在一些實施中,該外表面與該外介電壁之面向該外表面之表面可隔開介於0.015英寸與0.050英寸之間的間隙,該底表面與該介電底板之在該第二隔熱區內且面向該底表面之表面之間可隔開介於0.015英寸與0.050英寸之間的間隙,該外介電壁與該外壁之面向彼此之表面可隔開介於0.015英寸與0.050英寸之間的間隙,且該介電底板之表面與該外殼底板之在該第一隔熱區中之表面可隔開介於0.015英寸與0.050英寸之間的間隙。
在一些實施中,該卡盤可包含冷卻通道,該冷卻通道位於該頂表面與該底表面之間且沿循穿過該卡盤之迂迴路徑。在一些其他實施中,該迂迴路徑可包含具有不同大小之複數個嵌套之C形區段及複數個跨接區段。每一跨接區段可用另一C形區段之相應末端來接合一個C形區段之末端,且僅一個跨接區段可將任何兩個C形區段接合在一起。
在一些實施中,該卡盤可包含位於該頂表面與該底表面之間的環形吹掃氣體通道。孔之圓形圖案可將該環形吹掃氣體通道與該頂表面流體連接。在又一些其他實施中,該晶圓支撐件可經組態以支撐呈指定標稱直徑之晶圓,且該圓形圖案之直徑可比該標稱直徑小1mm至2mm。
在一些實施中,該晶圓支撐裝置亦可包含保護環。該保護環可為實質上環形的,且內徑大於該頂表面經組態以支撐之半導體晶圓之指定標稱直徑。該保護環可由該卡盤支撐,且可不與該外殼之該外壁或該卡盤之該外表面接觸。在一些其他實施中,該保護環可包含複數個柱體,每一柱體自該保護環之面向該頂表面之表面突出第一量,且突出至該頂表面中之凹部中,該凹部之深度小於該第一量。該保護環之有柱體突出之表面可自該頂表面偏離15微米至250微米。在一些實施 中,在該保護環之最接近該外壁之表面與該外壁之間可存在至少為0.015英寸之間隙。
在一些實施中,複數個凸出突起自該卡盤之頂表面突出。該等突起可配置成同心圓形圖案,且每一突起可自該頂表面突出15微米至250微米。
在一些實施中,該卡盤可進一步包含校準光管及原位光管(in-situ light pipe)。該校準光管之一個末端可終止於該頂表面之中心處,且該原位光管之一個末端可終止於位於該頂表面與該底表面之間的磷光盤(phosphor puck)處。該校準光管與該原位光管可在該卡盤內分開一距離,該距離小於自該外殼底板之中心至該第一隔熱區之距離。
在一些實施中,該卡盤可包含第一板及第二板。該第一板可包含第一頂面及第一底面,且該第二板可包含第二頂面及第二底面。該第一頂面可結合至該第二底面,且該冷卻通道可凹入至該第二底面中。該第一板可包含兩個通孔,每一通孔與該冷卻通道之不同終端(terminal end)對應,且該第一板與該第二板可對準,使得每一通孔與該冷卻通道之對應終端對準。在又一些其他實施中,該卡盤可進一步包含第三板,該第三板具有第三頂面及第三底面。該第三底面可結合至該第二頂面,且該第三底面可包含環形吹掃氣體通道及與該環形吹掃氣體通道流體連接之一或多個吹掃氣體供應通道。孔之圓形圖案可將該環形吹掃氣體通道與該第三頂面流體連接,且吹掃氣體入口可穿過該第一板及該第二板,且將該一或多個吹掃氣體供應通道與該第一底面流體連接。
在一些實施中,該卡盤及該外殼可主要由鋁製成,且該介電中斷區可主要由Al2O3製成。在一些其他實施中,該卡盤可主要由3003鋁製成,且該頂表面可塗有YF3
在一些實施中,可提供一種用於半導體製造之裝置。該用於半導 體製造之裝置可包含腔室、卡盤、卡盤外殼及控制器。該腔室可包含加熱器系統及實質上圓柱形之內表面。該卡盤可包含不受該卡盤外殼妨礙之晶圓支撐區域、實質上圓柱形之外表面及冷卻系統,且可實質上含於該卡盤外殼中且由該卡盤外殼支撐。該卡盤外殼可包含實質上圓柱形之外表面,且可相對於該腔室移動。該控制器可經組態以控制該加熱器系統及該冷卻系統,且藉由調節冷卻系統溫度及加熱系統溫度而產生第一操作組態。在該第一操作組態中,該腔室之內表面可具有至少為40℃之溫度,該晶圓支撐區域可具有介於-10℃與+10℃之間的溫度,且該卡盤外殼之外表面可具有比該晶圓支撐區域之溫度高至少5℃之溫度。
在一些其他實施中,該控制器可進一步經組態以藉由調節該冷卻系統溫度及該加熱系統溫度而產生第二操作組態。在該第二操作組態中,該腔室之內表面、該卡盤外殼之外表面及該晶圓支撐區域可具有大於70℃之溫度。
在一些其他實施中,該控制器可進一步經組態以藉由調節該冷卻系統溫度及該加熱系統溫度而產生第三操作組態。在該第三操作組態中,該腔室之內表面、該卡盤外殼之外表面及該晶圓支撐區域可具有介於30℃與50℃之間的溫度。在一些實施中,該控制器可進一步經組態以維持具有溫度變化之溫度概況,該溫度變化跨越由該晶圓支撐區域支撐之晶圓小於0.35℃。
在一些實施中,可提供一種半導體製造模組。該半導體製造模組可包含腔室、晶圓支撐裝置、噴淋頭、氣體分配系統、加熱系統、冷卻系統及溫度控制器。該腔室可包含內表面、頂板及底板。該晶圓支撐裝置可含於該腔室中,且可包含卡盤及外殼。該卡盤可經組態以在處理期間藉由位於該卡盤之頂表面上之晶圓支撐區域來支撐具有標稱直徑D之半導體晶圓,總體形狀可為實質上圓柱形,且具有大於D之 標稱直徑。該外殼可包含外表面及底板。該外表面可為實質上圓柱形,可界定該底板之外邊緣。該卡盤可實質上位於由該外表面界定之容積內。該噴淋頭可位於該晶圓支撐區域上方。該氣體分配系統可經組態以藉由噴淋頭將反應物遞送至該腔室。該加熱系統可經組態以加熱該腔室之該內表面、該頂板及該底板,且該冷卻系統可經組態以冷卻該卡盤。該溫度控制器可經組態以控制由該加熱系統供應之加熱量及由該冷卻系統供應之冷卻量。該溫度控制器亦可經組態以藉由調節該冷卻系統及該加熱系統而提供第一操作組態。在該第一操作組態中,該腔室之內表面可具有至少為40℃之溫度,該晶圓支撐區域可具有介於-10℃與+10℃之間的溫度,且該外殼之外表面可具有比該晶圓支撐區域之溫度高至少5℃之溫度。
在該半導體製造模組之一些實施中,該噴淋頭可包含第一充氣部及第二充氣部。該第一充氣部及該第二充氣部可在該噴淋頭內彼此流體隔離,且可各自配備有氣體分配孔,該等氣體分配孔用位於該晶圓支撐區域與該噴淋頭之間的處理容積而將兩個充氣部流體連接。該氣體分配系統可進一步經組態以經由第一噴淋頭供應管線將一或多種第一反應物遞送至該噴淋頭之第一充氣部,且經由第二噴淋頭供應管線將一或多種第二反應物遞送至該噴淋頭之第二充氣部。在一些其他實施中,該第一噴淋頭供應管線可經組態以藉由第一噴淋頭供應管線加熱器加熱,該第二噴淋頭供應管線可經組態以藉由第二噴淋頭供應管線加熱器加熱,且該溫度控制器可進一步經組態以控制由該第一噴淋頭供應管線加熱器及該第二噴淋頭供應管線加熱器供應之加熱量。在又一些其他實施中,該第一噴淋頭供應管線加熱器、該第二噴淋頭供應管線加熱器及該溫度控制器可經組態以將該第一噴淋頭供應管線及該第二噴淋頭供應管線加熱至至少為100℃之溫度。
在該半導體製造模組之一些實施中,該卡盤可經組態以圍繞該晶 圓支撐區域之周界供應吹掃氣體。在該半導體製造模組之一些其他實施中,該晶圓支撐區域可包含複數個突起,該複數個突起經組態以使由該晶圓支撐區域支撐之半導體晶圓自該卡盤偏離介於15微米與250微米之間的距離。該卡盤可經組態以經由吹掃氣體孔之圓形圖案圍繞該晶圓支撐區域之周界供應吹掃氣體。該圓形圖案可具有比標稱直徑小約1mm至2mm之直徑,且該等吹掃氣體孔可具有小於該圓形圖案與該標稱直徑之間的直徑差異之出口直徑。
在該半導體製造模組之一些實施中,該晶圓支撐裝置可進一步包含插入於該卡盤與該外殼之間的介電中斷區。該介電中斷區可跨越該外殼之底板之中心外殼區域與該外殼實質熱接觸,且跨越該底板之除該中心外殼區域之外的部分不實質熱接觸。該介電中斷區亦可跨越中心卡盤區域與該卡盤實質熱接觸,且跨越該卡盤之除該中心卡盤區域之外的部分不與該卡盤實質熱接觸。當沿著該外殼外表面之中心軸觀看時,該中心卡盤區域及該中心外殼區域可具有小於該卡盤之直徑的50%之標稱大小。
在該半導體製造模組之一些其他實施中,該介電中斷區與該外殼之面向彼此之表面(除了此等面跨越中心外殼區域而彼此接觸之部分之外)可彼此隔開介於0.015英寸與0.050英寸之間的間隙,且該介電中斷區與該卡盤之面向彼此之表面(除了此等面跨越中心卡盤區域而彼此接觸之部分之外)可彼此隔開介於0.015英寸與0.050英寸之間的間隙。
在該半導體製造模組之一些其他實施中,該晶圓支撐裝置可進一步包含保護環。該保護環可由該卡盤支撐,可實質上軸對稱,且可具有小於該卡盤之標稱直徑之內徑。該保護環可沿著卡盤中心軸自該卡盤偏離15微米至250微米。自該卡盤之該偏離可由柱體提供,該等柱體跨越未自卡盤偏離之重疊部分而與該卡盤熱接觸,且該保護環與該 介電中斷區之面向彼此之表面可隔開0.015英寸至0.050英寸之間隙,且該保護環與該外殼之面向彼此之表面隔開0.015英寸至0.050英寸之間隙。
在一些實施中,該半導體製造腔室之選自由腔室、卡盤、外殼及噴淋頭組成之群組之一或多個組件在曝露於腔室內的反應物之區域中可至少部分塗有疏水性塗層。在一些其他實施中,該疏水性塗層可為TiO2
本說明書中所描述之標的物之一或多個實施之細節在附圖及以下描述中加以闡述。其他特徵、態樣及優點將自該描述、圖式及申請專利範圍而變得顯而易見。注意,下圖之相對尺寸可能並非係按比例繪製。
200‧‧‧工具組態
210‧‧‧高密度電漿化學氣相沈積(HDP-CVD)模組
220‧‧‧可流動間隙填充模組
230‧‧‧基座靜電卡盤蓋罩模組
240‧‧‧晶圓轉移系統模組
250‧‧‧真空預抽室
260‧‧‧工具組態
270‧‧‧遠端電漿固化模組
280‧‧‧可流動間隙填充模組
290‧‧‧真空預抽室
295‧‧‧晶圓轉移系統
300‧‧‧可流動間隙填充處理模組
310‧‧‧反應器
320‧‧‧氣體遞送系統
330‧‧‧處理反應物及化學源
340‧‧‧流量控制硬體
350‧‧‧氣體遞送控制器
360‧‧‧噴淋頭
370‧‧‧基座
380‧‧‧基座驅動單元
400‧‧‧反應器
402‧‧‧腔室外殼
404‧‧‧頂板
406‧‧‧裙緣
408‧‧‧噴淋頭
410‧‧‧晶圓
412‧‧‧卡盤
414‧‧‧絕緣環
416‧‧‧RF電極
418‧‧‧電阻加熱元件
420‧‧‧基座
420'‧‧‧基座
422‧‧‧壓板
424‧‧‧基座驅動柱
426‧‧‧密封件
428‧‧‧冷卻劑迴路
430‧‧‧基座吹掃氣體管線
432‧‧‧O充氣部
434‧‧‧P充氣部
436‧‧‧O氣體管線
438‧‧‧P氣體管線
440‧‧‧分區
442‧‧‧O反應物
444‧‧‧P反應物
446‧‧‧沈積氣體混合物
448‧‧‧O充氣部孔
450‧‧‧P充氣部孔
452‧‧‧吹掃氣體
454‧‧‧冷卻劑
456‧‧‧熱量
501‧‧‧模組A氣體源
502‧‧‧模組A質量流量控制器
503‧‧‧模組B氣體源
504‧‧‧模組B質量流量控制器
505‧‧‧模組C液體源
506‧‧‧模組C氣體源
507‧‧‧模組C液體流量計
508‧‧‧模組C質量流量控制器
509‧‧‧模組C汽化器
510‧‧‧模組D液體源
511‧‧‧模組D液體流量控制器
512‧‧‧模組D汽化器
513‧‧‧模組E液體源
514‧‧‧模組E汽化器
515‧‧‧模組E質量流量計
516‧‧‧模組F第一液體源
517‧‧‧模組F氣體源
518‧‧‧模組F第二液體源
519‧‧‧模組F第一液體流量控制器
520‧‧‧模組F質量流量控制器
521‧‧‧模組F第二液體流量控制器
522‧‧‧模組F蒸發器
523‧‧‧O轉向管線
524‧‧‧P轉向管線
525‧‧‧分區
526‧‧‧雙流噴淋頭
527‧‧‧O分區
528‧‧‧P分區
529‧‧‧模組C
530‧‧‧模組A
531‧‧‧模組A
532‧‧‧模組A
533‧‧‧模組A
534‧‧‧模組A
535‧‧‧模組A
536‧‧‧模組E
537‧‧‧模組E
538‧‧‧模組E
539‧‧‧模組C
540‧‧‧模組A
541‧‧‧模組A
542‧‧‧模組D
543‧‧‧模組C
544‧‧‧模組E
545‧‧‧模組D
546‧‧‧模組D
547‧‧‧模組C
548‧‧‧模組E
549‧‧‧模組F
600‧‧‧反應器
610‧‧‧腔室
620‧‧‧內部細孔
630‧‧‧電阻加熱匣
640‧‧‧電阻熱器件
700‧‧‧反應器
701‧‧‧可移除式擋板
703‧‧‧環形區
704‧‧‧頂板
705‧‧‧徑向延伸區
707‧‧‧孔
708‧‧‧噴淋頭
709‧‧‧孔
710‧‧‧電阻加熱元件
711‧‧‧反應器
712‧‧‧卡盤
713‧‧‧環形通道
714‧‧‧絕緣環
715‧‧‧徑向凹室
717‧‧‧真空端口
722‧‧‧壓板
724‧‧‧基座軸
728‧‧‧冷卻劑管線
728'‧‧‧冷卻通道
900‧‧‧反應器
901‧‧‧遠端電漿源端口
1000‧‧‧孔圖案
1010‧‧‧O孔
1020‧‧‧P孔
1100‧‧‧反應器
1102‧‧‧低頻率RF產生器
1104‧‧‧高頻率RF產生器
1108‧‧‧歧管
1110‧‧‧源氣體管線
1112‧‧‧入口
1114‧‧‧噴淋頭
1116‧‧‧基板
1118‧‧‧晶圓基座
1120‧‧‧接地加熱器框
1122‧‧‧出口
1124‧‧‧處理腔室
1200‧‧‧裝置
1201‧‧‧曝露腔室
1203‧‧‧晶圓
1205‧‧‧壓板
1207‧‧‧管道
1209‧‧‧入口
1211‧‧‧電漿產生部分
1217‧‧‧噴淋頭總成
1301‧‧‧反應器
1303‧‧‧處理腔室
1305‧‧‧頂部RF線圈
1307‧‧‧側面RF線圈
1309‧‧‧晶圓基座
1311‧‧‧基板
1313‧‧‧管線
1315‧‧‧高頻率RF源
1317‧‧‧入口
1321‧‧‧主氣體環
1322‧‧‧出口
1400‧‧‧反應器
1401‧‧‧腔室
1402‧‧‧提昇機構
1404‧‧‧晶圓
1420‧‧‧晶圓支撐裝置
1421‧‧‧保護環
1422‧‧‧卡盤
1423‧‧‧吹掃通道板
1424‧‧‧冷卻通道板
1425‧‧‧基底板
1426‧‧‧介電環
1427‧‧‧介電板
1428‧‧‧起模針總成
1429‧‧‧外殼
1430‧‧‧界面板
1431‧‧‧冷卻劑管線
1432‧‧‧校準光管
1433‧‧‧原位光管
1434‧‧‧磷光盤
1435‧‧‧吹掃氣體管線
1436‧‧‧冷卻通道
1437‧‧‧真空通道
1438‧‧‧吹掃氣體入口通道
1439‧‧‧吹掃氣體通道
1440‧‧‧吹掃氣體分配孔
1441‧‧‧突起
1442‧‧‧柱體
1443‧‧‧收納凹部
1444‧‧‧間隙
1445‧‧‧徑向隔熱區
1446‧‧‧軸向隔熱區
1447‧‧‧徑向隔熱區
1448‧‧‧徑向隔熱區
1449‧‧‧軸向隔熱區
1450‧‧‧徑向隔熱區
1452‧‧‧軸向隔熱區
1453‧‧‧軸向隔熱區
1454‧‧‧支撐柱
1455‧‧‧吹掃氣體輻條
1456‧‧‧吹掃氣體上升器
1457‧‧‧真空管線
1458‧‧‧真空上升器
1459‧‧‧第一結構支撐區
1460‧‧‧第二結構支撐區
1461‧‧‧真空端口
圖1說明可流動間隙填充製程圖。
圖2A及圖2B說明使用可流動間隙填充模組之工具的平面圖。
圖3說明可流動間隙填充模組之組態。
圖4A至圖4E說明一個可流動間隙填充模組實施中之各種結構。
圖5A示意性地說明在可流動間隙填充裝置之一些實施中供用於氣體遞送系統之各種氣體供應模組。
圖5B示意性地說明使用He作為運載氣體之實例氣體遞送系統。
圖5C示意性地說明圖5B之氣體遞送系統,其中Ar作為運載氣體。
圖5D示意性地說明使用Ar作為運載氣體之另一實例氣體遞送系統。
圖5E示意性地說明使用Ar作為運載氣體之第二實例氣體遞送系統。
圖5F示意性地說明使用Ar作為運載氣體之第三實例氣體遞送系 統。
圖5G示意性地說明使用Ar作為運載氣體之第四實例氣體遞送系統。
圖5H示意性地說明使用Ar作為運載氣體之第五實例氣體遞送系統。
圖5I示意性地說明使用Ar作為運載氣體之第六實例氣體遞送系統。
圖5J示意性地說明使用Ar作為運載氣體之第七實例氣體遞送系統。
圖5K示意性地說明使用Ar作為運載氣體之第八實例氣體遞送系統。
圖5L示意性地說明使用Ar作為運載氣體之第九實例氣體遞送系統。
圖5M示意性地說明使用Ar作為運載氣體之具有可選轉向管線吹掃氣體源之第九氣體遞送系統。
圖6為反應器及可能電阻加熱元件位置之簡化平面圖。
圖7A以平面圖說明可移除式擋板。
圖7B以平面圖說明反應器及環形通道。
圖7C以等角剖視圖說明反應器。
圖8為擋板之分析結果曲線。
圖9為反應器之等角視圖,其中隱線展示內部特徵。
圖10說明供用於噴淋頭之部分孔圖案。
圖11展示反應器或模組之實例。
圖12為遠端電漿處理模組之簡化示意圖。
圖13為HDP-CVD裝置之各種組件之簡化說明。
圖14A描繪實例反應器之等角視圖。
圖14B描繪圖14A之反應器之等角剖面圖。
圖14C描繪圖14A之反應器之側剖面圖。
圖14D描繪圖14A之反應器之等角剖面圖,其中晶圓處於提昇位置中。
圖14E描繪圖14A之反應器之側剖面圖,其中晶圓處於提昇位置中。
圖14F描繪圖14A之晶圓支撐裝置之等角視圖。
圖14G描繪圖14A之晶圓支撐裝置之等角分解圖。
圖14H描繪說明冷卻管線界面之圖14A之晶圓支撐裝置之等角部分剖面圖。
圖14I描繪用於圖14A之反應器中之實例冷卻板之多個視圖。
圖14J描繪說明光管界面之圖14A之晶圓支撐裝置之等角剖面圖。
圖14K描繪圖14J中所展示之剖面之側視圖。
圖14L描繪說明氣體吹掃界面之圖14A之晶圓支撐裝置之等角剖面圖。
圖14M描繪圖14L中所展示之剖面之側視圖。
圖14N描繪說明真空界面之圖14A之晶圓支撐裝置的等角剖面圖。
圖14O描繪圖14N中所展示之剖面之側視圖。
圖14P描繪圖14A之晶圓支撐裝置之細節剖面圖。
圖14Q描繪圖14A之晶圓支撐裝置之另一細節剖面圖。
介紹
本文中提供用於介電間隙填充之裝置、系統及方法。根據各種實施例,裝置及系統經組態以各種整合製程進行間隙填充,該等整合製程包含沈積可流動介電材料(在某些實施例中為可流動氧化物材料)。 雖然下文論述包含可流動氧化物沈積製程之細節,但類似技術及設備亦可用於可流動氮化物及碳化物;本申請案不應解讀為限於可流動氧化物技術,且意欲亦包含此等額外之可流動薄膜技術。然而,此等方法、裝置、系統及技術亦不僅限於間隙填充應用,且可用於任何可流動沈積半導體製造程序中,包含(但不限於)平坦化、犧牲薄膜沈積及封孔。在某些實施例中,裝置及系統經組態以用可流動介電材料及高密度電漿化學氣相沈積介電材料來進行間隙填充。
意味著相對於環境之特定定向之各種術語(諸如(但不限於),「底部」、「頂部」、「在......下面」等)可結合圖式使用以有助於理解本文中所描述之概念。此等術語之使用不應解釋為需要將此等定向用於實施本文中所描述之概念,除非特定概念需要所描述之定向以起作用。
圖1為描繪代表性之可流動間隙填充方法之程序流程圖。圖1中所展示之許多或所有步驟可執行於可流動間隙填充沈積模組中,但一些步驟可執行於另一製程模組中。舉例而言,步驟115及步驟150可執行於特定地經組態以用於電漿處理之模組中。晶圓可被提供至模組,且在適當時在模組之間轉變。將晶圓提供至模組可涉及將晶圓夾持於基座或模組腔室中之其他支撐件。出於此目的,可使用靜電或機械卡盤。可在適當時在真空(例如,使用真空轉移系統)下或在惰性氣氛下執行轉變模組。
電漿預處理或清潔可執行於步驟115中以使晶圓預備好沈積。所上文所提及,步驟115亦可發生於與程序100中之其他步驟分離之模組或腔室中。若如此,則晶圓可需要在執行步驟115之後被轉移至沈積反應器。
在步驟120中,引入處理氣體。在形成以矽為主之介電質之實施例中,處理氣體包含含有矽之化合物及(在需要時)另一反應物。舉例而言,含有矽之前驅物可與氧化劑反應以形成二氧化矽,或與氮化物 反應以形成氮化矽。氣體亦可包含一或多種摻雜劑前驅物。有時(但不必),存在惰性運載氣體。在某些實施例中,使用液體注射系統引入氣體。藉由單獨之入口將含有矽之化合物及氧化劑引入至反應腔室。在某些實施例中,處理氣體包含溶劑、催化劑及/或摻雜劑。又,在某些實施例中,可以增加晶圓表面上之滯留時間及/或最大化反應器利用之方式來提供反應物。舉例而言,可在其他反應物之前引入反應物。
含矽前驅物之實例包含(但不限於)烷氧矽烷,例如四氧甲基環四矽氧烷(TOMCTS)、八甲基環四矽氧烷(OMCTS)、四乙氧基矽烷(TEOS)、三乙氧基矽烷(TES)、三甲氧基甲矽烷(TriMOS)、甲基三乙氧基正矽酸酯(MTEOS)、四甲基正矽酸酯(TMOS)、甲基三甲氧基矽烷(MTMOS)、二甲氧基二甲基矽烷(DMDMOS)、二乙氧基矽烷(DES)、二甲氧基矽烷(DMOS)、三苯基乙氧基矽烷、1-(三乙氧基矽基)-2-(二乙氧基甲基矽基)乙烷、三第三丁氧基矽烷醇、六甲氧基二矽烷(HMODS)、六乙氧基二矽烷(HEODS)、四異氰酸矽烷(TICS)、二第三丁氨基矽烷(BTBAS)、氫矽倍半氧烷、第三丁氧基乙矽烷、T8-氫化球矽氧烷、八氫POSSTM(多面低聚倍半矽氧烷)及1,2-二甲氧基-1,1,2,2-四甲基乙矽烷。含矽前驅物之其他實例包含(但不限於)矽烷(SiH4)、乙矽烷、丙矽烷、己矽烷、環己矽烷(cyclohexasilane)及烷基矽烷,例如甲基矽烷及乙基矽烷。
合適之氧化劑之實例包含(但不限於)臭氧(O3)、包含過氧化氫(H2O2)之過氧化物、氧氣(O2)、水(H2O)、醇類(諸如,甲醇、乙醇及異丙醇)、一氧化氮(NO)、二氧化氮(NO2)、一氧化二氮(N2O)、一氧化碳(CO)及二氧化碳(CO2)。在某些實施例中,遠端電漿產生器可供應活性氧化劑種類。
溶劑或其他表面活性劑可用以減緩表面張力,且增加基板表面上 之反應物之潤濕。其亦可增加介電前驅物與其他反應物之可混合性,尤其是在液相中冷凝時。表面活性劑及溶劑之實例可包含醇類、乙二醇及聚乙二醇。不同表面活性劑可用於碳摻雜矽前驅物,此係因為含碳部分常使前驅物更具疏水性。
表面活性劑可用以減緩表面張力,且增加基板表面上之反應物之潤濕。其亦可增加介電前驅物與其他反應物之可混合性,尤其是在液相中冷凝時。表面活性劑之實例包含溶劑、醇類、乙二醇及聚乙二醇。不同表面活性劑可用於碳摻雜矽前驅物,此係因為含碳部分常使前驅物更具疏水性。
溶劑可為非極性或極性的及質子性或非質子性的。溶劑可與介電前驅物之選擇匹配以改良於氧化劑中之可混合性。非極性溶劑包含烷烴及烯烴;極性非質子性溶劑包含丙酮及醋酸;且極性質子性溶劑包含醇類及羧基化合物。
可引入之溶劑之實例包含醇類(例如,異丙醇、乙醇及甲醇)或可與反應物混合之其他化合物(諸如,乙醚、羰基、腈)。溶劑為可選的,且在某些實施例中可被單獨引入或與氧化劑或另一處理氣體一起引入。溶劑之實例包含(但不限於)甲醇、乙醇、異丙醇、丙酮、二乙醚、乙腈、二甲基甲醯胺及二甲亞碸、四氫呋喃(THF)、二氯甲烷、己烷、苯、甲苯、異庚烷及二乙醚。在某些實施例中,可藉由吹噴或正常遞送在其他反應物之前引入溶劑。在一些實施例中,可藉由將溶劑吹噴至反應器中而引入溶劑以促進水解,尤其是在前驅物與氧化劑具有低可混合性之狀況下。
含氮化合物(例如,沈積氮化矽或氧氮化矽)之實例包含含矽及含氮之前驅物(例如,三矽胺烷(TSA)或二矽胺烷(DSA))、氮前驅物(例如,氨(NH3)、BTBAS或肼(N2H4))。
接著在操作130中將晶圓曝露於處理氣體。反應器中之條件使得 含有矽之化合物與氧化劑或其他反應物(若存在)反應。反應機制可涉及吸收反應、水解反應、冷凝反應、聚合反應、產生冷凝之氣相產品之氣相反應、在反應之前反應物中之一者或一者以上之冷凝,或此等反應之組合。如操作140中所展示,由此將可流動薄膜沈積於晶圓表面上。將晶圓曝露於處理氣體足以允許可流動薄膜填充間隙之時間段。在某些實施例中,沈積方法形成具有良好流動特性之軟的類似膠狀薄膜,提供連貫之填充。在本文中,出於論述之目的,亦可將沈積之薄膜描述為具有液體流特性之凝膠、液體薄膜或可流動薄膜。薄膜機制可根據特定反應變化;例如,可流動薄膜可形成於間隙中或形成於圍繞間隙之場區上且流動至間隙中,或此等情形之某一組合。
反應器中之處理條件使得反應產品可冷凝於反應器之表面上而非晶圓表面上。在程序之沈積階段(步驟130及步驟140)期間可或可不將晶圓曝露於電漿,且在某些實施例中,在「暗」(亦即,非電漿條件)下將晶圓帶入至腔室中。雖然未在流程圖上指示,但可自反應腔室連續地抽取氣態副產品。
基板溫度可在約-20℃與約100℃之間。在一些實施中,基板溫度可在約-20℃與約30℃之間,例如在-10℃與10℃之間。在一些實施中,可經歷較高基板溫度,例如可使用需要將基板加熱至約200℃至約400℃之化學氣相沈積方法。腔室壓力可在約0托與約600托之間;在某些狀況下,腔室壓力可在500毫托與200托之間,且在一些其他狀況下,腔室壓力可在10托與100托之間。在反應溫度下,就組份蒸氣壓力而言,處理氣體組份之分壓特徵可在於Pp(反應物之分壓)及Pvp(反應物之蒸氣壓力)。實例為:前驅物分壓比(Pp/Pvp)=0.01至1(例如,0.01至0.5);氧化劑分壓比(Pp/Pvp)=0.25至2(例如,0.5至1);且溶劑分壓比(Pp/Pvp)=0至1(例如,0.1至1)。反應物分壓範圍之實例為:氧化劑:前驅物分壓比(Ppoxidant/Ppprecursor)=1至30(例如,5至 15),且溶劑:氧化劑分壓比(Ppsolvent/Ppoxidant)=0至10(例如,0.1至5)。熟習此項技術者將認識至可根據實施使用在此等範圍之外之值。
在可流動薄膜已沈積於間隙中之後,在操作150中之一或多個操作中稠化沈積態可流動薄膜。可完全地或部分地稠化沈積之薄膜。後沈積稠化處理操作可涉及一或多個操作,任何或全部此等操作亦可導致化學轉化沈積態薄膜。在其他實施例中,任何或全部稠化操作可在無化學轉化之情況下稠化。在某些實施例中,可單獨執行一轉化操作,或根本不執行轉化操作。若單獨執行,則可在稠化操作之前或之後執行轉化操作。在一實例中,藉由曝露於反應性電漿,接著進一步在惰性環境中藉由熱退火而稠化來轉化且部分地稠化薄膜。
在一些實施例中,藉由曝露於含有(例如)氧、氮、氦、氬及水中之一或多者之電漿來轉化薄膜。薄膜可在此操作處得以稠化及在需要時化學轉化成二氧化矽、氮化矽或氧氮化矽網路。在可流動薄膜沈積方法之一些實施例中,可流動介電質薄膜在沈積態為二氧化矽(或其他所要之網路)薄膜且在沈積後不需要轉化。
圖1提供可流動間隙填充製程之實例;本文中提供之系統及裝置經組態或可經組態以用於其他可流動間隙填充製程。舉例而言,雖然圖1中之製程為單循環沈積/稠化製程,但在其他實施例中,執行多循環製程。在其他實施例中,形成介電質薄膜,諸如SiOC薄膜及SiON薄膜。可根據本發明使用之可流動間隙填充製程之實例包含以下各者中所描述之彼等製程:美國專利第7,074,690號;第7,524,735號;第7,582,555號及第7,629,227號;及美國專利申請案第11/834,581號、第12/334,726號、第12/566,085號、第12/964,110號、第61/421,548號及第61/421,562號,此等專利及專利申請案以引用之方式併入本文中。亦可根據任何適當可流動間隙填充方法來使用本文中所描述之系統及裝置。此外,在某些實施例中,本文中所描述之系統及裝置不限於本 文中所描述之特定製程,且可用於積體電路製造、平板顯示器製造等之其他製程中。
可流動間隙填充製程存在在其他半導體製程中極少面臨之挑戰(若存在)。舉例而言,可流動間隙填充製程涉及在處理腔室內液體冷凝之有意形成。本文中所描述之裝置及系統最大化被處理之基板上之冷凝且最小化腔室中之其他任何地方之冷凝。在某些實施例中,此情形涉及處理腔室及設備中之活躍之熱管理。下文進一步詳細描述用於可流動間隙填充反應器之熱管理的裝置及系統。
在可流動間隙填充程序期間遇到的另一挑戰為管理處理氣體以使得防止過早冷凝或沈積。舉例而言,可在間隙填充操作期間混合可流動間隙填充反應物以產生用於間隙填充處理之恰當化學反應。過早混合反應物可導致系統內之粒子形成,若粒子污染已處理晶圓或衝擊晶圓表面並導致損壞,則粒子形成可成問題。若混合之反應物未保持於足夠高溫,則混合之反應物可形成冷凝,冷凝可導致在反應物遞送系統內部不合需要之沈積,或可導致小滴被猛烈地排出至反應器中,其可導致對經處理之基板之損壞。下文亦進一步詳細描述用於可流動間隙填充反應器中之反應物管理及隔離之裝置及系統。
在可流動間隙填充程序期間遇到的又一挑戰為對晶圓上之反應物流之控制。在可流動間隙填充期間,產生冷凝之反應物混合物跨越被處理之基板且朝向基板之周界流動。此情形可導致比晶圓內部中之沈積大之朝向晶圓邊緣及在晶圓斜面上之沈積。下文亦進一步詳細描述用於減輕該行為(諸如,用於圍繞晶圓周邊引入吹掃氣體之組態)之裝置及系統。
定義
在本申請案中,將可互換地使用術語「基板」、「半導體晶圓」、「晶圓」及「部分製造之積體電路」。熟習此項技術者將理解,術語 「部分製造之積體電路」可指代在矽晶圓上積體電路製造之許多階段中之任何者期間之矽晶圓。以下之詳細描述假定本發明實施於晶圓上。然而,本發明不限於此。工件可具有各種形狀、大小及材料。除了半導體晶圓之外,可利用本發明之其他工件包含各種物品,諸如印刷電路板等。
藉由使半導體晶圓經過各種處理階段來製造積體電路。雖然許多晶圓為圓形形狀,但晶圓亦可為其他形狀。在本申請案中,晶圓之「軸向」方向指代平行於圓形晶圓之中心軸之方向。非圓形晶圓之「軸向」方向將指代類似之方向,亦即正交於晶圓之平坦面。「徑向」方向指代沿著晶圓之半徑之方向,亦即實質上平行於晶圓之平坦面且與晶圓之中心區域相交。
如本文中所使用,術語「HDP氧化物薄膜」指代使用高密度電漿(HDP)化學氣相沈積(CVD)方法沈積之摻雜或不摻雜之二氧化矽薄膜。一般而言,高密度電漿為具有至少約1×1011電子/立方厘米之電子密度之任何電漿,雖然此等電漿範圍可在5×1010電子/立方厘米與1×1011電子/立方厘米之間。在某些實施例中,HDP-CVD反應特徵亦可在於在100毫托或100毫托以下之範圍中之相對低的反應器壓力。
如本文中所使用,術語「可流動氧化物薄膜」為具有提供間隙之連貫填充之流動特性之可流動摻雜或不摻雜之二氧化物薄膜。亦可將可流動氧化物薄膜描述為軟之類似膠狀薄膜、具有液體流特性之凝膠、液體薄膜或可流動薄膜。不同於HDP-CVD反應,形成可流動薄膜涉及使含有矽之前驅物與氧化劑反應以在基板上形成經冷凝之可流動薄膜。例如如以引用之方式併入本文中之美國專利7,629,227中所描述,可藉由催化劑有助於薄膜之形成。本文中所描述之可流動氧化物沈積方法不限於特定反應機制,例如反應機制可涉及吸收反應、水解反應、冷凝反應、聚合反應、產生冷凝之氣相產品之氣相反應、在反 應之前反應物中之一或多個者之冷凝,或此等反應之組合。將基板曝露於處理氣體足以沈積可流動薄膜以填充或部分地填充間隙中的至少一些之時間段。沈積方法通常形成具有良好流動特性之軟之類似膠狀薄膜,提供連貫之填充。在某些實施例中,可流動薄膜為非晶有機矽薄膜。
沈積態HDP氧化物薄膜為稠化固體且不可流動,而沈積態可流動氧化物薄膜未完全稠化。在沈積條件下,至少對於某一時間,可流動薄膜一般可流動。取決於特定之方法及化學反應,一旦自沈積條件移除晶圓,則可流動氧化物薄膜可為軟之(例如,可刮掉)或硬之。如上文所描述,沈積態可流動薄膜可得以稠化及/或化學轉化。術語「可流動氧化物薄膜」可在本文中用以指代已經受全部或部分地凝固可流動氧化物薄膜及沈積態可流動氧化物薄膜之稠化或固化處理之可流動氧化物薄膜。
可流動間隙填充之工具水平整合
在本文中提供包含一或多個可流動間隙填充模組之半導體製造工具。圖2A描繪實例工具組態200,其中工具包含兩個高密度電漿化學氣相沈積(HDP-CVD)模組210、可流動間隙填充模組220、基座靜電卡盤蓋罩(PEC)模組230、WTS(晶圓轉移系統)240及真空預抽室250,在一些實施例中包含晶圓冷卻台。HDP-CVD模組210可(例如)為Novellus SPEED MAX模組。可流動間隙填充模組220可(例如)為Novellus Integra模組。PEC模組230可(例如)為Novellus基座靜電卡盤(ESC)蓋罩模組。WTS模組240可(例如)為Novellus WTS Max模組。
一些工具水平實施可以用於多個處理步驟之可流動間隙填充模組為特徵。舉例而言,可流動間隙填充模組亦可用以執行原地預處理,接著執行可流動氧化物沈積製程。此情形可允許以多個可流動間隙填充模組(例如,四個此等模組)為特徵之工具。
圖2B中所描繪之替代實例工具組態260包含晶圓轉移系統295及真空預抽室290、遠端電漿固化模組270,及可流動間隙填充模組280。亦可包含額外遠端電漿固化模組270及可流動間隙填充模組280以增加工具之產量。
可用於預處理或後處理之其他模組包含Novellus SPEED或SPEED Max、Novellus INOVA反應性預清潔模組(RPM)、Novellus Altus ExtremeFill(EFx)模組、Novellus Vector Extreme預處理模組(用於電漿、紫外線或紅外線預處理)及Novellus SOLA(用於UV預處理),及Novellus Vector或Vector Extreme。此等模組可附接至與可流動間隙填充模組相同之基幹。
可流動間隙填充模組概述
用於執行可流動間隙填充之處理模組可包含許多組件、子組件、系統及子系統。以下段落論述圖3中所展示的可流動間隙填充處理模組300之實施例之主要組件及系統中之一些。
晶圓上可流動薄膜之沈積發生於反應器310內部。反應器310亦可稱為反應腔室、處理腔室或腔室。
將在沈積程序期間使用之許多或全部氣體及/或液體自氣體遞送系統320供應至反應器310。雖然此系統在本申請案中被稱為「氣體遞送系統」,但應理解,除氣體之外或代替氣體,氣體遞送系統可供應或處理液體、氣溶膠或蒸氣。氣體遞送系統320可包含處理反應物及化學源330或此等源之連接點、用於處理反應物及化學遞送之流量控制硬體340(諸如,閥、脫氣器、汽化器、加熱器等),及用於控制流量控制硬體340之氣體遞送控制器350。
在本申請案中,除非以其他方式註明,否則術語「反應物」將用以指代氣體、液體或引入至用於晶圓處理之反應器中之其他可流動材料。在此情況中,反應物亦可包含未以化學方法參與晶圓處理之惰性 運載氣體。雖然惰性運載氣體未以直接化學方式參與晶圓處理反應,但惰性運載氣體之存在可在晶圓處理反應中影響反應物之分壓,其可影響反應物之冷凝行為。舉例而言,在保持其他氣體流動且保持反應器壓力恆定之同時增加惰性運載氣體流將導致反應物流之減少之分壓,其將降低反應物之反應速率。
在遞送至反應器310之後,可藉由稱為噴淋頭之歧管跨越晶圓之表面區域分配反應物。噴淋頭360以所要量引入反應物,將反應物引入於所要位置中,且在所要壓力下引入反應物以用於處理。實質上位於晶圓與噴淋頭之間的空間之容積在本文中被稱為「反應區域」。
在引入至反應器310之後,反應物可藉由形成對反應物流之機械障壁之裙緣之使用而被限制於反應區域。
由卡盤軸向地支撐晶圓。卡盤亦可包含在處理期間防止晶圓橫向移動之技術。可由基座370來支撐卡盤。基座370可經組態以沿著晶圓之軸向方向移動卡盤及所支撐之晶圓以用於晶圓裝載及卸載且用於使用基座驅動單元380來進行晶圓處理。該卡盤可在處理期間由制冷器系統加以冷卻。
卡盤及基座370亦可與幫助保護卡盤及基座370免受不希望有的處理之絕緣環介接。
圖4A至圖4E描繪突出不同處理操作之可流動間隙填充模組反應器之簡化圖。在圖4A至圖4E中之一者中之特定結構可未在所有圖中用編號標註以減少視覺混亂。希望讀者假定將在圖中參考用特定編號標註之組件,展示相同組件之圖式使用相同之編號。舉例而言,圖4中之反應器400在圖4B至圖4E之論述中亦將被稱為反應器400,儘管可能未在彼等圖中標註。
出於說明之目的,圖4B至圖4D將在反應器400之反應區域中的下文論述之O反應物442及P反應物444及沈積氣體混合物446描繪為具有 良好界定邊界之羽流或雲,此等描繪僅意欲表示此等氣體之引入或存在,且不應解釋為描述在反應區域中此等氣體之實際物理行為。舉例而言,雖然將沈積氣體混合物446描繪為僅佔據反應區域之部分且描繪為翻騰出而進入反應器400之內部容積之僅一部分中,但沈積氣體混合物446可實質上遍及反應器400之反應區域及內部容積中之全部實質上均勻地擴散,或可遍及反應器400之反應區域及內部容積但以不同密度擴散。
圖4A說明可流動間隙填充模組反應器400之簡化實施例。腔室外殼402、頂板404、裙緣406、噴淋頭408、基座驅動柱424及密封件426提供密封容積以用於可流動間隙填充處理。由卡盤412及絕緣環414支撐晶圓410。卡盤412包含RF電極416及電阻加熱元件418。由包含壓板422及基座驅動柱424之基座420支撐卡盤412及絕緣環414。基座驅動柱424穿過密封件426以與基座驅動件(未圖示)介接。基座驅動柱424包含冷卻劑迴路428及基座吹掃氣體管線430。噴淋頭408包含分別由O氣體管線436及P氣體管線438饋入之O充氣部432及P充氣部434。可在分區440中在到達噴淋頭408之前加熱O氣體管線436及P氣體管線438。420'及420指代基座,但處於降低(420)及升高(420')之位置中。
可流動間隙填充處理模組300可包含允許可流動間隙填充處理模組300在安裝之後被調平之調平特徵。可流動間隙填充製程涉及液體流,且可因此對重力格外敏感。舉例而言,若可流動間隙填充處理模組300向一側微小傾斜,則沈積之可流動薄膜將傾向於向晶圓平面之「下坡」側徙動。此情形導致在下坡側上較大之沈積及在「上坡」側上較小之沈積。為了防止此可流動薄膜行為,可流動間隙填充處理模組300可相對於地球引力而調平。
可在總成之基座水平面處包含額外調平特徵以便進一步調整晶圓平面。舉例而言,可在安裝模組時執行初始調整。隨著時間之流逝, 可歸因於(例如)熱膨脹、組件應力、總成差異而在晶圓平面之水平度上存在漂移。可藉由不需要重新調整整個模組之基底水平面調平特徵來解決自晶圓平面水平度之此等偏移。
氣體遞送系統
模組配備有或連接至氣體遞送系統320以用於將反應物遞送至反應器310。氣體遞送系統320可用一或多種氧化劑(包含水、氧氣、臭氧、過氧化物、醇類等)來供應反應器310,此等氧化劑可單獨或與惰性運載氣體混合來供應。在本文中,指定用於氧化劑處理之組件用「O」前綴來指示。
在特定實施例中,O反應物包含氦(或其他惰性氣體)、氧氣、水、氦水及乙醇。氣體遞送系統320亦可用一或多種介電前驅物(例如,三乙氧基矽烷(TES))供應反應器310,此等介電前驅物可單獨或與惰性運載氣體混合來供應。在本文中,指定用於前驅物處理之組件用「P」前綴來指示。在特定實施例中,P反應物包含TES、氫氣、氦及氮氣。P反應物亦可包含催化劑,例如含有鹵素之矽前驅物。
在一些實施例中,在化學性質上為氧化劑之反應物可用P反應物而非用O反應物來遞送;在此等狀況下,具有P前綴之組件及系統亦將處理此特定O反應物及P反應物。舉例而言,可藉由P反應物遞送路徑來遞送O反應物(諸如,乙醇)。藉由P反應物遞送路徑遞送乙醇亦可促進在將反應物引入至反應器310中之反應區域之後即匹配其他O反應物與P反應物之間的流動狀態。在某些實施例中,氣體遞送系統亦經組態以遞送一或多種清潔試劑(例如,NF3)以用於預沈積及後沈積反應器清潔。在某些實施例中,氣體遞送系統額外地經組態以遞送一或多種後沈積反應物。舉例而言,對於後沈積電漿處理,可遞送氬、氮氣、氧氣或其他氣體。
每種反應物可藉由直接連接至設施源(例如,設施水或氮氣源)或 藉由將含有反應物之安瓿連接至氣體遞送系統320而供應至氣體遞送系統320。氣體遞送系統320可包含用於連接至此等反應物源之配件及流量控制硬體340。
可藉由單獨氣體管線(諸如,O氣體管線436及P氣體管線438)將每一反應物投送至反應器310。每一反應物氣體管線可連接至一或多個反應物源330,且每一反應物源330可在引入至其各別氣體管線中之前藉由脫氣器、過濾器、質量流量控制器、汽化器、壓力變換器、壓力調節器及/或溫度傳感器。一些反應物氣體管線可包含額外組件,而一些反應物氣體管線可包含此等組件之子集或不包含此等組件。舉例而言,NF3氣體管線可使用質量流量控制器,而氬氣體管線可使用流量限制器。
用於反應物之安瓿可用氣體(諸如,氦)來加壓以迫使反應物自安瓿至氣體遞送系統320中。藉由將氣體引入至安瓿中,安瓿頂部空間被加壓且移置含有於安瓿內之反應物。接著將反應物驅動至氣體遞送系統之O氣體管線436或P氣體管線438中。
氣體遞送系統320可經設計以最小化處理反應物及化學源330與反應器310之間的氣體運輸容積。舉例而言,可移除不必要之彎曲、配件或其他容積。
類似地,氣體遞送系統320可經設計以最小化反應物藉由氣體遞送系統320至反應器310之運輸時間。舉例而言,可為氣體管線提供氣體支路或轉向,其允許氣體流速斜升至穿過支路之所要流動速度。在氣體已到達所要流動速度時,可將氣體自支路切換至反應器饋入管線。以此方式,可以比在流動斜升週期期間將要將氣體引入至反應器310之狀況下更接近於所要流速之流速將氣體引入至反應器310。此情形可幫助確保在所要時間間隔內將所要氣體量引入至反應器310中。
氣體遞送系統320可在流量控制硬體340中利用高精確性/低響應 時間閥或其他流量控制器件。舉例而言,P氣體管線438可利用能夠在打開由氣體遞送控制器350執行之閥之命令後之0.05s內到達氣體流速之90%的閥。
氣體遞送系統320可包含用於在藉由反應物氣體管線傳輸至反應器310之前加熱反應物之一或多個預加熱器件。用於反應物氣體管線之一或多個預加熱器件可位於靠近用於彼反應物氣體管線之汽化器處或與該汽化器並置。預加熱器件可經組態以在汽化之後且在氣體離開氣體遞送系統320並被輸送至反應器310之前將汽化之反應物加熱至所要溫度水平。預加熱器件可經組態以將反應物氣體加熱至50℃與250℃之間的溫度(例如,50℃至150℃)。舉例而言,乙醇反應物可在汽化之後且在引入至通向反應器310之氣體管線之前預加熱至150℃。
在一些實施例中,處理一或多種反應物中之每種反應物之組件之潤濕表面可預加熱至在彼反應物之遞送系統壓力處高於彼反應物之露點至少10℃且低於彼反應物之分解溫度至少10℃之溫度。在其他實施例中,處理一或多種反應物中之每一反應物之組件之潤濕表面可預加熱至在彼反應物之遞送系統壓力處高於彼反應物之露點至少20℃且低於彼反應物之分解溫度至少20℃之溫度。若若干反應物混合在一起且作為混合物預加熱,則可使用混合物之露點及壓力來應用以上規則。
除了預加熱器件之外,或代替預加熱器件,反應物氣體管線亦可包含熱加熱夾套以在自氣體遞送系統運輸至反應器310期間將加熱提供至反應物氣體。舉例而言,如由圖4中之分區440所指示,可環繞氣體遞送系統與反應器310之間的曝露氣體管線包裹電阻加熱毯或套筒。或者,可將氣體管線投送於外部套筒內,藉由外部套筒,經加熱之流體(諸如,水或油)得以抽取或可藉由感應加熱線圈得以投送。在某些實施例中,加熱夾套經組態以將氣體管線之潤濕內部表面中之一些或實質上全部維持於相對於所上文所描述之反應物之露點及分解溫 度而判定之溫度處。另外,一或多個氣體管線可使用單獨加熱夾套而個別地加熱至不同溫度。
可採用模組化方法來提供氣體遞送系統。圖5A說明用於氣體遞送系統中之六個可能模組。所說明之模組可經組態以供應不同類型之反應物或其他試劑,且連接至歧管以用於在需要時供應O反應物及P反應物。適合於供反應器(諸如,本文中所描述之彼等反應器)使用之模組化氣體遞送系統之一些特定實施部分地用圖表示於圖5B至圖5M中。應認識到,亦可使用非模組方法構造類似之流體投送路線來提供類似之處理功能性。
圖5A說明六個可能氣體供應模組A至F。每一模組可包含可經組態以用於連接至氣體歧管之歧管出口M。一些模組亦可包含可經組態以用於連接至轉向管線之轉向出口D。在一些半導體製造處理步驟中,反應物可以流速之最小斜升被遞送至噴淋頭。在此等步驟中,來自特定氣體源之流可首先被指引至轉向管線直至流速穩定於實質上穩態條件。一旦到達穩態流條件,則可關閉轉向管線A之閥且可打開歧管出口之閥,使穩態流分流至通向噴淋頭之氣體歧管。若干轉向管線可接合在一起以形成轉向歧管,且可存在針對O反應物及P反應物之單獨轉向歧管。轉向管線/歧管可通至與反應器分離之容積中。每一模組亦可經組態以藉由預加熱用以將反應物遞送至M出口及(在一些模組中)D出口之各種組件來預加熱所供應之反應物。此預加熱可使用電阻加熱毯、熱交換器或其他加熱技術完成。可預加熱之組件位於圖5A至圖5M之畫有交叉影線之區域內。預加熱組件可加熱至50℃與250℃之間的溫度(例如,50℃至150℃),且對於每一模組,可使用不同預加熱溫度。
模組A可經組態以將氣態反應物(例如,O2、H2、N2、NF3、Ar、He等)供應至噴淋頭。模組A可包含模組A氣體源501及模組A質量流量 控制器502。模組A氣體源501可經由氣體管線及同軸入口閥而連接至模組A質量流量控制器502。第二氣體管線及同軸出口閥可將模組A質量流量控制器502連接至歧管出口M。模組A不包含轉向出口D,且可用以遞送非時序關鍵之氣體。在模組A質量流量控制器502下游之模組A之組件可視情況在需要時預加熱。
模組B類似於模組A,但包含轉向功能性。模組B可包含模組B氣體源503及模組B質量流量控制器504。模組B氣體源503可藉由氣體管線及同軸入口閥而連接至模組B質量流量控制器504。第二氣體管線及同軸出口閥可將模組B質量流量控制器504連接至歧管出口M。第三氣體管線及隨附同軸出口閥亦可將模組B質量流量控制器與轉向出口D流體連接。模組B不包含轉向出口D,且可因此用以遞送為時序關鍵之氣體。模組B未用於圖5B至圖5L中所展示之實例氣體遞送系統中之任一者中,但可用於在由模組A遞送之氣體為時序關鍵之情況下代替特定模組A。模組B質量流量控制器504下游的模組B之組件可視情況得以預加熱。
模組C可經組態以藉由運載氣體供應經汽化之反應物。模組C可包含模組C液體源505及模組C氣體源506。模組C液體源505可藉由流體管線及同軸閥而流體連接至模組C液體流量計507。模組C液體源505可(例如)含有水、溶劑或另一液體反應物。模組C液體流量計507可藉由另一流體管線及同軸閥而與模組C汽化器509流體連接。模組C氣體源506可藉由氣體管線及同軸閥而流體連接至模組C質量流量控制器508。模組C氣體源506可含有(例如)Ar或He。模組C質量流量控制器508亦可藉由氣體管線而流體連接至模組C汽化器。自模組C液體源505流動之液體可在模組C汽化器509內汽化且夾帶於來自模組C氣體源506之氣體流中。模組C汽化器509可以與模組B質量流量控制器504與歧管出口M及轉向出口D流體連接極為相同之方式與歧管出口M 及轉向出口D流體連接。模組C汽化器509及模組C之下游組件可視情況在需要時預加熱。
若可選預加熱用於模組C,則模組C液體源505及模組C液體流量計507可不被預加熱以允許所供應之液體之更準確計量。來自模組C氣體源506之運載氣體之使用不僅有助於將汽化之液體反應物輸送至噴淋頭,又亦有助於藉由蒸發液體反應物中之一些來汽化液體反應物。此情形可允許使用具有較高沸點之液體反應物。可顯著減少模組C汽化器509下游之氣體流之器件之缺失可相應地導致在模組C汽化器509下游未發現顯著壓力下降,其可減少冷凝之可能。轉向功能性之使用可允許模組C將汽化之液體反應物遞送至噴淋頭而無啟動延遲或不穩定性。
模組D可經組態以供應汽化之反應物而不使用運載氣體。模組D可包含與模組D液體流量控制器511流體連接之模組D液體源510。模組D液體流量控制器511又可與模組D汽化器512流體連接。模組D汽化器512可以與模組B質量流量控制器504與歧管出口M及轉向出口D流體連接極為相同之方式與歧管出口M及轉向出口D流體連接。模組D液體源510可(例如)含有溶劑、前驅物或其他液體。與模組C一樣,模組D汽化器512及模組D之下游組件可視情況在需要時預加熱。
與模組C一樣,若可選預加熱用於模組D,則模組D液體源510及模組D液體流量控制器511可不被預加熱以允許所供應之液體之更準確計量。模組D亦可允許所供應之液體蒸氣之更未稀釋之遞送,此係因為不存在運載氣體來稀釋汽化之液體。可顯著減少模組D汽化器512下游之氣體流之器件之缺失可相應地導致在模組D汽化器512下游未發現顯著壓力下降,其可減少冷凝之可能。轉向功能性之使用可允許模組D將汽化之液體反應物遞送至噴淋頭而無啟動延遲或不穩定性。
類似於模組D之模組E可經組態以供應汽化之反應物而不使用運載氣體。模組E可包含藉由流體管線及同軸閥而與模組E汽化器514流體連接之模組E液體源513。模組E汽化器514可藉由氣體管線而流體連接至模組E質量流量計515。模組E質量流量計515接著可以與模組B質量流量控制器504與歧管出口M及轉向出口D流體連接極為相同之方式與歧管出口M及轉向出口D流體連接。
若模組E使用可選預加熱,則模組E汽化器514及模組E質量流量計515均可預加熱,但模組E液體供應513可不預加熱。此情形允許遞送汽化之液體而不由運載氣體稀釋且允許在已發生汽化及預加熱之後計量蒸氣。
模組F可經組態以藉由運載氣體供應一種或兩種汽化之液體反應物。模組F可包含模組F第一液體源516、模組F第二液體源518及模組F氣體源517。模組F第一液體源516可經由流體管線及同軸閥而流體連接至模組F第一液體流量控制器519。模組F第一液體流量控制器519可經由流體管線及同軸閥而流體連接至模組F蒸發器522。類似地,模組F第二液體源518可經由流體管線及同軸閥而流體連接至模組F第二液體流量控制器521。模組F第二液體流量控制器521亦可經由流體管線及同軸閥而流體連接至模組F蒸發器522。模組F氣體源517可經由氣體管線及同軸閥而流體連接至模組F質量流量控制器520。模組F質量流量控制器520亦可經由氣體管線而與模組F蒸發器522流體連接。模組F蒸發器522可以與模組B質量流量控制器504與歧管出口M及轉向出口D流體連接極為相同之方式與歧管出口M及轉向出口D流體連接。
模組F可視情況經組態以預加熱模組F蒸發器522及下游組件。模組F第一液體源516及模組F第二液體源518可各自含有不同液體。舉例而言,模組F第一液體源516可含有第一前驅物,且模組F第二液體源可含有不同於第一前驅物之第二前驅物。
圖5B描繪以用於將O反應物提供於O分區527內之模組及用於將P反應物提供於P分區528內之模組為特徵之氣體遞送系統的一個實施之圖。在O分區527中,模組C 539在模組C液體源505中含有液體H2O且在模組C氣體源506中含有氣態He,模組A(O分區)530在模組A氣體源501內含有氣態He,模組A 531在模組A氣體源501內含有氣態O2,且模組A 532在模組A氣體源501內含有氣態NF3。在P分區528中,模組A 533在模組A氣體源501內含有氣態H2,模組A 534在模組A氣體源501內含有氣態N2,模組A(P分區)535在模組A氣體源501內含有氣態He,模組E 536在模組E液體源513內含有液體溶劑,模組E 537在模組E液體源513內含有液體第一前驅物,且模組E 538在模組E液體源513內含有液體第二前驅物。
O轉向管線523及P轉向管線524可分別與O分區527及P分區528中之模組的轉向出口連接。
來自模組C 529、模組A 530、模組A 531及模組A 532之歧管出口可連接至共同O歧管,共同O歧管藉由閥連接至雙流噴淋頭526。類似地,來自模組A 533、模組A 534、模組A 535、模組E 536、模組E 537及模組E 538之歧管出口可類似地連接至共同P歧管,共同P歧管經由閥連接至雙流噴淋頭526。遞送至雙流噴淋頭526之反應物可在轉移至雙流噴淋頭526期間在分區525中進一步加熱。分區525內之組件可加熱至與用於預加熱之溫度不同的溫度。舉例而言,分區525內之組件可加熱至高達150℃之溫度,但典型預加熱溫度可為約100℃。
雖然僅展示自O分區527通向雙流噴淋頭526之一個管線且僅展示自P分區528通向雙流噴淋頭526之一個管線,但在一些實施中,可存在自一或兩個此等分區伸展至雙流噴淋頭526之多個此等管線。舉例而言,若兩個前驅物用於P分區528中,此兩個前驅物各自具有針對在不分解之狀況下汽化之不同溫度或壓力要求,則可能需要防止混合兩 種前驅物直至將其引入至雙流噴淋頭526中為止。前驅物可(例如)使用通向雙流噴淋頭526之實體分離之氣體管線而保持分離。對於化學侵蝕性前驅物種類,選擇用於此等氣體管線及用於氣體遞送系統之對應模組中的各種組件之材料可經選擇以最小化前驅物對組件之腐蝕。
圖5C描繪氣體遞送系統實施,其很大程度上類似於圖5B中所展示之氣體遞送系統,但其中模組C 539、模組A 540及模組A 541分別替換模組C 529、模組A 530及模組A 535。模組C 539之模組C氣體源506、模組A 540之模組A氣體源501及模組A 541之模組A氣體源501均含有Ar而非He。
圖5D描繪氣體遞送系統實施,其很大程度上類似於圖5C中所展示之氣體遞送系統,但其中模組E 536用模組D 542來替換。模組D 542之模組D液體源510可含有溶劑。
圖5E描繪氣體遞送系統實施,其亦很大程度上類似於圖5C中所展示之氣體遞送系統,但其中模組E 536用模組C 543來替換。模組C 543之模組C液體源505及模組C氣體源506可分別含有溶劑及Ar。
圖5F描繪以用於將O反應物提供於O分區527內之模組及用於將P反應物提供於P分區528內之模組為特徵的氣體遞送系統之另一實施之圖。在O分區527中,模組C 539在模組C液體源505中含有液體H2O且在模組C氣體源506中含有氣態He,模組E 544在模組E液體源513中含有溶劑,模組A(O分區)540在模組A氣體源501內含有氣態Ar,模組A 531在模組A氣體源501內含有氣態O2,且模組A 532在模組A氣體源501內含有氣態NF3。在P分區528中,模組A 533在模組A氣體源501內含有氣態H2,模組A 534在模組A氣體源501內含有氣態N2,模組A(P分區)541在模組A氣體源501內含有氣態Ar,模組D 545在模組D液體源510內含有液體第一前驅物,且模組E 538在模組E液體源513內含有液體第二前驅物。
圖5G描繪氣體遞送系統實施,其很大程度上類似於圖5C中所展示之彼氣體遞送系統實施,但其中模組E 537用模組D 546來替換。模組D 546可在模組D液體源510內含有液體第一前驅物。
圖5H亦描繪氣體遞送系統實施,其很大程度上類似於圖5C中所展示之彼氣體遞送系統實施,但其中模組E 537用模組C 547來替換。模組C 547可分別在模組C液體源505及模組C氣體源506內含有液體第一前驅物及Ar氣體。
圖5I描繪氣體遞送系統實施,其很大程度上類似於圖5F中所展示之彼氣體遞送系統實施,但其中模組D 545及模組E 538用單一模組F 548來替換。模組F 548在模組F第一液體源516中含有第一前驅物,在模組F第二液體源518中含有第二前驅物,且在模組F氣體源517中含有Ar。
圖5J描繪氣體遞送系統實施,其很大程度上類似於圖5C中所展示之彼氣體遞送系統實施,但其中自P分區528移除模組E 536,且其中在O分區527中,模組C 539用模組F 549替換。模組F 549可在模組F第一液體源516中含有H2O,在模組F第二液體源518中含有溶劑,且在模組F氣體源517中含有Ar。
圖5K描繪氣體遞送系統實施,其很大程度上類似於圖5I中所展示之彼氣體遞送系統實施,但其中模組C 539及模組E 544用單一模組F 549來替換。模組F 549在模組F第一液體源516中含有H2O,在模組F第二液體源518中含有溶劑,且在模組F氣體源517中含有Ar。
圖5L描繪氣體遞送系統實施,其很大程度上類似於圖5J中所展示之彼氣體遞送系統實施,但其中模組E 537用模組C 547來替換。如圖5H中所論述之模組C 547可含有液體第一前驅物及Ar氣體。
圖5M描繪圖5L之氣體遞送系統,但具有可用以吹掃經轉向之反應物之轉向管線的額外Ar源。
在圖5A至圖5M中描畫之各種閥可在需要時打開或關閉以在晶圓處理之各種階段期間將O反應物及P反應物供應至雙流噴淋頭526。
反應器
模組包含反應器400,反應器400亦被稱為反應腔室、腔室等。反應器400充當密封環境,在密封環境內可流動間隙填充處理可發生。在許多實施例中,反應器400以徑向對稱之內部為特徵。減少或消除自徑向對稱內部之偏離幫助確保反應物的流動在晶圓410上以徑向平衡之方式發生。由徑向不對稱性導致的對反應物流之干擾可導致比其他區域上之沈積多或少之在晶圓410之一些區域上之沈積,其可產生在晶圓均一性方面不希望有之變化。
反應器400包含若干主要組件。在結構上,反應器400可包含腔室外殼402及頂板404。頂板404經組態以附接至腔室外殼402,且在腔室外殼402與氣體分配歧管/噴淋頭、電極或其他模組設備之間提供密封界面。不同頂板404可取決於製程之特定設備需要而用於同一腔室外殼402。
腔室外殼402及頂板404可由鋁(諸如,6061-T6)機械加工,但亦可使用其他材料,包括其他品種之鋁及其他非鋁材料。鋁之使用允許容易之機械加工及處理,且使得可利用鋁之高熱傳導性質。
頂板404可配備有電阻加熱毯以將頂板404維持於所要溫度下。舉例而言,頂板404可配備有經組態以將頂板404維持於40℃與80℃之間的溫度下之電阻加熱毯。除電阻加熱毯之外或作為電阻加熱毯之替代,可使用替代加熱源,諸如循環藉由頂板404之經加熱液體,或將電阻加熱匣供應給頂板404。
腔室外殼402可配備有經組態以將腔室外殼402維持於所要溫度下之電阻加熱匣。舉例而言,腔室外殼402可配備有四個電阻加熱匣,該四個電阻加熱匣位於腔室之四個角落中之每一者處。圖6以簡化平 面圖說明此組態。在圖6中,反應器600包含界定密封處理環境之具有內部細孔620之腔室610;腔室610可經組態以在角落中具有細孔以收納電阻加熱匣630。可回應於由電阻熱器件(RTD)640或其他溫度監控傳感器量測之溫度來以電子方式控制電阻加熱匣630。兩個RTD 640可位於腔室610之相反側處,其中每一RTD 640位於最近之兩個電阻加熱匣630中間。來自RTD 640之反饋可用以控制電阻加熱匣630及腔室610之溫度。亦可使用其他溫度控制系統,諸如循環藉由腔室壁中之細孔之經加熱之流體。
可在可流動間隙填充處理期間使用電阻加熱匣630將腔室內壁溫度控制於40℃與80℃之間的溫度。在一些實施中,頂板404可不包含加熱元件且,可替代地依賴自腔室電阻加熱匣630熱傳導熱以維持所要溫度。各種實施例可經組態以將腔室內壁及不需要沈積之其他表面(諸如,基座、裙緣及噴淋頭)溫度控制於約10℃至40℃之溫度,其高於目標沈積處理溫度。在一些實施中,此等組件可保持於高於此範圍之溫度。
藉由在處理期間活躍地加熱且維持反應器400之溫度,內部反應器壁可相對於晶圓410所維持之溫度保持於高溫下;稍後更詳細描述晶圓溫度。相對於晶圓溫度升高內部反應器壁之溫度可在可流動薄膜沈積期間最小化或消除反應物於反應器400之內壁上之冷凝。若反應物之冷凝發生於反應器400之內壁上,則冷凝可在內壁上形成不合需要之沈積層。
除加熱腔室外殼402及/或頂板404之外,或代替加熱腔室外殼402及/或頂板404,可將疏水性塗層塗覆至反應器400及具有潤濕表面之其他組件(諸如,基底420、絕緣環414或壓板422)之潤濕表面中之一些或全部以防止冷凝。此疏水性塗層可耐處理化學反應及處理溫度範圍(例如,40℃至80℃之處理溫度範圍)。一些以矽為主及以碳氟化合 物為主之疏水性塗層(諸如,聚乙烯)可能不與氧化(例如,電漿)環境相容且可能不適合於使用。可使用具有超疏水性質之基於奈米技術之塗層;此等塗層可為超薄型之,且除疏水性之外亦可擁有疏油性,其可允許此塗層防止用於可流動薄膜沈積中之許多反應物(諸如,TES、乙醇及水)之冷凝及沈積。合適的超疏水性塗層之一個實例為二氧化鈦(TiO2)。
在一個實施例中,反應器400可以傾斜底板來實施。舉例而言,反應器400之底板可為圓錐表面而非平面表面。反應器底板可傾斜以使得沈積於反應器底板上之任何冷凝物朝向反應器400之底部外部內邊緣流動。或者,反應器底板可傾斜以將此冷凝物指引朝向反應器400之中心。排出端口可包含於任何此冷凝物所收集之位置中。在一些實施中,可使用反應器底板之平面翹起以代替圓錐傾斜;然而,與平面翹起相比,圓錐傾斜可減少反應器400之製造複雜性。
反應器400亦可包含經組態以在反應器400中在晶圓處理操作期間量測壓力之壓力傳感器。舉例而言,壓力傳感器可裝配於反應器400之內壁,反應器400之內壁中之凹部內,及/或反應器400之外部上。若壓力傳感器裝配於反應器400之外部上,則可提供壓力監控端口以允許壓力傳感器與反應器400之內部流體連接。若實施壓力監控端口,則壓力監控端口可經組態以具有主軸,主軸水平或傾斜以使得主軸處於其與反應器400之內壁相交之其最低點處。以此方式,將藉由重力促使形成於壓力監控端口中之冷凝物自壓力監控端口排出。壓力傳感器亦可個別地加熱以防止冷凝物形成且影響壓力傳感器。壓力傳感器可經組態以在反應器400內之一或多個位置處量測壓力。舉例而言,壓力傳感器可經組態以在繞反應器400之內直徑之若干位置及在基座420在如由基座420'所展示之高起位置中時位於噴淋頭408與晶圓410之間的垂直位置處獲得壓力量測。壓力傳感器亦可在晶圓410正經 歷沈積處理時裝配於大致與晶圓410之平面一致之高度。
反應器壓力傳感器可在晶圓處理期間提供反應區域中之壓力讀數。此等壓力讀數可用以驗證繞反應區域周邊之壓力梯度相對均一。壓力讀數亦可用以驗證處理壓力保持處於處理參數內。壓力傳感器亦可用於封閉迴路控制實施中,其中回應於來自壓力傳感器之反饋來調節反應物之出口流速。舉例而言,若由壓力傳感器量測之反應區域壓力指示將不維持所要之反應區域壓力,則反應物出口流速可降低以抵消壓力下降(或在反應區域壓力超過所要之反應區域壓力之狀況下增加)。可使用(例如,可變角度節流閥(諸如,蝶形閥))來管理可變出口流速之此等減少及增加。可根據來自壓力傳感器之反饋來調節閥之節流板的角度。
具有不同靈敏度之壓力傳感器可用以允許在寬壓力範圍上之準確量測。舉例而言,100托壓力計及10托壓力計可用以允許在高壓力及低壓力下之準確壓力量測。
反應器400亦可包含真空源流動路徑或用於自反應器400抽空氣體且誘發橫跨晶圓410之反應物流之其他構件。舉例而言,反應器400可包含與真空源流體連接之一系列徑向配置之端口。徑向配置之端口可位於反應器400之底部表面上。端口可均勻地間隔且可各自具有大致相同之大小。可將徑向配置之端口整合於可移除式擋板中,該可移除式擋板可安裝於存在於反應器400之底部表面中之實質上環形通道之上。環形通道可為真空源流動路徑之部分且可包含徑向凹室,從而提供與真空源流動路徑之流體流動連接。
反應器400中之可移除式擋板及下層環形通道之一個實施例可見於圖7A至圖7C中。圖7A描繪可移除式擋板701,其包含環形區703及徑向延伸區705。可移除式擋板701包含24個均勻間隔之孔707。孔707可在直徑上為0.225英寸,且可提供約0.95平方吋之總橫截面流動面 積,但孔707之直徑範圍大小可為自0.085英寸至0.3英寸,且給定可移除式擋板之孔707應均為相同之標稱大小。孔707可保持於嚴格之直徑容限(諸如,±0.001英寸)以最小化流動不對稱性。可提供額外孔709以促進將可移除式擋板701裝配於反應器711;反應器711將類似功能性提供給反應器400。
反應器711可包含環形通道713及其他特徵。環形通道713可包含將環形通道713與真空端口717流體連接之徑向凹室715。環形通道713可具有1.5平方吋之標稱橫截面積;環形通道713之橫截面積在(例如)徑向凹室715附近可更大。可在圖7B中觀察到此等特徵。
圖8描繪用於諸如上文關於圖7A至圖7C描述之實施例之徑向流動分佈之圖。描繪了三種情形:可移除式擋板不存在之情形,可移除式擋板包含24個直徑為0.225英寸之孔之情形,及可移除式擋板包含24個0.3英寸孔之情形。對於每種情形,模擬了表示製程流程之流體流動並針對圍繞流動路徑中之晶圓之周界之點獲得正規化流動結果。歸因於對稱作用,只針對總晶圓周界之一半展示數據。如可見,對於無可移除式擋板之情形,圍繞晶圓之周界的流動自92%之平均周界流動變化至113%之平均周界流動。對於具有0.225英寸直徑孔之可移除式擋板,變化為約0.4%;對於0.3英寸直徑孔,變化為約1.9%。
亦可設想可移除式擋板之其他組態。舉例而言,可移除式擋板701可包含不同數目個孔707及/或不同直徑孔707。環形通道713不限於環形形狀並且亦可使用其他形狀(諸如,直通道或掠過C形路徑而非藉由完整圓之通道)實施。可移除式擋板701亦可製造為在安裝於反應器711中時可實質上圍繞基座驅動柱之兩件或兩件以上。可移除式擋板701之實施例可以總橫截面流動區域為特徵,其中可移除式擋板之總橫截面流動區域與環形通道713之徑向橫截面流動區域之比為約1:10,但特定組態可變化。
真空端口717可與真空源(未圖示)連接,該真空源經組態以在反應器711中抽出真空或部分真空。可變角度節流閥可插入於真空端口717與真空源之間;可變角度節流閥可用來變化經由真空端口717提供之吸取之程度。
圖7C展示反應器700之剖面圖。環形通道713可見,如同可移除式擋板701可見。環形通道713與真空端口717流體連接。
反應器400亦可包含如在圖9中展示之反應器900之實施例中所展示之遠端電漿源端口901,遠端電漿源端口901可用來將電漿處理氣體引入至反應器400中。舉例而言,遠端電漿源端口810可作為將蝕刻或清潔氣體(諸如,NF3)引入至反應區域之構件而提供,而不需要藉由噴淋頭408投送蝕刻或清潔氣體。遠端電漿源端口810亦可用來遞送可用來使NF3平靜之氫氧惰性氣體混合物。藉由構件而非噴淋頭408提供蝕刻氣體允許噴淋頭408專用於沈積處理,並在反應器400中提供較均一之電漿形成。
在反應器清潔之情況中,有兩種選擇來活化NF3:直接電漿及遠端電漿。在直接電漿之狀況下,若藉由噴淋頭投送NF3,則電漿將較均一,此可提供較好可重複性。有時候,在待清潔之區域在基座之外邊緣處之情況下,NF3可能不需要藉由整個噴淋頭投送,而可替代地(例如)藉由在晶圓周界附近之噴淋頭之環形區來投送。在遠端電漿之狀況下,藉由噴淋頭來投送活性NF3(主要為原子F)通常為較不需要之,因為原子F將在任何表面處(諸如,噴淋頭之內部)重組,此減少清潔速率。高反應性原子F可導致對氣體分配系統之內部組件(諸如,O形環及閥)之損壞。
氣體分配歧管/噴淋頭
模組可包含以所要方式促進跨越晶圓之氣體分配之氣體分配歧管或噴淋頭。在可流動間隙填充處理中,噴淋頭408可經組態以分別將 氧化劑及前驅物遞送給反應區域來防止將此等反應物引入至反應器400中之前氧化劑與前驅物混合。一旦氧化劑與前驅物被允許混合,其便可形成可流動薄膜。若可流動薄膜在引入至反應器400中之前在噴淋頭408中形成,則可流動薄膜可干擾藉由噴淋頭408之反應物之均勻分配。舉例而言,若可流動薄膜在噴淋頭408內形成,則該薄膜可部分或完全阻塞下文描述之小孔中之一些,該等小孔可用來跨越晶圓410之表面分配反應物。此等阻塞可導致跨越晶圓410之不均勻之流體流動。另一擔憂為由反應物混合導致之粒子形成。粒子可形成並夾帶在反應物流中,且可污染已處理晶圓,或可衝擊晶圓表面並導致表面不規則性。
噴淋頭408經組態以向反應器400提供雙流氣體遞送。雙流噴淋頭408經組態以經由單獨遞送路徑跨越反應器中之反應區域均勻地分配氧化劑及前驅物。舉例而言,雙流噴淋頭408可包含O充氣部432及P充氣部434。每一充氣部可經由複數個流動路徑(諸如,經由面向晶圓之噴淋頭面穿透至每一各別充氣部中之小孔之圖案)與反應器400之內部流體連接。如在圖4B中說明,藉由雙流噴淋頭408中之O充氣部432及P充氣部434分配之O反應物442及P反應物444流體地分離直至其被引入至反應器400中為止,此時,O反應物442與P反應物444互相混合以形成沈積氣體混合物446。沈積氣體混合物446流動跨越晶圓410,且藉由在基座420'與裙緣406之間的環形間隙流入反應器400之較大內部容積中。可定位用於每一各別充氣部之孔圖案之孔以便跨越處理區域均勻地分配充氣部之各別反應物。
O充氣部432可經由O充氣部孔448之O圖案與反應區域流體連接。類似地,P充氣部434可經由P充氣部孔450之P圖案與反應區域流體連接。O充氣部孔448及P充氣部孔450之直徑可經組態以使得來自O充氣部孔448之O反應物442的平均排出速度實質上與來自P充氣部孔450之 P反應物444之平均排出速度匹配。
可按不同體積流速將O反應物442及P反應物444自氣體遞送系統320供應給噴淋頭408。舉例而言,在可流動氣體填充處理期間,可按比將P反應物444遞送給噴淋頭408之體積流速大四倍之體積流速將O反應物442自氣體遞送系統320遞送給噴淋頭408。因此,O充氣部432可包含與P充氣部434中之P充氣部孔450具有相同直徑之O充氣部孔448,但包含多達P充氣部孔450之四倍之O充氣部孔448。或者,O充氣部432可包含相同數目個O充氣部孔448及P充氣部孔450,但O充氣部432中之每一O充氣部孔448可具有比P充氣部434中之P充氣部孔450之橫截面積大四倍之橫截面積。亦可使用其他組態,諸如調整充氣部孔之直徑/橫截面積及用於給定充氣部之充氣部孔之數目。在一些實施例中,O充氣部孔448之總橫截面積與P充氣部孔450之總橫截面積之比實質上等於氧化劑反應物(即O反應物442)之體積流速與前驅物反應物(即P反應物444)的體積流速之比。
在特定實施例中,噴淋頭408以如在圖10中展示之O圖案及P圖案為特徵。圖10中展示之孔圖案1000經開發以供雙流噴淋頭使用,該雙流噴淋頭經設計以供TES+乙醇+氦P反應物及蒸氣+氦O反應物及約500sccm至5000sccm之總流速使用。O圖案以具有0.040吋之直徑之1456個O孔1010為特徵。P圖案以具有0.019吋之直徑之1616個P孔1020為特徵。O孔1010之O圖案之總橫截面積為約1.83平方吋。P孔1020之P圖案之總橫截面積為約0.46平方吋。P圖案橫截面積與O圖案橫截面積之總比為約1:4。
圖10中展示之孔之O圖案及孔之P圖案皆為直線圖案,其中X方向及Y方向間隔相等;O圖案與P圖案偏離彼此,使得一內部孔圖案對角地位於另一圖案之最近之四個孔之間的中心。亦可預期其他孔圖案,諸如六角圖案、非均等直線圖案、圓形圖案、螺旋圖案及具有取決於 與晶圓之中心之孔距離而變化之間隔的圖案。
用於充氣部之充氣部孔亦可被定大小來防止反應物至反應器中之過度噴射。當來自噴淋頭408之反應物流抵制自薄層流動狀態轉變為湍流流動狀態時,過度噴射發生,此可導致反應物流在接觸晶圓410之前不能有效地與彼此混合,或可歸因於反應物中之不均勻壓力波前而導致在沈積之可流動薄膜中形成凹坑或碗狀特徵。可調整反應物之流速以在受處理之晶圓之表面處或附近產生恆定或接近恆定之壓力波前。一般而言,反應物流速、充氣部孔數量及在充氣部孔與晶圓表面之間的間距都有助於可接受充氣部孔直徑之確定。舉例而言,充氣部流動孔可根據以下關係式設計:L/D0.112Pe,其中L為混合長度(例如,在充氣部流動孔出口與晶圓之間的距離),D為在鄰近充氣部流動孔之間的距離,且Pe為反應物流之(質量色散)佩克萊數。
可將藉由噴淋頭充氣部之反應物運輸時間最小化至可能增加系統響應性之程度。在一些實施例中,噴淋頭充氣部容積應小於反應區域之容積的10%至20%。對於雙流噴淋頭,可匹配在每一充氣部內之反應物的停留時間以確保來自兩個充氣部之反應物之同步遞送。舉例而言,若O反應物流速比P反應物流速大X倍,則O充氣部在容積上可比P充氣部大X倍。舉例而言,具有比P充氣部之容積大4倍的容積之O充氣部之噴淋頭可用於O反應物流速約比P反應物流速大4倍之系統中。
儘管本文中描述雙流充氣部,但單流充氣部可用來跨越晶圓處理區域分配反應物。舉例而言,反應物可在引入至反應器中之前供應給噴淋頭,並可在單一充氣部內混合。儘管雙流噴淋頭可在反應物在噴淋頭中之壓力及溫度條件處以氣相反應時使用,但單流噴淋頭可在一些處理情形中提供可接受替代方案,其中噴淋頭內之壓力及溫度條件使得反應物不反應或以減少之程度反應。另外,單流噴淋頭可在噴淋頭內之反應物之停留時間短時使用。在此等狀況下,可能不需要反應 物之實體分離來減輕噴淋頭內之不希望有之沈積。
噴淋頭408可包含可在可流動間隙填充處理期間將噴淋頭溫度維持於可接受處理參數內之加熱元件或熱傳導路徑。舉例而言,噴淋頭408可熱耦合至頂板404,頂板404可安裝有如上文所論述之電阻加熱毯。電阻加熱毯可經由頂板404向噴淋頭408提供熱,且經組態以將噴淋頭408加熱至40℃與150℃之間,但在一些組態中,噴淋頭可通常加熱至約100℃。噴淋頭408可因此相對於受處理之晶圓410維持於高溫。藉由將噴淋頭408維持於高溫,防止了沈積氣體混合物446在噴淋頭408內之冷凝。在噴淋頭408為單流設計之實施例中,加熱噴淋頭408亦可防止可存在於噴淋頭充氣部內之任何沈積氣體混合物446之冷凝。
噴淋頭408亦可包含用於在反應區域內產生電漿環境之RF電極。基座420亦可包含用於在反應區域內產生電漿環境之RF電極。此等電漿環境可使用供電電極與接地電極之間的電容耦合來產生;可與電漿產生器連接之供電電極可與噴淋頭408中之RF電極對應。接地電極可與基座RF電極對應。替代組態亦係可能的。電極可經組態以產生在13.56MHz範圍、27MHz範圍中,或更一般而言在50kHz與60MHz之間的RF能量。在一些實施例中,可提供多個電極,此等電極各自經組態以產生特定頻率範圍之RF能量。在噴淋頭408包含供電RF電極之實施例中,卡盤412可包含或充當接地RF電極。舉例而言,卡盤412可為接地鋁板,此可導致跨越基座-卡盤-晶圓界面之增強之冷卻,此係因為鋁相對於其他材料(諸如,陶瓷)具有較高熱傳導性。鋁板亦可允許在鋁板之背面中機械加工出冷卻通道以允許液體冷卻劑在卡盤412內循環;此等通道可歸因於熱膨脹應力而導致陶瓷板中產生裂縫。此稍後在下文中進行論述。將RF電極包含於具有接地電極之噴淋頭408中亦可導致對晶圓之較低離子轟擊。
圖7說明反應器700之一個實施例,反應器700以裝配至頂板704之噴淋頭708為特徵。電阻加熱元件710嵌入於噴淋頭708之頂部上的凹槽中,且可用來加熱噴淋頭708。
儘管上述實施例論述以接地鋁板RF電極為特徵之卡盤,但卡盤之其他實施例可不包含與鋁卡盤整合之RF電極。
裙緣或遮擋物
裙緣406或遮擋物(下文中稱為「裙緣」)可用來提供在反應器400內之反應物流之機械障壁。在裙緣406與基座420'之間的界面可在徑向方向上限制沈積氣體混合物446流出反應區域。界面可構成環形間隙,其中外徑由裙緣406之內徑界定,且內徑由基座420'之外徑界定。用於典型晶圓之環形間隙可在0.112英寸與0.125英寸之間,其中0.125英寸之標稱間隙大小用於具有14.25英寸內徑之裙緣。基座420'及裙緣406可經組態以使得基座420'相對於噴淋頭408之相對位置可自沈積組態改變成清潔或電漿處理組態,且反之亦然,而不更改環形間隙之橫截面流動面積。
裙緣406可藉由此流量限制而導致背壓產生於反應區域中。裙緣406不應與用於及基座420'形成氣密密封之其他半導體製造程序中之遮擋物混淆。
裙緣406亦可用來在於反應器400中執行電漿處理時將電漿限制於反應區域中。儘管可流動填充間隙處理在可流動間隙填充操作期間不需要電漿,但電漿仍可用於清潔、預沈積處理、間隙填充後處理、固化或其他操作中。裙緣406亦可用來藉由變化反應區域中之背壓來調整電漿之大小。
裙緣406亦可對反應器400內之熱流產生影響。
裙緣406可自陶瓷材料製成。若電漿處理亦在反應器400內發生,則裙緣406亦可自介電材料製成。可藉由使用置放在裙緣406中之加熱 元件及/或藉由來自頂板404或與裙緣406傳導地耦合之其他組件之熱傳導來加熱裙緣406。裙緣406可經組態以在沈積處理期間加熱至在40℃與80℃之間的溫度。因為在可流動間隙填充期間不需要裙緣406來與基座420'形成接觸密封,所以裙緣406可相對於基座420'及晶圓410維持於高溫而不將傳導熱傳遞給基座420'及晶圓410。
裙緣406可經組態以裝配至頂板404或安置於頂板404中,且可提供用於裝配噴淋頭408之界面。各種實施例使用替代裝配組態。舉例而言,噴淋頭408及裙緣406都可直接裝配至頂板404而不直接與彼此介接。在特定實施例中,裙緣406可與噴淋頭408或與頂板404整合,且可能並非明顯之組件。
基座
基座420在處理期間經由稍後論述之卡盤向晶圓提供軸向支撐。基座420可經組態以在處理期間升高及降低(如由基座420'指示)來促進不同處理階段或晶圓410裝載及卸載。基座420亦可提供電力以用於使電漿發火花。基座420亦可提供冷卻及/或加熱能力以用於在處理期間控制卡盤412及晶圓410之溫度。
在可流動間隙填充處理期間,可定位基座420'以使得晶圓410定位於噴淋頭408下方約12mm。裙緣406組態、基座420'大小及相對於裙緣406之基座420'位置可在基座420'與裙緣406之間界定環形基座流動區域。反應區域中之背壓可隨環形基座流動區域、反應物之體積流速、歸因於化學反應之壓力增加及環境條件而變化。
在各種實施例中,在可流動間隙填充處理期間定位基座420'以使得反應區域中之背壓維持於約25托。在可流動間隙填充處理之後,可重新定位基座420'以產生較大環形間隙來用於快速背壓釋放或用於晶圓處理。在特定實施例中,可在沈積期間基於時間或到達之反應區域壓力設定點連續地或每隔一段時間重新定位基座420'。在可流動間隙 填充處理期間,晶圓410/噴淋頭408間隔可大於或小於12mm,此取決於其他參數,諸如基座420'大小或裙緣406之尺寸及位置。
在電漿處理期間(諸如,在晶圓清潔操作期間),可定位基座420'以使得晶圓410定位於噴淋頭408下方約25mm。在清潔之準備中,基座420可相對於基座420用於可流動間隙填充處理時所在之位置重新定位以促進壓力自反應區域快速排出。
基座420可包含壓板422或基板、基座驅動柱424及驅動機構(未圖示)。壓板422或基板(下文中稱為「壓板」)可為圓形實質上平坦表面。壓板422可充當用於卡盤412之界面,卡盤412經組態以收納用於處理之晶圓410。或者,在一些處理中,晶圓410可直接置放於壓板422上。基座驅動柱424向壓板422提供軸向支撐,且可經組態以沿腔室中心軸線平移在腔室外殼402內之壓板422。基座驅動柱424可突出而穿過腔室外殼402之底板,且與驅動機構連接。密封件426可密封在腔室外殼402與基座驅動柱424之間的界面,以防止反應器400與外部環境之間的流體流動。驅動機構經組態以在豎直方向上(亦即,朝著或遠離噴淋頭408)平移基座驅動柱424及壓板422。
基座420可包含用於冷卻或加熱裝配至壓板422之卡盤412之特徵。舉例而言,基座420可包含使已冷之冷卻劑自外部制冷器循環穿過壓板422之冷卻劑迴路428。其他組態可導引冷卻劑迴路428以穿過(例如)卡盤412。冷卻劑迴路428可由可用來升高壓板422之溫度之加熱器(未圖示)(諸如,電阻加熱元件)增大。藉由使用制冷器及加熱器,達成所要溫度設定點所需要之時間可顯著減少。舉例而言,若晶圓410需要自20℃冷卻至-5℃,則可使用具有-5℃之設定點之制冷器。然而,若結合加熱器使用制冷器,則可將制冷器設定至低於-5℃之設定點,此將加速冷卻程序。一旦到達-5℃標記,加熱器就可用來抵消制冷器。舉例而言,制冷器可具有-20℃至+80℃之設定點,及/ 或經組態以支援-15℃至+80℃之卡盤設定點。以此方式,將晶圓冷卻至所要工作溫度之總時間可顯著減少,此減少處理時間並增加系統之產量。較低制冷器設定點亦可用來抵消自熱晶圓給予已冷壓板之熱。在一些實施例中,制冷器可經組態有比晶圓處理溫度低1℃至5℃之設定點。
加熱器(及/或制冷器)亦可經組態以在電漿處理期間將壓板加熱至大於70℃(例如,80℃)之溫度來避免電漿反應物冷凝於壓板、基座、卡盤或晶圓上。加熱器(及/或制冷器)可經組態以將壓板或基座加熱至在30℃與50℃之間的溫度(例如,40℃)來解除吸附反應之產物及副產物。可在腔室外殼402及腔室內之其他組件中誘發類似溫度。
壓板422及裙緣406可經設計以具有緊密之同心度容限。藉由維持在壓板422與裙緣406之間的高同心度程度,在裙緣406與裝配至壓板422之絕緣環414之間形成之環形間隙可繞絕緣環414之周界維持於接近恆定值。此促進跨越晶圓410之均勻氣流並減少不平衡沈積。
壓板422相對於裙緣406之同心度可藉由使用壓板422上之徑向定位器特徵來增強,該徑向定位器特徵與裙緣406嚙合以在徑向上相對於裙緣406將壓板422定於中心。當然,徑向定位器特徵亦可定位於裙緣406上並與壓板422介接。替代實施例可涉及壓板422上之徑向支座,徑向支座及腔室外殼402之側壁嚙合;若裙緣406類似地配備有徑向定位器特徵,則腔室外殼402可充當可由兩個組件用來建立同心關係之共同參考表面。此後者組態具有允許徑向定位器特徵離由裙緣406及絕緣環414形成之環形間隙某一距離而定位之益處,此減輕由定位於壓板-裙緣環形間隙附近之徑向定位器特徵之存在引起的流動不平衡。
基座420可將基座吹掃氣體管線430併入於基座驅動柱424中來防止基座420內之沈積、冷凝或結冰。如圖4C中所展示,基座吹掃氣體 管線430可使吹掃氣體452(諸如,清潔乾空氣(CDA)或氮氣)循環穿過基座驅動柱424;吹掃氣體452亦可經加熱以進一步抑制在基座驅動柱424內形成冷凝或冰。用加熱之CDA或氮氣來加熱基座驅動柱424之內部亦可用來間接加熱基座驅動柱424之外部,此亦可防止在外表面上之冷凝或結冰。
基座420亦可經組態以將吹掃氣體遞送給晶圓410之周界。舉例而言,如圖4D中所展示(圖4D描繪在圖4A至圖4C及圖4E中所描繪之實施例之變化),吹掃氣體52可藉由基座驅動柱424遞送至分配系統,分配系統自晶圓410之下側圍繞晶圓410之周邊均勻地分配吹掃氣體452。吹掃氣體452可因此用來保護卡盤412之表面及絕緣環414以防不希望有的沈積。吹掃氣體452亦可用來防止圍繞晶圓410之周邊的增加之沈積。吹掃氣體452亦仍可供應給基座驅動柱424之內部,但此特徵在圖4D中未展示。
卡盤
卡盤412在晶圓處理期間充當基座420與晶圓410之間的界面。卡盤412可實現許多作用。卡盤412在處理期間在豎直方向上支撐晶圓410。卡盤412亦可並有將晶圓410限制於徑向方向上並防止晶圓410相對於卡盤412旋轉之特徵或技術。
在本發明之一個實施例中,卡盤412可為可包含具有嵌入式RF電極416之陶瓷圓盤之靜電卡盤(ESC)。RF電極416可經組態為偏壓電極,且提供電力來產生並維持在反應器400內產生之電漿。舉例而言,RF電極416可經組態以按13.65MHz將3kW之電力供應給在反應器400內產生之電漿。在此實施例中,噴淋頭接地;在其他實施例中,接地在具有供電噴淋頭408之基座驅動柱424中。在電漿未使用或電力提供給其他組件(諸如,噴淋頭408)之組態中,卡盤412可包含接地鋁圓盤。在處理期間相比於其他卡盤材料,接地鋁圓盤可具有較高 熱傳導性並允許晶圓410之較快加熱及冷卻。
以接地鋁卡盤為特徵之實施例可簡單地將接地鋁卡盤整合至基座驅動柱424中之組件中。舉例而言,卡盤412及壓板422可為一個整合組件而非單獨件。此歸因於兩個零件之間的界面之消除而將比單獨卡盤412/壓板422組態提供改良之熱傳導。
卡盤412可具有嵌入在卡盤412內部或附接至卡盤412之外表面之加熱特徵(諸如,電阻加熱元件418)。卡盤412亦可包含用於提供冷卻之特徵,諸如用於冷凍之冷卻劑循環之珀爾帖接面(Peltier junction)或冷卻劑流動路徑。此等加熱及冷卻特徵可增大或替換上文在基座之論述中所提到的特徵。在一些實施例中,冷卻特徵可定位於一個組件中,且加熱特徵可定位於另一組件中。舉例而言,卡盤412可包含嵌入在包括卡盤412之殼體之陶瓷圓盤內之電阻加熱元件418,且壓板422可包含經組態以使圖4E中展示之冷卻劑454在卡盤412與晶圓410之間的界面表面下方循環之冷卻劑迴路428。冷卻劑454可用來冷卻壓板422,並經由傳導熱傳遞冷卻卡盤412。可對電阻加熱元件418供電來直接在卡盤412中產生熱量456。因此,可加熱及冷卻卡盤412。
冷卻劑454可自遠端制冷器(諸如,來自Solid State Cooling之Thermorack 1200)循環。制冷器可距基座遠端地裝配以減少基座總成中之振動。制冷器可經組態以基於來自定位於基座420或卡盤412內之溫度感測器件之反饋調節冷卻劑454溫度。舉例而言,卡盤412可組態有可向制冷器提供關於卡盤412之當前溫度之反饋的一或多個RTD。制冷器可調高或調低冷卻劑454溫度,此取決於來自RTD之溫度反饋。將一或多個RTD定位在卡盤412內或緊密接近卡盤412(諸如,在基座420中在壓板422/卡盤412界面附近)可比依賴在制冷器自身中之RTD之組態改良冷卻響應時間達幾乎50%。使用一或多個卡盤裝配式RTD之替代方案係使用能夠遠端量測之傳感器(例如,Lumasense紅外 線溫度計)。遠端感測器件(諸如,Lumasense溫度計)之使用允許晶圓410之溫度而非卡盤之溫度用於控制制冷器。基於晶圓410溫度之讀數來管理卡盤412溫度將導致晶圓410之較準確的熱控制。
在晶圓410處理期間,卡盤412及/或冷卻劑迴路428可降低晶圓410之溫度,以促進沈積氣體混合物446在晶圓410上冷凝為可流動間隙填充材料。舉例而言,冷卻劑迴路428可將卡盤412及晶圓410之溫度降低至-5℃之設定點以用於可流動間隙填充處理。
電阻加熱元件418亦可經組態以將卡盤412加熱至實質上高溫。舉例而言,電阻加熱元件418可經組態以在電漿清潔操作期間將卡盤412加熱至80℃來防止在電漿清潔操作期間之冷凝。
在以至晶圓410之周界之吹掃氣體遞送為特徵的一些實施例中(如先前在描述基座之部分中所論述),晶圓可使用實際支座自卡盤之表面偏離,且吹掃氣體可引入至在晶圓410與卡盤412之界面表面之間的間隙中。支座可經組態以用最低程度地干擾在晶圓410與卡盤412之間的吹掃氣體流之方式支撐晶圓410。吹掃氣體可經由位於卡盤412中之端口引入至在晶圓410與卡盤412之間的間隙中。
周界吹掃氣體遞送實施例亦可包含在卡盤412、絕緣環414或其他基座420組件上之特徵,此等特徵在吹掃氣體排出在晶圓410與卡盤412之間的區時引導吹掃氣體流。舉例而言,絕緣環414可包含圍繞晶圓410並具有稍大於晶圓410之外徑之內徑之凸出環形突起。在此實施例中,及在吹掃氣體在晶圓410與卡盤412之間時所經歷之大徑向流動形成對比,吹掃氣體一般可在到達晶圓410之周界之後在軸向方向上流動。此等實施例可用來減少在晶圓410之周邊處(例如,在晶圓斜面區或晶圓側面區中)之可流動薄膜沈積。
先前介紹之圖7C描繪用於特定實施例之反應器700及裝配至基座720之組件。冷卻劑管線728投送冷卻劑穿過基座軸724及壓板722至卡 盤712。冷卻通道728'遍及卡盤712分配冷卻劑。電阻加熱元件亦可嵌入在卡盤712內,但圖7中未展示。絕緣環714圍繞卡盤712。卡盤712可包含跨越卡盤之晶圓支撐區而配置之吹掃氣體分配孔719。卡盤712亦可以支座(未圖示)為特徵,支座在處理期間向晶圓提供支撐並允許吹掃氣體朝著已處理晶圓之周邊流動。
絕緣環
基座420亦可包含絕緣環414。絕緣環414可用來遮擋基座420及卡盤412之表面以免於在晶圓處理期間形成之電漿。絕緣環414亦可遮擋基座420及晶圓410之表面以免於在晶圓處理期間之不希望有之沈積或冷凝。最後,絕緣環414可防止電漿弓向卡盤412或RF電極416。
絕緣環414可自諸如氧化鋁之材料製作,且可形成為圓形形狀。絕緣環414可製造成具有稍大於壓板422之外徑的第一內徑及稍大於卡盤412之直徑的第二內徑。
若絕緣環414用於基座420中,則絕緣環414之邊緣或表面可界定如先前論述之在基座420與裙緣406之間的環形間隙之一個邊界。若基座420用來調節藉由沈積區域之氣體流,則絕緣環414及裙緣406之同心性將至少部分決定藉由環形間隙之流動均一性。在此等組態中,必須緊密控制絕緣環414之尺寸容限,如同在絕緣環414一旦安裝在基座420上時必須緊密控制絕緣環414之位置。
絕緣環之一個實施例可以直徑為14英寸之環形環為特徵。環形環可以針對實質上0.5英寸至0.6英寸之環形環厚度之實質上11.5英寸至12英寸之內徑為特徵。環形環之內徑可接著針對實質上0.25英寸至0.375英寸之環形環厚度逐步增加至實質上12.75英寸至13英寸之直徑。最後,環形環可針對實質上0.625英寸至0.75英寸之環形環厚度逐步增加至實質上13英寸至13.125英寸之直徑。環形環之總厚度可為約1.375英寸至1.725英寸。亦可存在其他特徵(諸如,倒角、小肩角及圓 角)及定位器或索引特徵。
替代反應器及模組組態
上文揭示之系統及結構亦可包含其他反應器或模組組態,諸如經配備以用於介電薄膜之沈積及/或預沈積或後沈積處理之反應器或模組,包含HDP-CVD反應器、PECVD反應器、低於大氣壓之CVD反應器、經配備以用於CVD反應之任何腔室、用於PDL(脈衝沈積層)之任何腔室及經配備以用於CFD之腔室。圖11至圖13為可包含於工具組態(諸如,圖2A及圖2B中展示之工具組態)中之模組或反應器之實例。
圖11展示可根據本發明之特定實施例使用之反應器或模組之實例。反應器1100可用作沈積腔室、處理及沈積腔室或用作獨立固化模組。反應器1100適合用於暗(非電漿)或電漿增強之沈積及處理(例如,經由電容耦合之電漿)。如所展示,反應器1100包含圍封反應器之其他組件並用來容納由電容式系統產生之電漿之處理腔室1124,處理腔室1124包含結合接地加熱器框1120工作之噴淋頭1114。低頻率RF產生器1102及高頻率RF產生器1104連接至噴淋頭1114。電力及頻率足以自處理氣體產生電漿(例如,400W至700W之總能量)。在一些實施中,產生器不用於(例如)非電漿沈積或處理。在電漿處理步驟期間,可使用一或兩個產生器。舉例而言,在典型方法中,高頻率RF組件一般在2MHz至60MHz之間;在較佳實施例中,組件為13.56MHz。
在反應器內,晶圓基座1118支撐基板1116。基座通常包含用來在沈積及/或電漿處理反應期間及之間抓持並轉移基板之卡盤、叉架或起模針。卡盤可為可用於工業及/或研究中之靜電卡盤、機械卡盤或各種其他類型之卡盤。
經由入口1112引入處理氣體。多個源氣體管線1110連接至歧管1108。可預混合或不預混合氣體。混合碗狀/歧管管線之溫度應維持在反應溫度以上之水平處。在約80℃處或以上之溫度通常足夠。使用 適當之裝設閥門及質量流量控制機構來確保在方法之沈積及電漿處理階段期間遞送正確氣體。在化學前驅物以液體形式遞送之狀況下,使用液體流量控制機構。液體接著在到達沈積腔室之前在加熱至其汽化點以上之歧管中的輸送期間汽化並與其他處理氣體混合。
處理氣體經由出口1122排出腔室1124。真空泵1126(例如,單級或雙極機械乾式泵及/或渦輪分子泵)通常將處理氣體抽出並藉由關閉迴路控制之流量限制器件(諸如,節流閥或擺閥)維持反應器內之適合低壓。
圖12為根據某些實施例之遠端電漿處理模組之簡化示意圖。裝置1200具有由噴淋頭總成或面板1217分離之電漿產生部分1211及曝露腔室1201。在曝露腔室1201內,壓板(或平台)1205提供對晶圓1203之支撐。壓板1205裝有加熱/冷卻元件。在一些實施例中,壓板1205亦經組態以用於將偏壓施加給晶圓1203。在曝露腔室1201中經由真空泵經由管道1207達到低壓。氣態處理氣體之源經由入口1209將氣體流提供至裝置之電漿產生部分1211中。電漿產生部分1211可由感應線圈(未圖示)環繞。在操作期間,氣體混合物被引入至電漿產生部分1211中,感應線圈受激發,且電漿產生於電漿產生部分1211中。噴淋頭總成1217可具有施加電壓,且終止一些離子之流動並允許中性種類流入曝露腔室1201中。
圖13為根據各種實施例之可用於預沈積及/或後沈積處理及/或固體氧化物材料之沈積之HDP-CVD裝置之各種組件之簡化說明。如所展示,反應器1301包含圍封反應器之其他組件並用來容納電漿之處理腔室1303。在一個實例中,處理腔室壁係自鋁、鋁之氧化物及/或其他合適材料製成。圖13中展示之實施例具有兩個電漿源:頂部RF線圈1305及側面RF線圈1307。頂部RF線圈1305係中等頻率或MFRF線圈,且側面RF線圈1307係低頻率或LFRF線圈。在圖13中展示之實施 例中,MFRF頻率可自430kHz至470kHz,且LFRF頻率自340kHz至370kHz。然而,可使用具有單一源及/或非RF電漿源之裝置。
在反應器內,晶圓基座1309支撐基板1311。包含用於供應熱傳遞流體之管線1313之熱傳遞子系統控制基板1311之溫度。晶圓卡盤及熱傳遞流體系統可促進維持適當晶圓溫度。
高頻率RF(HFRF)源1315之高頻率RF用來對基板1311加電偏壓,並將已充電前驅物種類抽出至基板上以用於預處理或固化操作。來自HFRF源1315之電能經由電極或電容耦合而耦合至(例如)基板1311。注意,施加至基板之偏壓不需要為RF偏壓。亦可使用其他頻率及DC偏壓。
經由一或多個入口1317引入處理氣體。可預混合或不預混合氣體。氣體或氣體混合物可自主氣體環1321引入,主氣體環1321可能或可能不朝著基板表面指引氣體。噴射器可連接至主氣體環1321以將氣體或氣體混合物中之至少一些指引至腔室中並朝著基板引導。用於朝著晶圓指引處理氣體之噴射器、氣體環或其他機構在某些實施例中不存在。處理氣體經由出口1322排出處理腔室1303。真空泵通常將處理氣體抽出並維持反應器內之適合低壓。儘管在預沈積及/或後沈積處理或固化之情況中描述HDP腔室,但在某些實施例中,HDP腔室可用作用於可流動薄膜之沈積之沈積反應器。舉例而言,在熱(非電漿)沈積中,可使用此種腔室而不撞擊電漿。
圖14A至圖14Q描繪經組態以用於可流動間隙填充操作之反應器之一個實例實施之各種視圖及組件。此種反應器亦可用於其他非間隙填充可流動沈積處理中。圖14A描繪反應器1400(未安裝頂板或噴淋頭)之立體圖。反應器1400包含腔室1401、晶圓支撐裝置1420及提昇機構1402。腔室1401可包含(例如)經組態以收納用於加熱腔室1401之加熱元件之兩個加熱器插孔。亦展示了晶圓1404,晶圓1404針對此實 施係300mm直徑之晶圓。一般而言,儘管圖14A至圖14Q中展示之組件經設計以與300mm晶圓一起使用,但較大或較小晶圓大小可由根據類似原理設計但經重新定大小以容納較大或較小大小之晶圓之設備容納。晶圓支撐裝置可提供與圖4A至圖4E中之基座420提供之功能性類似之功能性,且亦可視為基座之一個實施。相反地,基座420亦可視為晶圓支撐裝置之一個實施。反應器1400可與本文中任一處描述之系統(諸如,氣體分配系統、雙流噴淋頭、RF電力、真空源、晶圓處理系統等)連接。
反應器1400可(例如)經組態以將晶圓1404冷卻至約-5℃之溫度以促進晶圓1404上之可流動間隙填充沈積,同時將腔室1401及反應器1400內之其他組件維持在較高溫度以抑制非晶圓組件上之沈積,如先前大體所論述。圖14A至圖14Q中展示之設計之各態樣係針對管理晶圓支撐裝置1420及其中之組件及晶圓1404之熱環境。圖14A至圖14Q中展示之設計可(例如)能夠跨越實質上整個晶圓1404達成小於0.35℃或甚至小於0.1℃之溫度變化,同時將晶圓1404維持在低溫(例如,-10℃至-5℃),且同時將緊密接近晶圓1404但不觸碰晶圓1404之各種其他組件維持在比晶圓1404高約5℃至10℃之溫度。
圖14B描繪反應器1400之立體剖面圖,且圖14C自側視透視圖描繪圖14B之剖面圖。一些較小組件(諸如,O形環、配件、緊固件、管路等)可能未展示或可能未以整體展示以避免不適當之視覺混亂。晶圓1404可由晶圓支撐裝置1420之卡盤1422支撐,晶圓支撐裝置1420又可由介電板1427支撐。可支撐晶圓1404之卡盤1422之區域可稱作晶圓支撐區域。晶圓支撐區域一般可與卡盤1422之頂表面對應,但卡盤1422之頂表面可延伸超出晶圓1404及晶圓支撐區域之標稱直徑。除了支撐卡盤1422之外,介電板1427亦可支撐介電環1426。介電板1427及介電環1426可(例如)自Al2O3製成。儘管介電板1427及介電環1426展示 為單獨件,但在一些實施例中亦可製成單一零件。無論係單一零件或是多個件之總成,介電板1427及介電環1326可視為具有「介電底板」或「外介電壁」。介電板1427及介電環1426或等效結構亦可視為並可稱作「介電中斷區」。介電板1427一般可與介電底板對應,且介電環1426及介電板1427之最外部分一般可與外介電壁對應。介電板1427可由外殼1429支撐,外殼1429可由受提昇機構1402驅動之支撐柱1454支撐。外殼1429可自鋁(例如,6061鋁)製成,且類似於介電板1427及介電環1426,可包含底板及外壁。外殼1429之外壁可為實質上圓柱形。在一些實施中,外殼1429亦可充當用於在處理期間使用之RF能量之接地平面。外殼1429之底板可實質上為平面之,且可沿著外壁之一條邊緣與外壁相接。外殼1429亦可包含進一步界定外殼1429之整體形狀之其他組件或部分。外殼1429可提供由圖4至圖4E之壓板422提供之功能性中之一些,例如,外殼1429可直接或間接地提供對卡盤1422之支撐。外殼1429亦可稱作卡盤外殼。
當晶圓支撐裝置1420由提昇機構1402降低時,起模針總成1428可提昇晶圓1404離開卡盤1422。圖14D及圖14E展示反應器1400之立體剖面圖及側面剖面圖,其中晶圓支撐裝置1420處於降低位置,且晶圓1404由起模針總成1428提昇離開卡盤1422。
圖14F及圖14G展示卡盤1422之立體圖及立體分解圖(未展示晶圓1404)。卡盤1422可為多層組件,且可包含可結合於一起以形成毗連零件之吹掃通道板1423、冷卻通道板1424及基底板1425。吹掃通道板1423可向晶圓1404及保護環1421提供支撐。保護環1421可自介電材料(諸如,Al2O3)製成。起模針總成1428可安裝於卡盤1422中。卡盤1422可部分或完全以塗層塗佈來保護卡盤1422以防在電漿清潔操作期間腐蝕。此塗層可(例如)由2μm至3μm之電子束沈積氟化釔(YF3)提供。卡盤1422可(例如)自鋁(諸如,3003鋁)製成。起模針總成1428可(例如) 自Al2O3製成,且可藉由使用O形環或其他順應性夾持機構而保持於卡盤1422內之適當位置處。卡盤1422可比晶圓1404之標稱晶圓直徑具有較大直徑。舉例而言,卡盤1422可在徑向方向上延伸超出晶圓1404之邊緣10mm至15mm,或約13mm。
返回參看圖14B及圖14C,各種管道可藉由支撐柱1454導引至卡盤1422之下側。舉例而言,冷卻劑管線(包含冷卻劑供應管線及冷卻劑回流管線)可導引藉由支撐柱1454且連接至界面板1430A,界面板1430可密閉地密封至卡盤1422之下側。為了輔助理解卡盤1422內之各種管道界面,圖14H及圖14J至圖14O提供展示此等界面之各種立體剖面圖及側面剖面圖。
圖14H描繪反應器1400之立體非平面剖面圖。在圖14H中,反應器1400已沿相交於晶圓支撐裝置1420之中心處且通過冷卻劑管線1431之中心線之平面剖切。在一些實施中(諸如,圖14H中描繪之實施),冷卻性能可基本上與哪個冷卻劑管線1431用來供應冷卻劑及哪個用來回流冷卻劑無關。然而,在一些其他實施中,一個冷卻劑管線1431可需要設計為供應管線,且另一冷卻劑管線1431設計為回流管線以便促進跨越卡盤1422之均一冷卻。圖14A至圖14Q中展示之實施不以卡盤1422內之加熱元件為特徵。
圖14I自各種透視圖(包含三個單獨立體透視圖)描繪冷卻通道板1424。冷卻通道板1424之冷卻通道1436可由嵌套C形部分組成,嵌套C形部分可大體對準,使得每一C形部分之「敞開」部分定向於實質上相同方向中。每一C形部分可藉由跨接部分連接至另一C形部分,跨接部分將C形部分之一個末端連結至另一C形部分之對應末端。一些C形部分可在兩個末端處都不連接至其他C形部分,且可替代地使一個末端流體連接至與冷卻劑管線1431對應之入口或出口。此等C形部分可利用跨接部分到達入口或出口,或C形部分可簡單地將一末端 定位在此入口或出口處。冷卻通道1436可(例如)具有約0.3英寸之標稱深度及0.45英寸之標稱寬度。
圖14J及圖14K分別描繪沿著藉由校準光管1432及原位光管1433之中心線之平面之晶圓支撐裝置1420之立體剖面圖及側面剖面圖。校準光管1432可提供雙重目的功能性。舉例而言,校準光管1432可定於晶圓支撐裝置1420/卡盤1422上之中心,並用作輔助將晶圓1404定於晶圓支撐裝置1420上之中心之基準。舉例而言,晶圓轉移機器人(未圖示)可照明晶圓支撐裝置1420之頂側。來自此照明之光可自晶圓支撐裝置1420之頂表面反射回晶圓轉移機器人處。然而,由於校準光管1432在光學上係透明之,因此自晶圓支撐裝置1420之頂表面反射回之光的量在光入射在裝置之中心上時減少。晶圓轉移機器人可配備有量測反射光的量之偵測器。晶圓轉移機器人可經組態以使較低反射率區域與晶圓支撐裝置1420之中心相關。校準光管1432可由裝配於吹掃通道板1423及冷卻通道板1424中之藍寶石窗1463覆蓋。
校準光管可提供之額外功能性為允許使用原位光管1433校準溫度監控系統。歸因於本文中描述之方法中使用之低溫,常規非接觸溫度量測(諸如,紅外線溫度量測)可能不能在處理期間量測晶圓1404之溫度。為了在處理期間獲得關於晶圓1404之溫度的原位溫度資料,可假定在卡盤1422內一點處之卡盤1422之溫度可用來及時可靠地估計給定點處之晶圓1404之溫度。原位光管1433可提供用於獲得卡盤1422之此內部溫度量測之機構。磷光盤1434可與卡盤1422進行熱接觸(例如,以冷卻通道板1424),且原位光管1433可提供光學路徑以供由磷光盤1434發射之光到達光譜光傳感器(未圖示)。磷光盤1434可取決於其所處之溫度而發射不同波長之光,且此等波長/溫度相互關係可基於所發射光之波長來提供磷光盤1434之溫度之準確量測。然而,與自磷光盤1434獲得之溫度相比時,晶圓1404溫度可能存在某一偏差。為了量 化此偏差並校正此偏差,可使用校準晶圓來採取校準措施,校準晶圓經塗佈以允許經由與校準光管1432連接之光學傳感器之溫度量測。當使用校準晶圓時,可使用原位光管1433及校準光管1432獲得溫度量測。針對相同環境條件在兩組量測值之間觀察到的差異可用來校正與晶圓1404相關聯之卡盤1422之原位光管1433讀數並獲得處理期間的晶圓1404溫度之較準確估計。
圖14L及圖14M分別描繪沿著通過卡盤1422及吹掃氣體管線1435之中心線的平面之晶圓支撐裝置1420之立體剖面圖及側面剖面圖。兩個吹掃氣體上升器1456允許由吹掃氣體管線1435提供之吹掃氣體到達吹掃氣體入口通道1438,並藉由吹掃氣體輻條1455(在圖14G及圖14H中不可見,但一個吹掃氣體輻條1455在圖14B及圖14C中可見)分配至環形吹掃氣體通道1439。稍後更詳細地論述用於此實施之吹掃氣體功能性。
圖14N及圖14O分別描繪沿著通過卡盤1422及真空管線1457之中心線的平面之反應器1400之立體剖面圖及側面剖面圖。真空管線1457可經由真空上升器1458與環形真空通道1437流體連接。儘管圖14N及圖14O中未展示,但若干小真空端口可流體連接環形真空通道1437與卡盤1422之頂面以在某一處理期間允許晶圓1404之真空輔助夾持。六個此種真空端口1461之圓形圖案可見於圖14F及圖14G中。
圖14P展示(例如)在圖14F中展示之晶圓支撐裝置組件之子部分的約一半之細節側面剖面圖及晶圓1404。圖14Q展示包含保護環1421之晶圓支撐裝置1420之邊緣區的其他細節側面剖面圖。保護環可具有比晶圓1404之標稱直徑大約2mm之內徑。如自圖14P及圖14Q為明顯的,各種隔熱區可分離晶圓支撐裝置1420之各種組件。如本文中使用,隔熱區指在零件之間的實體分離(亦即,間隙),隔熱區充分大以足夠在實質上防止在零件之間經由陷入於隔熱區內之任何氣體的傳導 熱傳遞,但亦充分小以足夠防止在零件之間經由氣體之實質上對流熱傳遞。直接接觸或由間隙分離但仍充分靠近以足夠經歷跨越間隙之經由陷入於間隙內之任何氣體之顯著傳導熱傳遞的零件或零件之部分在本文檔中可稱作與彼此「熱接觸」。
在晶圓支撐裝置1420之狀況下,可駐留於隔熱區內之氣體可為處理氣體,諸如Ar、He或由氣體遞送系統供應之其他氣體。隔熱區可經設計以考慮在晶圓處理期間存在之處理環境中之此等氣體之密度。舉例而言,當Ar或He氣體填充隔熱區間隙且間隙處於25托至75托之壓力時,0.015英寸或較低隔熱區可導致兩個零件之間的不可忽略之熱傳導。術語「隔熱區」可用來指組件之一部分,當組件與另一組件組裝時,組件之該部分可表示隔熱區之一側。另一組件可具有形成隔熱區之另一側之對應隔熱區。
舉例而言,當介電板1427之下側可跨越第一結構支撐區1459與外殼1429實體接觸時,如圖14P中展示之介電板1427之下側之其餘部分可藉由軸向隔熱區1453自外殼1429偏離。第一結構支撐區1459可為具有(例如)4英寸內徑及5.25英寸外徑之實質上環形區域。在一些實施中,第一結構支撐區可具有小於卡盤1422之直徑的約50%之外徑。在外殼1429與介電板1427之下側之間的軸向隔熱區1453大小可在0.015英寸與0.050英寸之間且在兩個零件上之環形隔熱區上方延伸,環形隔熱區具有約5.25英寸之內徑及約13.25英寸之外徑。應認識至,本文中相對於圖14P及圖14Q中所展示之各種隔熱區所描述之特定隔熱區值可不同於可有效地提供類似熱管理功能性之其他值,且應理解,藉由使用與本文中描述之隔熱區類似但具有不同值之隔熱區來達成類似熱管理功能性的晶圓支撐裝置設計落入本發明之範疇內。
軸向隔熱區1453可轉變為在外殼1429之內圓柱形表面與介電板1427之外圓柱形表面之間的徑向隔熱區1450,且可在外殼1429之內圓 柱形表面與介電環1426之外圓柱形表面之間延續。術語「軸向隔熱區」在本文中用來描述主要特徵在於在沿著實質上軸向對稱之總成之中心軸線的零件之間的一個或若干間隙之隔熱區,且術語「徑向隔熱區」在本文中用來描述主要特徵在於在此等零件之間的一個或若干徑向間隙之隔熱區。徑向隔熱區1450可具有介於0.015英寸與0.050英寸之間的間隙距離。
在圖14P中明顯之另一隔熱區位於卡盤1422與介電板1427及介電環1426之間。卡盤1422可橫跨第二結構支撐區1460與介電板1427進行實體接觸,如同介電板1427可橫跨第一結構支撐區1459與外殼1429進行實體接觸一樣。自第二結構支撐區1460向外延伸之卡盤1422之部分可藉由軸向隔熱區1452與介電板1427分離,軸向隔熱區1452轉變為卡盤1422之外圓柱形表面及介電板1427之內圓柱形表面之間的徑向隔熱區1447。在卡盤1422與介電板1427之間的軸向隔熱區1452及徑向隔熱區1447都可具有介於0.015英寸與0.050英寸之間的間隙距離。軸向隔熱區1452可跨越介電板1427及卡盤1422上之環形隔熱區延伸,環形隔熱區可具有約5.25英寸之內徑及約12.75英寸之外徑。
除了將介電環1426及介電板1427與外殼1429及與卡盤1422分離之隔熱區之外,其他隔熱區可存在於圖14P及圖14Q中所展示之其他組件之間。舉例而言,保護環1421可藉由可為約0.015英寸至0.050英寸之軸向隔熱區1446及1449及徑向隔熱區1448與外殼1429及介電環1426熱分離。儘管實體上受卡盤1422支撐,但保護環1421可大部分經由間隙1444及徑向隔熱區1445與卡盤1422分離,間隙1444在一些實施中可為約15微米至250微米。保護環1421可藉由自保護環1421之下側突出之若干柱體1442與卡盤1422間隔開,柱體1442擱置在卡盤1422之頂表面中之相應收納凹部1443內。儘管在保護環1421與卡盤1422之間經由柱體1442及收納凹部1443存在實體接觸,但此實體接觸可非常受限制 (例如,三個小直徑柱體),且藉由柱體1442至卡盤1422中之傳導熱傳遞可相應地可忽略。除了(例如)柱體1442之外,保護環1421可為實質上軸向對稱的。另外,與晶圓支撐裝置1420中之其他組件中之許多組件相比,保護環1421可具有較低熱質量,此減少熱慣性,亦即,與晶圓支撐裝置1420內之其他組件相比,熱可在保護環1421內非常快速地流動。保護環1421內之此快速熱流動准許自保護環1421至周圍環境之對流熱傳遞的高速率。在處理期間,反應物氣體可橫跨晶圓1404朝著晶圓周界並在保護環上方流動。此等氣體可藉由此對流熱傳遞移除已自腔室1401傳遞至保護環1421之熱,並將熱自晶圓1404攜帶走。藉由上文描述之對流熱傳遞及最小熱傳導之組合,卡盤1422可在處理期間自保護環1421接收可忽略量之熱。
儘管歸因於藉由上文描述並(例如)在圖14Q中展示之特徵及幾何形狀之來自保護環1421之熱傳遞,晶圓1404可被保護以免於熱偏移,但晶圓1404亦可易受卡盤1422上之局部熱點或冷點損壞,此可導致橫跨晶圓1404之較不均一之溫度分佈。為了幫助保護晶圓1404以免於橫跨卡盤1422的表面之此可能溫度偏差,晶圓1404可藉由使用小凸台或突起1441之圖案來自卡盤1422之表面偏離。突起1441高度可在15微米至250微米(約0.0006英寸至0.01英寸)之間,且使晶圓1404自卡盤1422偏移達相應量。突起1441可(例如)直徑在0.010英寸至0.050英寸之間,且以同心輻射圖案配置來橫跨晶圓1404之整個跨度提供晶圓1404之分佈式支撐。突起1441及突起1441之整體圖案在圖14F及圖14G中亦可見;展示總共96個突起1441。可使用具有不同數目、突起直徑或大小及突起高度之其他圖案。
環形吹掃氣體通道1439及吹掃氣體分配孔1440亦在圖14P及圖14Q中可見。吹掃氣體分配孔1440可沿環形吹掃氣體通道1439間隔以形成圓形孔圖案;圓形孔圖案可具有比晶圓1404之標稱直徑稍小(例 如,小1mm至2mm)之直徑。吹掃氣體分配孔1440可為具有約0.001英寸至0.015英寸之排出直徑之階梯式孔。環形吹掃氣體通道1439可經由一或多個吹掃氣體輻條1455流體連接至吹掃氣體入口通道1438。藉由吹掃氣體管線1435供應至吹掃氣體入口通道1438之吹掃氣體可行進藉由一或多個吹掃氣體輻條1455進入至環形吹掃氣體通道1439中,並藉由吹掃氣體分配孔1440離開。吹掃氣體可接著排出吹掃氣體分配孔1440進入在晶圓1404與卡盤1422之間的間隙中,在該間隙處,吹掃氣體可最終朝著晶圓1404之周邊並在保護環1421之上方及下方流動。吹掃氣體可用來實質上使卡盤1422免於用於沈積之反應物,因此防止卡盤1422上之實質上之沈積並延長卡盤1422之使用壽命。儘管吹掃氣體在晶圓1404之頂部上方及朝著晶圓1404之中心之一些反擴散可發生,但可藉由反應物氣體之流動朝著保護環1421並在晶圓支撐裝置1420之邊緣上方推動大多數吹掃氣體。吹掃氣體亦可保護保護環1421及外殼1429以防不希望有之沈積。
在一些實施中,(例如)不提供RF能量之晶圓支撐裝置、由介電板1427及介電環1426形成之介電中斷區可自設計省略。在此等實施中,外殼1429及卡盤1422可經構造以在彼此之間提供0.015英寸至0.050英寸之隔熱區,因此避免產生大之空閒容積,省略之介電材料原本可位於該大的容積處。
圖11至圖14L提供可結合本文論述之結構及系統使用的裝置之實例。然而,熟習此項技術者將理解,可自所提供之描述進行各種修改。舉例而言,電漿處理模組可為遠端及/或直接感應耦合或電容耦合之電漿模組。在一些實施中,可流動間隙填充模組可以容納於單一腔室中之一個以上基座及噴淋頭(例如,兩個基座及噴淋頭)為特徵。此舉允許增加產量,此係因為可在同一腔室中同時處理兩個晶圓。
在一些實施例中,該裝置可包含系統控制器,該系統控制器具有 用於控制根據本發明之處理操作之指令。系統控制器通常將包含一或多個記憶體器件及一或多個處理器,該一或多個處理器經組態以執行指令,以使得裝置將執行根據本發明之方法。含有用於控制根據本發明之處理操作之指令之機器可讀取媒體可耦合至該系統控制器。該處理器可包含CPU或電腦,且可包含一或多個類比及/或數位輸入/輸出連接、步進電動機控制器板等,或與一或多個類比及/或數位輸入/輸出連接、步進電動機控制器板等可通信地連接。舉例而言,該系統控制器可經組態以控制氣體遞送系統、基座移動、真空端口抽吸、電漿電極,及/或加熱及冷卻元件(若存在於特定實施例中)。
通常,將存在與系統控制器相關聯之使用者界面。該使用者界面可包含顯示屏、裝置及/或處理條件之圖形軟體顯示器,及使用者輸入器件,諸如指標器件、鍵盤、觸控螢幕、麥克風等。系統控制器可連接至工具或模組中所展示之任一或所有組件,包含在本申請案之圖式中展示之組件;系統控制器之置放及連接可根據特定實施而變化。
在某些實施例中,系統控制器控制處理腔室中之壓力。系統控制器亦可藉由調節閥、液體遞送控制器及遞送系統中之MFC及排放管線中之流量限制閥來控制腔室中各種處理氣體之濃度。系統控制器執行系統控制軟體,該系統控制軟體包含用於控制氣體及液體之時序、流速、腔室壓力、腔室/噴淋頭/基座/基板壓力及/或特定處理之其他參數之指令集合。在一些實施例中,可使用儲存在與控制器相關聯之記憶體器件中之其他電腦程式。在某些實施例中,系統控制器控制基板至圖中所示之裝置之轉移及自圖中所示之裝置之轉移。
用於控制處理序列中之處理的電腦程式碼可以任何常規之電腦可讀取程式設計語言來編寫,諸如,組合語言、C、C++、Pascal、Fortran或其他語言。編譯目標程式碼或指令碼可由處理器執行以執行程式中所識別出之任務。系統軟體可用許多不同方式來加以設計或組 態。舉例而言,可編寫各種腔室組件子程式或控制目標以控制執行所述製程所必需之腔室組件之操作。用於此目標之程式或程式片段之實例包含處理氣體控制程式碼、壓力控制程式碼及電漿控制程式碼。
控制器參數與處理條件有關,例如,每一操作之時序、腔室內之壓力、基板溫度、處理氣體流速、RF功率及上述其他條件。此等參數係以配方之形式提供給使用者,且可利用使用者介面來輸入。用於監控處理之信號可藉由系統控制器之類比及/或數位輸入連接來提供。用於控制處理之信號在裝置之類比及數位輸出連接上輸出。
上文所描述之裝置/製程可與光微影圖案化工具或製程結合使用,例如,用於製造半導體器件、顯示器、LED、光伏打面板等等。通常,但不必,此等工具/製程將在共同製造設施中一起使用或進行。薄膜之光微影圖案化通常包括以下步驟中之一些或全部,每一步驟用若干可能工具實現:(1)使用旋塗或噴塗工具將光阻材料塗覆至工件(即,基板)上;(2)使用熱板或爐子或UV固化工具來固化光阻材料;(3)用諸如晶圓步進器之工具將光阻材料曝露至可見光或UV或x光;(4)對該抗蝕劑顯影以便選擇性地移除抗蝕劑,進而使用諸如濕式工作台之工具來將其圖案化;(5)藉由使用乾式或電漿輔助蝕刻工具將抗蝕劑圖案轉印至下層薄膜或工件;及(6)使用諸如RF或微波電漿抗蝕劑剝離器之工具來移除抗蝕劑。另外,所揭示之方法可在一個製程中實施,在該製程中,光微影及/或圖案化處理在所揭示方法之前或之後。
應理解,除非所述特定實施中之任一者中之特徵明確識別為彼此矛盾,或相關背景意味著其相互排斥且不易在互補及/或相互支援之意義上加以組合,否則本發明之總體涵蓋且設想彼等互補實施之特定特徵可選擇性地加以組合以提供一或多個全面但稍有不同之技術解決方案。因此,應進一步瞭解,以上描述僅作為實例給出,且在本發明 之範疇內可進行細節上之修改。

Claims (18)

  1. 一種晶圓支撐裝置,其包括:一卡盤;其中:該卡盤包含一頂表面、一底表面及一外表面;該頂表面與該底表面實質上平行於彼此,且可偏離彼此;該外表面位於該頂表面與該底表面之間;且該頂表面經組態以支撐一半導體晶圓;一外殼;及一介電中斷區(dielectric break),其中:該外殼包含一外壁及連接至該外壁之一外殼底板,該外殼底板包含自該外壁朝向該外殼底板之中心延伸之一第一隔熱區(thermal break region),其中該第一隔熱區在一直延伸至該外殼底板之該中心之前停止,該卡盤之該底表面面向該外殼底板,該卡盤之該底表面及該外表面可實質上處於由該外壁及該外殼底板界定之一容積內,該卡盤與該外殼經組態以作為一單一總成在一半導體製造腔室中一起移動,該卡盤之該外表面與該外殼之該外壁之間無實質熱接觸,跨越該第一隔熱區在該底表面與該外殼底板之間無實質熱接觸,該外表面與該外壁為實質上圓柱形,該外殼底板為實質上環形且具有一內部周界,該隔熱區不延伸至該內部周界,該介電中斷區包含一外介電壁及與該外介電壁相接(meet)之一介電底板,該介電底板包含自該外介電壁朝向該介電底板之該中心延伸之一第二隔熱區,該介電底板插入(interposed)於該外殼底板與該底表面之間,該外介電壁插入於該外壁與該外表面之間,該外壁、該外介電壁與該外表面之間無實質熱接觸,跨越該第二隔熱區在該底表面與該介電底板之間無實質熱接觸,且跨越該第一隔熱區在該介電底板與該外殼底板之間無實質熱接觸。
  2. 如請求項1之晶圓支撐裝置,其中當該晶圓支撐裝置曝露於在一可流動沈積半導體製造腔室中存在之氣體及環境條件時,跨越該第一隔熱區在該卡盤之該外表面與該外殼之該外壁之間無實質熱接觸,且跨越該第一隔熱區在該底表面與該外殼底板之間無實質熱接觸。
  3. 如請求項2之晶圓支撐裝置,其中該等氣體包含Ar或He,且該等環境條件可包含介於25托與75托之間的一壓力。
  4. 如請求項1之晶圓支撐裝置,其中:在該卡盤之實質上全部該外表面與該外殼之該外壁之間存在至少為0.015英寸之一間隙,且跨越該第一隔熱區在實質上全部該底表面與該外殼底板之間存在至少為0.015英寸之一間隙。
  5. 如請求項1之晶圓支撐裝置,其中:該外表面與該外介電壁之面向該外表面之一表面隔開介於0.015英寸與0.050英寸之間的一間隙,該底表面與該介電底板之在該第二隔熱區內且面向該底表面之一表面之間隔開介於0.015英寸與0.050英寸之間的一間隙,該外介電壁與該外壁之面向彼此之表面隔開介於0.015英寸與0.050英寸之間的一間隙,且該介電底板之一表面與該外殼底板之在該第一隔熱區中之一表面隔開介於0.015英寸與0.050英寸之間的一間隙。
  6. 如請求項1之晶圓支撐裝置,其進一步包括一保護環,其中該保護環:為實質上環形,內部直徑大於該頂表面經組態以支撐之該半導體晶圓之一指定標稱直徑,由該卡盤支撐,不與該外殼之該外壁或該卡盤之該外表面接觸。
  7. 如請求項6之晶圓支撐裝置,其中:該保護環包含複數個柱體,每一柱體自該保護環之面向該頂表面之一表面突出一第一量,且突出至該頂表面中之一凹部中,該凹部之一深度小於該第一量,該保護環之有該等柱體突出之該表面自該頂表面偏離15微米至250微米。
  8. 如請求項6之晶圓支撐裝置,其中在該保護環之最接近該外壁之一表面與該外壁之間存在至少為0.015英寸之一間隙。
  9. 如請求項1之晶圓支撐裝置,其中:複數個凸出突起自該頂表面突出,該等突起配置成同心圓形圖案,且每一突起自該頂表面突出15微米至250微米。
  10. 如請求項1之晶圓支撐裝置,其中:該卡盤進一步包含一校準光管及一原位光管(in-situ light pipe),該校準光管之一個末端終止於該頂表面之中心處,該原位光管之一個末端終止於位於該頂表面與該底表面之間的一磷光盤處,且該校準光管與該原位光管在該卡盤內分開一距離,該距離小於自該外殼底板之該中心至該第一隔熱區之距離。
  11. 如請求項1之晶圓支撐裝置,其中:該卡盤及該外殼主要由鋁製成;且該介電中斷區主要由Al2O3製成。
  12. 如請求項11之晶圓支撐裝置,其中:該卡盤主要由3003鋁製成;且該頂表面塗有YF3
  13. 如請求項1之晶圓支撐裝置,其中該卡盤包含一冷卻通道,該冷卻通道位於該頂表面與該底表面之間且沿循穿過該卡盤之一迂迴路徑。
  14. 如請求項13之晶圓支撐裝置,其中該迂迴路徑包括:不同大小之複數個嵌套之C形區段;及複數個跨接區段,其中:每一跨接區段用另一C形區段之相應末端來接合一個C形區段之末端,且僅一個跨接區段可將任何兩個C形區段接合在一起。
  15. 如請求項14之晶圓支撐裝置,其中:該卡盤包含第一板及第二板,該第一板包含第一頂面及第一底面,該第二板包含第二頂面及第二底面,該第一頂面結合至該第二底面,該冷卻通道凹入至該第二底面中,該第一板包含兩個通孔,每一通孔與該冷卻通道之不同終端(terminal end)對應,且該第一板與該第二板可對準,使得每一通孔與該冷卻通道之對應終端對準。
  16. 如請求項15之晶圓支撐裝置,其中:該卡盤可進一步包含第三板,該第三板包含第三頂面及第三底面,該第三底面結合至該第二頂面,該第三底面包含一環形吹掃氣體通道及與該環形吹掃氣體通道流體連接之一或多個吹掃氣體供應通道,孔之一圓形圖案將該環形吹掃氣體通道與該第三頂面流體連接,且一吹掃氣體入口穿過該第一板及該第二板,且將該一或多個吹掃氣體供應通道與該第一底面流體連接。
  17. 如請求項1之晶圓支撐裝置,其中該卡盤包含位於該頂表面與該底表面之間的一環形吹掃氣體通道,且其中孔之一圓形圖案可將該環形吹掃氣體通道與該頂表面流體連接。
  18. 如請求項17之晶圓支撐裝置,其中該晶圓支撐件經組態以支撐呈指定標稱直徑之晶圓,且該圓形圖案之直徑比該標稱直徑小1mm至2mm。
TW105136948A 2010-12-20 2011-12-20 在半導體製造中可流動沈積之系統及裝置 TWI643290B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201061425150P 2010-12-20 2010-12-20
US61/425,150 2010-12-20
US13/329,078 US9719169B2 (en) 2010-12-20 2011-12-16 System and apparatus for flowable deposition in semiconductor fabrication
US13/329,078 2011-12-16

Publications (2)

Publication Number Publication Date
TW201719801A TW201719801A (zh) 2017-06-01
TWI643290B true TWI643290B (zh) 2018-12-01

Family

ID=46315677

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105136948A TWI643290B (zh) 2010-12-20 2011-12-20 在半導體製造中可流動沈積之系統及裝置

Country Status (4)

Country Link
US (1) US9719169B2 (zh)
KR (1) KR102013456B1 (zh)
CN (1) CN102543831B (zh)
TW (1) TWI643290B (zh)

Families Citing this family (523)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
JP2013516763A (ja) * 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
KR101853802B1 (ko) 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 라디칼­성분 cvd에 의한 컨포멀 층들
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
JP5544343B2 (ja) * 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
DE102012001267A1 (de) * 2012-01-23 2013-07-25 Carl Zeiss Microscopy Gmbh Partikelstrahlsystem mit Zuführung von Prozessgas zu einem Bearbeitungsort
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
TWI448706B (zh) * 2012-12-24 2014-08-11 Chroma Ate Inc 具有乾燥環境之測試平台
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9255326B2 (en) * 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9859145B2 (en) * 2013-07-17 2018-01-02 Lam Research Corporation Cooled pin lifter paddle for semiconductor substrate processing apparatus
US10170297B2 (en) 2013-08-22 2019-01-01 Versum Materials Us, Llc Compositions and methods using same for flowable oxide deposition
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9847222B2 (en) * 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US20150167160A1 (en) * 2013-12-16 2015-06-18 Applied Materials, Inc. Enabling radical-based deposition of dielectric films
KR102150728B1 (ko) * 2013-12-16 2020-09-01 에스케이실트론 주식회사 공정 챔버의 세정 장치 및 세정 방법
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) * 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
WO2015156951A1 (en) * 2014-04-09 2015-10-15 Applied Materials, Inc. Symmetric chamber body design architecture to address variable process volume with improved flow uniformity/gas conductance
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
JP6225837B2 (ja) 2014-06-04 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US20160056032A1 (en) * 2014-08-22 2016-02-25 Lam Research Corporation Methods and apparatuses for stable deposition rate control in low temperature ald systems by showerhead active heating and/or pedestal cooling
US20160068961A1 (en) * 2014-09-05 2016-03-10 Aixtron Se Method and Apparatus For Growing Binary, Ternary and Quaternary Materials on a Substrate
US9627608B2 (en) 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP2016081945A (ja) * 2014-10-09 2016-05-16 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
US10490429B2 (en) 2014-11-26 2019-11-26 Applied Materials, Inc. Substrate carrier using a proportional thermal fluid delivery system
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
JP2016134569A (ja) * 2015-01-21 2016-07-25 株式会社東芝 半導体製造装置
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR101670382B1 (ko) * 2015-03-10 2016-10-28 우범제 퍼지가스 분사 플레이트 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102363241B1 (ko) * 2015-03-27 2022-02-16 삼성전자주식회사 플라즈마 강화 화학기상 증착 장비 및 그 동작 방법
US20160329213A1 (en) * 2015-05-04 2016-11-10 Lam Research Corporation Highly selective deposition of amorphous carbon as a metal diffusion barrier layer
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US10515786B2 (en) * 2015-09-25 2019-12-24 Tokyo Electron Limited Mounting table and plasma processing apparatus
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10518985B2 (en) * 2016-05-31 2019-12-31 Wood's Powr-Grip Co., Inc. Control systems and methods for vacuum lift equipment
KR20190011817A (ko) * 2016-06-25 2019-02-07 어플라이드 머티어리얼스, 인코포레이티드 갭충전 애플리케이션들을 위한 유동가능 비정질 실리콘 막들
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9773643B1 (en) * 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
CN106449366A (zh) * 2016-11-09 2017-02-22 上海华力微电子有限公司 一种解决刻蚀腔体内静电吸盘表面颗粒污染的方法
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
CN110476239B (zh) * 2017-04-07 2023-10-13 应用材料公司 使用反应性退火的间隙填充
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) * 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
WO2019079281A1 (en) 2017-10-17 2019-04-25 Molekule Inc. SYSTEM AND METHOD FOR PHOTOELECTROCHEMICAL AIR PURIFICATION
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN111433902A (zh) 2017-12-08 2020-07-17 朗姆研究公司 向下游室传送自由基和前体气体以实现远程等离子体膜沉积的有改进的孔图案的集成喷头
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7066438B2 (ja) * 2018-02-13 2022-05-13 東京エレクトロン株式会社 冷却システム
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR20190114216A (ko) * 2018-03-29 2019-10-10 어플라이드 머티어리얼스, 인코포레이티드 정전척 및 기판처리장치
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
CN112136202B (zh) * 2018-06-08 2024-04-12 应用材料公司 用于在等离子体增强化学气相沉积腔室中抑制寄生等离子体的设备
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11600468B2 (en) * 2019-02-05 2023-03-07 Applied Materials, Inc. Multi channel splitter spool
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
CN114365044A (zh) * 2019-06-27 2022-04-15 朗姆研究公司 用于光致抗蚀剂干式沉积的设备
US20210003922A1 (en) * 2019-07-01 2021-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus, system and method
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN110468390B (zh) * 2019-08-02 2021-06-29 北方夜视技术股份有限公司 超大长径比微通道板通道内壁制备功能膜层的方法
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
WO2021102712A1 (zh) * 2019-11-27 2021-06-03 重庆康佳光电技术研究院有限公司 一种半导体真空处理设备及处理半导体的方法
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
WO2021146138A1 (en) 2020-01-15 2021-07-22 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
WO2021158697A1 (en) * 2020-02-03 2021-08-12 Molekule, Inc. Filter media and system and method for manufacture thereof
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TWI722944B (zh) * 2020-07-20 2021-03-21 天虹科技股份有限公司 薄膜沉積設備及薄膜沉積方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN114078680B (zh) * 2020-08-20 2023-09-29 中微半导体设备(上海)股份有限公司 等离子体处理装置
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
WO2022047421A1 (en) 2020-08-31 2022-03-03 Molekule, Inc. Air filter and filter media thereof
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11961716B2 (en) 2021-12-09 2024-04-16 Industrial Technology Research Institute Atomic layer deposition method
KR20230103310A (ko) 2021-12-31 2023-07-07 세메스 주식회사 기판 지지 유닛 및 플라즈마 처리 장치
CN115537765A (zh) * 2022-09-27 2022-12-30 盛吉盛(宁波)半导体科技有限公司 等离子体化学气相沉积装置和小尺寸沟槽填充方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US20020007785A1 (en) * 2000-02-28 2002-01-24 Applied Materials, Inc. Semiconductor substrate support assembly having lobed o-rings therein
US20020050246A1 (en) * 2000-06-09 2002-05-02 Applied Materials, Inc. Full area temperature controlled electrostatic chuck and method of fabricating same
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
US20100000684A1 (en) * 2008-07-03 2010-01-07 Jong Yong Choi Dry etching apparatus

Family Cites Families (192)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1483144A (en) 1975-04-07 1977-08-17 British Petroleum Co Protective films
JPS618945A (ja) 1984-06-25 1986-01-16 Nec Corp 半導体集積回路装置
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
ATE94782T1 (de) 1987-12-21 1993-10-15 Union Carbide Corp Verwendung von superkritischen fluessigkeiten als verduenner beim aufspruehen von ueberzuegen.
CA2009518C (en) 1990-02-07 2000-10-17 Luc Ouellet Spin-on glass processing technique for the fabrication of semiconductor device
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5932289A (en) 1991-05-28 1999-08-03 Trikon Technologies Limited Method for filling substrate recesses using pressure and heat treatment
US5387546A (en) 1992-06-22 1995-02-07 Canon Sales Co., Inc. Method for manufacturing a semiconductor device
US5874367A (en) 1992-07-04 1999-02-23 Trikon Technologies Limited Method of treating a semi-conductor wafer
KR100238629B1 (ko) * 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
JP3165938B2 (ja) * 1993-06-24 2001-05-14 東京エレクトロン株式会社 ガス処理装置
CA2131668C (en) 1993-12-23 1999-03-02 Carol Galli Isolation structure using liquid phase oxide deposition
US5858880A (en) 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
US5534731A (en) 1994-10-28 1996-07-09 Advanced Micro Devices, Incorporated Layered low dielectric constant technology
JPH08153784A (ja) 1994-11-28 1996-06-11 Nec Corp 半導体装置の製造方法
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5960300A (en) 1994-12-20 1999-09-28 Matsushita Electric Industrial Co., Ltd. Method of manufacturing semiconductor device
JPH08279495A (ja) 1995-02-07 1996-10-22 Seiko Epson Corp プラズマ処理装置及びその方法
US5796074A (en) * 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
US5747381A (en) 1996-02-12 1998-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Technique for the removal of residual spin-on-glass (SOG) after full SOG etchback
US6001183A (en) * 1996-06-10 1999-12-14 Emcore Corporation Wafer carriers for epitaxial growth processes
KR0183886B1 (ko) 1996-06-17 1999-04-15 김광호 반도체장치의 트렌치 소자분리 방법
US5775808A (en) * 1996-06-19 1998-07-07 Applied Materials, Inc. Apparatus for real-time, in situ measurement of temperature and a method of fabricating and using same
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5807785A (en) 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
KR100440233B1 (ko) 1996-08-24 2004-07-15 트리콘 이큅먼츠 리미티드 반도체 기판 처리방법
US5879574A (en) * 1996-11-13 1999-03-09 Applied Materials, Inc. Systems and methods for detecting end of chamber clean in a thermal (non-plasma) process
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
US5911833A (en) * 1997-01-15 1999-06-15 Lam Research Corporation Method of in-situ cleaning of a chuck within a plasma chamber
US6114224A (en) 1997-01-21 2000-09-05 Advanced Micro Devices System and method for using N2 O plasma treatment to eliminate defects at an interface between a stop layer and an integral layered dielectric
US6077764A (en) 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US5903428A (en) * 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US5866945A (en) 1997-10-16 1999-02-02 Advanced Micro Devices Borderless vias with HSQ gap filled patterned metal layers
TW354417B (en) 1997-10-18 1999-03-11 United Microelectronics Corp A method for forming a planarized dielectric layer
US6086679A (en) 1997-10-24 2000-07-11 Quester Technology, Inc. Deposition systems and processes for transport polymerization and chemical vapor deposition
US5970383A (en) 1997-12-17 1999-10-19 Advanced Micro Devices Method of manufacturing a semiconductor device with improved control of deposition layer thickness
GB9801359D0 (en) 1998-01-23 1998-03-18 Poulton Limited Methods and apparatus for treating a substrate
GB9801655D0 (en) 1998-01-28 1998-03-25 Trikon Equip Ltd Method and apparatus for treating a substrate
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6218268B1 (en) 1998-05-05 2001-04-17 Applied Materials, Inc. Two-step borophosphosilicate glass deposition process and related devices and apparatus
JP3530021B2 (ja) * 1998-05-25 2004-05-24 株式会社日立製作所 真空処理装置及びその処理台
TW405223B (en) 1998-07-28 2000-09-11 United Microelectronics Corp Method for avoiding the poisoning at the trench of the dual damascene structure and the dielectric hole
US6316052B1 (en) 1998-08-19 2001-11-13 Anelva Corporation Method for the surface treatment of vacuum materials and surface treated vacuum
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6251759B1 (en) 1998-10-03 2001-06-26 Applied Materials, Inc. Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6583638B2 (en) * 1999-01-26 2003-06-24 Trio-Tech International Temperature-controlled semiconductor wafer chuck system
JP2002538604A (ja) 1999-02-26 2002-11-12 トリコン ホールディングス リミティド ポリマー層の処理方法
CN1149984C (zh) 1999-06-21 2004-05-19 健一制药株式会社 用于静脉注射的含有普鲁泊福的麻醉组合物
GB2354528B (en) 1999-09-25 2004-03-10 Trikon Holdings Ltd Delivery of liquid precursors to semiconductor processing reactors
US6114259A (en) 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
EP1077274A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes
EP1077479A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
US6300219B1 (en) 1999-08-30 2001-10-09 Micron Technology, Inc. Method of forming trench isolation regions
TW439194B (en) 2000-01-24 2001-06-07 United Microelectronics Corp Manufacturing method of shallow trench isolation region
EP1139404A1 (en) 2000-03-31 2001-10-04 Applied Materials, Inc. Low thermal budget solution for PMD application using SACVD layer
US6309933B1 (en) 2000-06-05 2001-10-30 Chartered Semiconductor Manufacturing Ltd. Method of fabricating T-shaped recessed polysilicon gate transistors
JP2004505443A (ja) * 2000-07-10 2004-02-19 テンプトロニック コーポレイション 交互配置された加熱および冷却要素と交換可能な上面アセンブリと硬膜層表面とをもつ熱プレートを有するウェーハチャック
JP4654544B2 (ja) 2000-07-12 2011-03-23 日産化学工業株式会社 リソグラフィー用ギャップフィル材形成組成物
US6323123B1 (en) 2000-09-06 2001-11-27 United Microelectronics Corp. Low-K dual damascene integration process
US6439244B1 (en) * 2000-10-13 2002-08-27 Promos Technologies, Inc. Pedestal design for a sputter clean chamber to improve aluminum gap filling ability
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
KR100354442B1 (ko) 2000-12-11 2002-09-28 삼성전자 주식회사 반도체 장치의 스핀 온 글래스 절연막 형성 방법
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
JP4644943B2 (ja) * 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
US6858195B2 (en) 2001-02-23 2005-02-22 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material
JP5000842B2 (ja) * 2001-03-02 2012-08-15 東京エレクトロン株式会社 サセプタの駆動温度制御のための方法並びに装置
US7001778B2 (en) 2001-03-09 2006-02-21 Symetrix Corporation Method of making layered superlattice material with improved microstructure
US6902947B2 (en) 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
US6828162B1 (en) 2001-06-28 2004-12-07 Advanced Micro Devices, Inc. System and method for active control of BPSG deposition
WO2003021642A2 (en) 2001-08-31 2003-03-13 Applied Materials, Inc. Method and apparatus for processing a wafer
US6620745B2 (en) 2001-10-19 2003-09-16 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a blocking layer
AU2002343029A1 (en) 2001-11-16 2003-06-10 Trikon Holdings Limited Forming low k dielectric layers
US20030121898A1 (en) * 2001-11-26 2003-07-03 Tom Kane Heated vacuum support apparatus
AU2003215357A1 (en) 2002-02-22 2003-09-09 Terrasimco Inc. Bladder-based apparatus and method for dispensing coatings
TW538461B (en) 2002-02-26 2003-06-21 Silicon Integrated Sys Corp Device for depositing an insulating layer in a trench
DE10211544C1 (de) 2002-03-15 2003-11-27 Infineon Technologies Ag Verfahren zur Herstellung einer Kondensatorelektrode eines Grabenkondensators aus flüssiger Phase
WO2003083167A1 (en) 2002-03-28 2003-10-09 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US6743736B2 (en) 2002-04-11 2004-06-01 Micron Technology, Inc. Reactive gaseous deposition precursor feed apparatus
US6908862B2 (en) 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
US7056560B2 (en) 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US6909300B2 (en) 2002-05-09 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd Method for fabricating microelectronic fabrication electrical test apparatus electrical probe tip having pointed tips
US20060014384A1 (en) 2002-06-05 2006-01-19 Jong-Cheol Lee Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
US6812135B2 (en) 2002-10-30 2004-11-02 Taiwan Semiconductor Manufacturing Company, Ltd Adhesion enhancement between CVD dielectric and spin-on low-k silicate films
WO2004061851A2 (en) 2002-12-19 2004-07-22 Matrix Semiconductor, Inc An improved method for making high-density nonvolatile memory
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
KR20040074348A (ko) 2003-02-17 2004-08-25 삼성전자주식회사 박막 형성 방법 및 이를 이용한 트렌치 소자 분리막의형성 방법
US7091453B2 (en) * 2003-02-27 2006-08-15 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus by means of light irradiation
JP2004292636A (ja) 2003-03-27 2004-10-21 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜、及び半導体装置
TW200505966A (en) 2003-04-02 2005-02-16 Dow Global Technologies Inc Organosilicate resin formulation for use in microelectronic devices
JP4222086B2 (ja) * 2003-04-07 2009-02-12 東京エレクトロン株式会社 熱処理装置
EP1612854A4 (en) * 2003-04-07 2007-10-17 Tokyo Electron Ltd LOADING TABLE AND HEAT TREATMENT DEVICE WITH LOADING TABLE
US7238604B2 (en) 2003-04-24 2007-07-03 Intel Corporation Forming thin hard mask over air gap or porous dielectric
US6693050B1 (en) 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
US20040229452A1 (en) 2003-05-15 2004-11-18 Johnston Steven W. Densifying a relatively porous material
US7074727B2 (en) 2003-07-09 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Process for improving dielectric properties in low-k organosilicate dielectric material
US7122481B2 (en) 2003-07-25 2006-10-17 Intel Corporation Sealing porous dielectrics with silane coupling reagents
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US7250370B2 (en) 2003-09-19 2007-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Two step post-deposition treatment of ILD layer for a lower dielectric constant and improved mechanical properties
KR100673884B1 (ko) 2003-09-22 2007-01-25 주식회사 하이닉스반도체 습식 세정에 의한 어택을 방지할 수 있는 반도체 장치제조 방법
KR100645458B1 (ko) 2003-10-02 2006-11-13 주식회사 하이닉스반도체 습식 세정에 의한 어택을 방지할 수 있는 반도체 장치제조 방법
KR100571658B1 (ko) 2003-11-21 2006-04-17 주식회사 하이닉스반도체 반도체소자 제조 방법
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US8007591B2 (en) * 2004-01-30 2011-08-30 Tokyo Electron Limited Substrate holder having a fluid gap and method of fabricating the substrate holder
US20050191863A1 (en) 2004-02-05 2005-09-01 Olmer Leonard J. Semiconductor device contamination reduction in a fluorinated oxide deposition process
JP2005260040A (ja) 2004-02-12 2005-09-22 Sony Corp ドーピング方法、半導体装置の製造方法および電子応用装置の製造方法
US20050229849A1 (en) * 2004-02-13 2005-10-20 Applied Materials, Inc. High productivity plasma processing chamber
JP2005229075A (ja) 2004-02-16 2005-08-25 Tokyo Electron Ltd 積層膜の改質方法及び積層膜
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7697260B2 (en) * 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7504727B2 (en) 2004-05-14 2009-03-17 International Business Machines Corporation Semiconductor interconnect structure utilizing a porous dielectric material as an etch stop layer between adjacent non-porous dielectric materials
US7033945B2 (en) 2004-06-01 2006-04-25 Applied Materials Gap filling with a composite layer
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7153783B2 (en) 2004-07-07 2006-12-26 Honeywell International Inc. Materials with enhanced properties for shallow trench isolation/premetal dielectric applications
KR100546161B1 (ko) 2004-07-13 2006-01-24 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 제조 방법
US7670436B2 (en) * 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
US7271112B1 (en) 2004-12-30 2007-09-18 Novellus Systems, Inc. Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry
US7135402B2 (en) 2005-02-01 2006-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Sealing pores of low-k dielectrics using CxHy
US7202564B2 (en) 2005-02-16 2007-04-10 International Business Machines Corporation Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
US8598044B2 (en) 2005-03-25 2013-12-03 Renesas Electronics Corporation Method of fabricating a semiconductor device
US7585704B2 (en) 2005-04-01 2009-09-08 International Business Machines Corporation Method of producing highly strained PECVD silicon nitride thin films at low temperature
US7575633B2 (en) 2005-05-17 2009-08-18 Nordson Corporation Fluid dispenser with positive displacement pump
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7566655B2 (en) 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070054505A1 (en) 2005-09-02 2007-03-08 Antonelli George A PECVD processes for silicon dioxide films
KR100933374B1 (ko) 2006-01-13 2009-12-22 도쿄엘렉트론가부시키가이샤 다공질 막의 성막 방법 및 컴퓨터 판독가능한 기록 매체
JP2007258585A (ja) * 2006-03-24 2007-10-04 Tokyo Electron Ltd 基板載置機構および基板処理装置
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
CN101079391B (zh) 2006-05-26 2012-01-25 中芯国际集成电路制造(上海)有限公司 用于半导体器件的具有高间隙填充能力的方法
US20070277734A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
WO2007140424A2 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7948034B2 (en) 2006-06-22 2011-05-24 Suss Microtec Lithography, Gmbh Apparatus and method for semiconductor bonding
JP2008028058A (ja) 2006-07-20 2008-02-07 Tokyo Electron Ltd 半導体装置の製造方法、半導体装置の製造装置、半導体装置及び記憶媒体
US7727906B1 (en) 2006-07-26 2010-06-01 Novellus Systems, Inc. H2-based plasma treatment to eliminate within-batch and batch-to-batch etch drift
US20080054466A1 (en) 2006-08-31 2008-03-06 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing semiconductor device
KR100839529B1 (ko) 2006-09-29 2008-06-19 주식회사 하이닉스반도체 반도체소자의 소자분리막 형성 방법
US7589950B2 (en) * 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US20080274626A1 (en) 2007-05-04 2008-11-06 Frederique Glowacki Method for depositing a high quality silicon dielectric film on a germanium substrate with high quality interface
US7942969B2 (en) * 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
JP4863296B2 (ja) 2007-06-22 2012-01-25 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR101284146B1 (ko) 2007-07-19 2013-07-10 삼성전자주식회사 트렌치 소자분리 영역을 갖는 반도체소자 및 그 제조방법
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
JP4288309B2 (ja) * 2007-09-03 2009-07-01 キヤノンアネルバ株式会社 基板熱処理装置及び基板の熱処理方法
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
JP5014080B2 (ja) * 2007-11-19 2012-08-29 コバレントマテリアル株式会社 面状ヒータ
KR101542636B1 (ko) 2007-12-19 2015-08-06 램 리써치 코포레이션 나노다공성 로우-k 유전체 재료 처리 방법
JP2011508436A (ja) * 2007-12-21 2011-03-10 アプライド マテリアルズ インコーポレイテッド 基板の温度を制御するための方法及び装置
US8338315B2 (en) 2008-02-26 2012-12-25 Axcelis Technologies, Inc. Processes for curing silicon based low-k dielectric materials
KR100945927B1 (ko) 2008-03-05 2010-03-05 주식회사 하이닉스반도체 반도체 메모리장치의 제조방법
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
US7804130B1 (en) 2008-08-26 2010-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned V-channel MOSFET
JP5195175B2 (ja) 2008-08-29 2013-05-08 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5172567B2 (ja) 2008-09-25 2013-03-27 株式会社東芝 膜形成用組成物、絶縁膜、半導体装置およびその製造方法
US20100109155A1 (en) 2008-11-05 2010-05-06 Chartered Semiconductor Manufacturing, Ltd. Reliable interconnect integration
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
KR101561862B1 (ko) 2008-12-26 2015-10-21 삼성전자 주식회사 반도체 집적 회로 장치의 제조 방법
JP5262878B2 (ja) * 2009-03-17 2013-08-14 東京エレクトロン株式会社 載置台構造及びプラズマ成膜装置
JP5225957B2 (ja) 2009-09-17 2013-07-03 東京エレクトロン株式会社 成膜方法および記憶媒体
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8329587B2 (en) 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
TWI579916B (zh) 2009-12-09 2017-04-21 諾菲勒斯系統公司 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
US7947551B1 (en) 2010-09-28 2011-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a shallow trench isolation structure
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
KR20130131406A (ko) 2010-12-27 2013-12-03 다우 코닝 코포레이션 경화성 실리케이트-실록산 혼합된 매트릭스 멤브레인 조성물
US8664287B2 (en) 2011-05-16 2014-03-04 Eastman Kodah Company Photocuring methods and articles prepared therefrom
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9224594B2 (en) 2013-11-18 2015-12-29 Intermolecular, Inc. Surface preparation with remote plasma
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
US20020007785A1 (en) * 2000-02-28 2002-01-24 Applied Materials, Inc. Semiconductor substrate support assembly having lobed o-rings therein
US20020050246A1 (en) * 2000-06-09 2002-05-02 Applied Materials, Inc. Full area temperature controlled electrostatic chuck and method of fabricating same
US20100000684A1 (en) * 2008-07-03 2010-01-07 Jong Yong Choi Dry etching apparatus

Also Published As

Publication number Publication date
US9719169B2 (en) 2017-08-01
US20120161405A1 (en) 2012-06-28
KR102013456B1 (ko) 2019-08-22
TW201719801A (zh) 2017-06-01
KR20120069597A (ko) 2012-06-28
CN102543831A (zh) 2012-07-04
CN102543831B (zh) 2016-04-13

Similar Documents

Publication Publication Date Title
TWI643290B (zh) 在半導體製造中可流動沈積之系統及裝置
JP5108484B2 (ja) 誘電ギャップ充填用のマルチステップ堆積・エッチング・堆積(dep−etch−dep)高密度プラズマ化学気相堆積プロセス
US6514870B2 (en) In situ wafer heat for reduced backside contamination
US7740706B2 (en) Gas baffle and distributor for semiconductor processing chamber
KR100954257B1 (ko) 고밀도 플라즈마 용도를 위한 고진공하의 자체-냉각식 가스전달 장치
US10276353B2 (en) Dual-channel showerhead for formation of film stacks
KR101329285B1 (ko) 에스티아이를 위한 실리콘 디옥사이드의 고품질 유전 필름의 제조: 하프 ⅱ― 원격 플라즈마 향상된 증착 공정을 위한 상이한 실록산―기재 전구체의 용도
US7799704B2 (en) Gas baffle and distributor for semiconductor processing chamber
US7790634B2 (en) Method for depositing and curing low-k films for gapfill and conformal film applications
CN107675143B (zh) 包括双通道喷头的装置
TW201411721A (zh) 用於流動性膜之經改良的緻密化作用
TWI455238B (zh) Hdp-cvd應用之高輪廓極小接觸的處理套組
KR19990013438A (ko) Hdp-cvd 시스템에서의 입자 성능을 개선하기 위한시즈닝 프로세스에서의 산소 대 실란 비율 조절
JP2014514729A (ja) 酸化ケイ素多重層を使用したパターンローディングの低減
JP2001135625A (ja) 遠隔プラズマを用いて膜ギャップ充填性を高める多段階式のチャンバクリーニングプロセス
CN103993293B (zh) 带温度控制的多室喷头
US20130130513A1 (en) Interlayer insulating layer forming method and semiconductor device
US20120015113A1 (en) Methods for forming low stress dielectric films
US6436303B1 (en) Film removal employing a remote plasma source
US7811411B2 (en) Thermal management of inductively coupled plasma reactors
TW201237994A (en) System and apparatus for flowable deposition in semiconductor fabrication
TWI837137B (zh) 具備擁有經冷卻面板之噴淋頭的基板處理腔室
TWI773910B (zh) 具有氣體分佈及個別泵送的批次固化腔室