JP2001135625A - 遠隔プラズマを用いて膜ギャップ充填性を高める多段階式のチャンバクリーニングプロセス - Google Patents

遠隔プラズマを用いて膜ギャップ充填性を高める多段階式のチャンバクリーニングプロセス

Info

Publication number
JP2001135625A
JP2001135625A JP2000287331A JP2000287331A JP2001135625A JP 2001135625 A JP2001135625 A JP 2001135625A JP 2000287331 A JP2000287331 A JP 2000287331A JP 2000287331 A JP2000287331 A JP 2000287331A JP 2001135625 A JP2001135625 A JP 2001135625A
Authority
JP
Japan
Prior art keywords
chamber
substrate
gas
cleaning
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000287331A
Other languages
English (en)
Other versions
JP5118271B2 (ja
Inventor
Li-Qun Xia
キア リー−チュン
Ellie Yieh
イー エリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001135625A publication Critical patent/JP2001135625A/ja
Application granted granted Critical
Publication of JP5118271B2 publication Critical patent/JP5118271B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】基板処理チャンバの中に配置される基板の上に
誘電層を形成し且つチャンバの内部の壁及び表面の堆積
材料をクリーニングして取り去る改良された方法。 【解決手段】本方法は、膜堆積の後一般に実行されるイ
ンシチュウのチャンバクリーニング操作を2つの別個の
ステップに分解する。第1のステップは、誘電層の一部
が基板の上に堆積された後になされる。誘電層の堆積が
完了された後で、第2のステップが次いで実行され、イ
ンシチュウのチャンバクリーニング操作を完了する。ク
リーニング操作の第1のステップ及び第2のステップの
両方では、チャンバへ遠隔解離弗素原子を流入し、チャ
ンバ壁上に堆積された材料をエッチングして取り去る。
チャンバクリーニングプロセスの第1のステップでは、
堆積されている誘電層に少量の弗素を含ませ、等方的に
層をエッチングして、層のギャップ充填能を改良する付
加的な利益を有する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、基板上の集積回路
の製造に関する。特に本発明は、多段階式のチャンバク
リーニング処理に関し、このプロセスを用いて、損傷を
与える可能性があるプラズマに基板を曝露せずに、基板
上に配置されている膜のギャップ充填能を改良すること
ができる。
【0002】
【従来の技術】現代の半導体デバイス製造における主な
ステップの1つに、気体の化学反応による半導体基板上
への薄膜形成を挙げることができる。この種の堆積プロ
セスは、化学気相堆積又はCVDと呼ばれている。従来
の熱CVDプロセスでは、基板表面に反応性のガスを供
給し、熱により化学反応が生じ、所望の膜が形成され
る。他方、プラズマ励起CVD法では、基板表面の近く
の反応域に高周波(RF)エネルギを印加して、反応物
ガスの励起や解離が進み、これによりプラズマが形成さ
れる。プラズマ中の種反応性が高いため、化学反応を開
始するために必要なエネルギを低減し、そのため、従来
の熱CVDプロセスと比較して、こちらのCVDプロセ
スに必要な温度を低くする。
【0003】CVD法を用いて、集積回路の製造におい
て導電膜や絶縁膜を堆積することができる。集積回路中
のプリメタル層やインターメタル誘電層としての絶縁膜
の堆積等の用途に対して、CVD膜の重要な物性の1つ
に、ギャップ内に空げきを残すことなく隣接し合う構造
体間のギャップを完全に満たす能力を挙げることができ
る。この物性を、膜のギャップ充填能と呼ぶ。充填を必
要とするギャップには、トランジスターゲート等の隣接
し合う突起状の構造体同士の間の隙間や、又は導電線や
エッチングによるトレンチその他が含まれる。
【0004】半導体デバイスのジオメトリーが、長年か
けてそのサイズを低減するにつれて、この種のギャップ
のアスペクト比は劇的に増加した(アスペクト比はギャ
ップの幅で除したギャップの高さと定義される)。高い
アスペクト比と小さい幅の組み合わせを有しているギャ
ップは、完全に充填するという半導体製造業者のための
挑戦事項を提示する。要するにこの挑戦は、充填の前
に、堆積膜がギャップを閉じるように成長することを防
止する、ということである。
【0005】半導体工業では、ギャップ充填の問題等の
挑戦に取り組むため、新しい技術や新しい膜堆積化学系
を開発するために、継続的に努力を重ねている。例え
ば、数年前には、製造業者のいくつかでは、インターメ
タル誘電シリコン酸化物層用のシランベースの化学系か
ら、TEOS(テトラエトキシシラン)ベースの化学系
に転換している。この転換は、TEOSベースの酸化物
層の高いギャップ充填材能力に頼る部分が少なくともあ
った。TEOSベースの化学系は本当に改良されたギャ
ップ充填能を有するものの、十分にアスペクト比が高く
幅の狭いギャップを充填する必要がある場合に、それも
限界にぶつかる。
【0006】半導体工業でTEOSベースの酸化シリコ
ン堆積化学系を含む様々な異なる堆積プロセスのギャッ
プ充填能を改良するために開発されたプロセスの1つ
に、多段階式の堆積及びエッチングプロセスを用いるも
のが挙げられる。このようなプロセスはしばしば、堆積
/エッチング/堆積と呼ばれ、あるいは略して「dep/et
ch/dep」とも呼ばれる。周知のdep/etch/depプロセスで
は、ギャップ充填材層の堆積を、プラズマエッチングス
テップで区切られる2以上のステップに分割する。この
プラズマエッチングステップは、側壁上に堆積された膜
部分及びギャップの下側の部分よりも、最初に堆積した
膜の上側のコーナの方をよくエッチングするので、次の
堆積ステップで、ギャップを早まって閉じることなく充
填することができる。このようなdep/etch/depプロセス
は、多数のチャンバ(堆積ステップとエッチングステッ
プで別個の専用チャンバ)又は、インシチュウプロセス
で単一のチャンバを用いて、実行が可能である。一般
に、任意の与えられた堆積化学系に対して、dep/etch/d
epプロセスを用いることにより、特定の化学系のための
標準的な堆積ステップでなされるよりも良く、アスペク
ト比が高く幅の狭いギャップを充填する。
【0007】
【発明が解決しようとする課題】半導体工業が、開発し
たギャップ充填材問題に取り組むために開発した別のプ
ロセスには、高密度プラズマ(HDP)処理CVD技術の
開発が挙げられる。HDP-CVD技術は、低い真空圧
力の下で高密プラズマを形成し、アルゴン又は別のスパ
ッタリング物質を堆積プロセスに導入する。堆積ガスと
スパッタリング物質の組み合わせにより、基板上に膜を
堆積し同時に成長する膜をエッチングするプロセスを形
成する。この理由のため、HDP-CVD技術は時に、
同時dep/etchプロセスと呼ばれることがある。HDP-
CVDプロセスは、同様の非HDP-CVDプロセスと
比較して一般に、改良されたギャップ充填能を有する。
【0008】集積回路表面形状サイズが小さくなるにつ
れ、基板上に製造されるデバイスの中には、上述のdep/
etch/depとHDP-CVD技術を含むプラズマ処理技術
によって生じる損傷に対してますます敏感になってく
る。表面形状のサイズが0.18ミクロン以下の次元に
なれば、これは特に成り立つ。このため製造業者の中に
は、少しでも見込みがあれば半導体基板上にプラズマ処
理技術を用いないようにする試みを行ってきた者もい
る。
【0009】基板上に所望の膜を堆積することに加え
て、熱CVD及びプラズマ励起CVD堆積技術では、チ
ャンバ壁をはじめとする堆積チャンバの内面上に、典型
的には不必要な堆積材料を残してしまう。この不必要な
堆積材料は、チャンバドライクリーニング操作(インシ
チュウのクリーニング操作とも呼ばれる)で除去するこ
とができる。このドライクリーニング操作は典型的に
は、堆積操作が完了された後に実行され、基板はチャン
バから取り出される。次いでエッチャントガスがチャン
バに導入され、不必要な堆積物を除去する。このドライ
クリーニング操作は、熱エッチングプロセスであっても
よく、あるいは、より通例的にはプラズマエッチングプ
ロセスであってもよい。これは、遠隔で解離されたエッ
チャント原子をチャンバ内に流入して堆積物をエッチン
グすることによっても行うことができる。ウエハ一枚又
はウエハn枚にCVD膜を堆積された後、このドライク
リーニング操作を実行してもよい。ドライクリーニング
操作を行う実際の頻度は、CVDプロセスの化学系、プ
ロセスの長さ、基板の上に堆積される膜厚、その他の堆
積条件を含む多数の因子に依存する。
【0010】先行技術のギャップ充填堆積技術における
上記の問題を考慮し、ギャップを充填する新しく且つ改
良された方法が望まれる。
【0011】
【課題を解決するための手段】本発明は、既知の堆積プ
ロセスに関連する上述の問題を解決する。本方法は、基
板処理チャンバ内に配置された基板上に誘電層を形成
し、チャンバの内壁及び表面から堆積材料をクリーニン
グして取り去る改良された技術を提供する。本方法は、
遠隔で解離されたエッチャントガス(例えば弗素)をチ
ャンバに流すインシチュウのチャンバクリーニング操作
を、2以上の別個のステップに分解する。典型的には、
この遠隔で解離されたエッチャントを使用する既知のチ
ャンバクリーニング操作は、チャンバ内に一つ以上の層
の堆積が完了した後、チャンバ内に基板が存在しない状
態で、解離原子をチャンバ内へ流入させる。しかし本発
明は、所望の層の堆積を完了する前に、またチャンバ内
に基板がある状態で、チャンバクリーニングプロセスの
一部を実行する。
【0012】本発明の1の具体例によれば、チャンバク
リーニング操作は、2つのステップに分割される。第1
のステップは、誘電層の一部が基板上に堆積された後、
基板がチャンバ内にある状態で、なされる。次いで第2
のステップは、インシチュウのチャンバクリーニング操
作を完了するものであり、これは誘電層の堆積が完了し
て基板がチャンバから除去された後に実行される。クリ
ーニング操作の第1のステップ及び第2のステップは、
遠隔で解離した原子(好ましくは弗素)をチャンバ内に
流入させ、チャンバ壁上に堆積した材料をエッチングす
る。チャンバクリーニングプロセスの第1のステップ
は、堆積した誘電層に少量の少量の弗素を取り込ませ
て、この層を等方的にエッチングしてギャップ充填能を
改善するという、付加された利益を有する。チャンバク
リーニングプロセスは、基板処理チャンバ内にプラズマ
を形成せず、従って、基板上に、プラズマによって誘発
された欠陥を生じない。
【0013】発明の他の具体例では、最終のチャンバク
リーニングステップの前に行われる堆積のステップとチ
ャンバクリーニングのステップを交互に行うチャンバク
リーニング操作を、3以上のステップに分割する。
【0014】また、本発明は、前のパラグラフで説明し
た態様等の多数のステップのチャンバクリーニング操作
を実行するための装置を提供する。本発明の装置は、真
空チャンバを形成するハウジングと、真空チャンバに流
動的に結合される遠隔プラズマシステムと、真空チャン
バにガスを流し、また遠隔プラズマシステムへエッチャ
ントガスを流すことに適するガス分配システムとを有し
ている。また、この装置は、ガス分配システムを制御す
る制御装置と、この制御装置に結合されるメモリとを有
している。メモリは、膜を堆積するための基板処理シス
テムの操作を指示するために具体化されるコンピュータ
読み出し可能プログラムを有するコンピュータ読み出し
可能媒体を有している。このコンピュータ読み出し可能
プログラムは、ガス分配システムを制御する真空チャン
バへ堆積ガスを流して、真空チャンバ内に配置される基
板上に誘電層の第1の部分を堆積する命令と、ガス分配
システムを制御して、遠隔プラズマシステムにエッチャ
ントガスを流し、また、層の第1の部分が堆積された
後、基板がチャンバから取り出される前に、遠隔プラズ
マシステム内で発生した遠隔解離種を真空チャンバに流
す命令と、ガス分配システムを制御して、遠隔解離種が
チャンバに流入した後に堆積ガスを真空チャンバへ流
し、誘電層の第1の部分の上に誘電層の第2の部分を堆
積する命令と、ガス分配システムを制御して、遠隔プラ
ズマシステムへエッチャントガスを流し、また、層の第
2の部分が堆積された後で且つ基板がチャンバから取り
出された後に、真空チャンバに遠隔プラズマシステム内
で発生した遠隔解離種を流す命令とを有する。
【0015】本発明のこれら及び他の具体例は、その利
点及び特徴も同様に、以下の説明及び添付の図面ととも
に更に詳細に説明される。
【0016】
【発明の実施の形態】I.序論 本発明は、基板処理チャンバ内に配置される基板上に誘
電層を形成し、且つ、チャンバの内部の壁及び表面から
堆積材料をクリーニングする、改良された方法を提供す
る。本方法は、遠隔で解離されたエッチャントガス(例
えば弗素)をチャンバに流入させるインシチュウのチャ
ンバクリーニング操作を、2つ以上の別個のステップに
分割する。このクリーニングステップの第1のステップ
は、チャンバ内で基板に対して実行され、所望の層の初
期部分が堆積した後に行われる。層の堆積が完了された
後で且つ基板がチャンバから取り出された後で、最終の
クリーニングステップが実行される。
【0017】本発明は、様々な表面形状サイズを有する
集積回路の製造を容易にするのを手伝うために用いられ
ることができ、特に0.35ミクロン以下の最小の表面
形状サイズを有するデバイスの製造に有用である。本発
明の方法は、従来のデザインのCVDチャンバが遠隔で
解離した種をチャンバに流入させる能力を有するなら
ば、そのCVDチャンバで行うことができる。
【0018】II. 典型的な基板処理チャンバ 図1Aは、本発明の方法を実施することが可能な、典型
的な化学気相堆積(「CVD」)システム10の簡略図
である。このシステムは、準大気圧の熱CVD(「SACV
D」)プロセスを実行するために適切であるが、この他
にリフロー、ドライブイン、クリーニング、エッチング
するやゲッタリングプロセス等の他のプロセスにも適し
ている。また、チャンバから基板を取り出すことなく、
単一の基板又はウエハ上に、多数のステップのプロセス
を実行することができる。システムの主な部品には、ガ
ス送出システム20よりプロセスガス及び他のガスを受
け取る真空チャンバ15と、真空装置25と、遠隔プラ
ズマシステム30と、制御システム35とが含まれる。
これら及び他の部品は、更に詳細に下で説明される。
【0019】CVD装置10は、ガス反応区域16を有
する真空チャンバ15を形成するエンクロージャ組立体
37を有する。ガス散布プレート21は、穿孔ホールを
通して、垂直に可動なヒータ26(又はウエハ支持ペデ
スタルとも呼ばれる)の上に載置されるウエハ(図示さ
れず)の方へ、パージガス等の反応性のガス及び他のガ
スを散布する。ガス反応区域16は、ガス散布プレート
21及びウエハの間にある。ヒータ26は、破線13に
よって指示するように、ウエハを例えば出し入れするた
めの下側の位置と、ガス散布プレート21にぴったりと
隣接する処理位置との間で、制御可能な状態で移動する
ことが可能であり、あるいは、エッチングプロセス又は
クリーニングプロセス等の他の目的のための他の位置に
移動することが可能である。センタボード(図示され
ず)は、ウエハの位置の情報を提供するためのセンサを
有する。
【0020】ヒータ26は、セラミックに包囲された電
気抵抗加熱要素(図示されず)を有する。セラミック
は、腐食の可能性のあるチャンバ環境から加熱要素を保
護し、ヒータが約800℃までの温度を達成できるように
する。典型的な具体例では、真空チャンバ15に曝露さ
れるヒータ26の全ての表面は、酸化アルミニウム(A
l2O3又はアルミナ)や窒化アルミニウム等のセラミ
ック材料でできている。
【0021】反応性のガス及びキャリアガスは、ガス送
出システム20から供給ライン43を通してガスミキシ
ングボックス44(ガスミキシングブロックともいう)
に供給され、ここで一緒に混合されてガス散布プレート
21に供給される。ガス送出しシステム20は、様々な
ガスソースと、チャンバ15に各ソースの選ばれた量を
供給する適切な供給ラインとを有し、これは当業者に理
解されるところであろう。一般に各ガスの供給ライン
は、関連ラインへのガスの流入を自動的に又はマニュア
ルで遮断するために用いることができる遮断弁と、及び
供給ラインを通してガス又は液体のフローを測定する質
量流量制御装置又は他のタイプの制御装置を有してい
る。システム10によるプロセスランにより、ソースの
一部は実際にはガスではなく、テトラエチルオルソシラ
ン(TEOS)、ホウ酸トリエチル(TEB)および/
又は燐酸トリエチル(TEPO)等の液体ソースであっ
てもよい。液体ソースを用いる場合は、ガス送出システ
ムは、液体を蒸発させるために液体インジェクションシ
ステム又は他の適切な機構(例えばバブラ)を有する。
当業者には理解されるように、液体からの蒸気は、次い
で通常は、キャリアガスに混合される。オゾンがシステ
ム10のプロセスランに必要とされる場合は、ガス送出
システムはまた、酸素分子の供給からオゾンを発生させ
るためのオゾン発生器を有してもよい。
【0022】ガスミキシングボックス44は、処理ガス
供給ライン43及びクリーニングガス/エッチングガス
導管47に結合される、デュアル入力ミキシングブロッ
クである。バルブ46は、ガス導管47からガスミキシ
ングブロック44までガスやプラズマを入れ、あるいは
これをシールするように作動する。ガス導管47は、入
力ガスを受けるために入口57を有する一体型の遠隔マ
イクロ波プラズマシステム30から、ガスを受け取る。
堆積処理の間、プレート21に供給されるガスはウエハ
表面(矢印23によって示されるように)の方へ排出さ
れ、そこでは、層流でウエハ表面の半径方向全体に均一
に散布されるだろう。
【0023】パージガスは、ガス散布プレート21と吸
気口ないしチューブ(図示されず)の一方又は両方か
ら、エンクロージャ組立体37の底部壁を通って、真空
チャンバ15内に供給されてもよい。チャンバ15の底
部から導入されたパージガスは、吸気口からヒータ26
を過ぎて上流に流れ、環状のポンピングチャンネル40
に至る。真空ポンプ(図示されず)を有する真空装置2
5は、排気ライン60を通してガス(矢印24によって
示されるように)を排気する。排気ガス及び同伴粒子が
排気ライン60を通して環状のポンピングチャンネル4
0から引き出される速度は、絞り弁システム63によっ
て制御される。
【0024】遠隔マイクロ波プラズマシステム30は、
チャンバクリーニング又は自然酸化物や残留物のプロセ
スウエハからのエッチング等の選ばれた用途のためにプ
ラズマを発生することができる。入力ライン57を介し
て供給される前駆体から遠隔プラズマシステム55の中
に発生されるプラズマ種は、分散のため、導管47を介
して送られ、ガス散布プレート20を通って真空チャン
バ15に至る。遠隔マイクロ波プラズマシステム30
は、チャンバ15と一体的に配置され導管47を有する
チャンバ15の下に装着され、この導管47は、チャン
バの横を通りゲート弁46及びガスミキシングボックス
44に至り、そしてこのガスミキシングボックス44
は、チャンバの上方に配置される。クリーニング用途の
ための前駆体ガスは、弗素、塩素及び/又は他の反応性
の元素を有してもよい。また、プラズマシステム30
は、膜堆積プロセスの間、遠隔マイクロ波プラズマシス
テム30内に適切な堆積前駆体ガスを流入させてCVD
膜を堆積するに適していてもよい。
【0025】堆積チャンバ15の壁の温度及び排気通路
等周囲の構造体の温度は、チャンバの壁の中にチャンネ
ル(図示されず)を通して熱交換液体を循環させること
によってさらに制御することができる。熱交換液体は、
用いられることができる、求める効果により、チャンバ
壁のを加熱や冷却に用いることができる。例えば、高温
の液体は、熱の堆積プロセス中に均一な熱勾配の維持を
助けることができ、一方、低温の液体は、インシチュウ
のプラズマプロセスの間のシステムから熱を除去するた
めか、チャンバの壁上の堆積物の形成を制限するために
用いられてもよい。また、ガス散布マニホールド21
も、熱交換流路(図示されず)を有している。代表的な
熱交換流体は、水ベースのエチレングリコール混合物、
又は、オイルベースの熱移動流体又はこれと同様の流体
である。
【0026】この加熱、即ち「熱交換器」による加熱と
呼ばれる加熱は、望ましくない反応物の凝縮を低減又は
排除するという利点を有し、また、ガスの流入がない間
に低温の真空流路の壁の上に凝縮して処理チャンバに戻
ってくる場合に、プロセスを汚染する可能性のある処理
ガス及び他の汚染物質の揮発性物質の排除性を向上す
る。
【0027】システムコントローラ35は、堆積システ
ムの活動及び作動パラメータを制御する。システムコン
トローラ35は、コンピュータプロセッサ50と、プロ
セッサ50に結合したコンピュータで読み取り可能なメ
モリ55を有する。メモリ70の中に保存されるコンピ
ュータプログラム58等のシステム制御ソフトウェア
を、プロセッサ50は実行する。メモリ70は、ハード
ディスク装置が好ましいが、他の種類のメモリ、例えば
リードオンリーメモリーやフラッシュメモリー等でもよ
い。また、システムコントローラ35は、フロッピー
(登録商標)ディスクドライブ(図示されず)を有す
る。
【0028】プロセッサ50は、システム制御ソフトウ
ェア(プログラム58)に従って運転され、これはタイ
ミング、ガス混合物、チャンバ圧力、チャンバ温度、マ
イクロ波電力レベル、ペデスタル位置及び特定のプロセ
スの他のパラメータを命令する計算機命令を有する。こ
れら及び他のパラメータの制御は、制御ライン65で行
われ、この制御ラインの一部は図1Aに示され、ヒー
タ、絞り弁、遠隔プラズマシステム及びさまざまなバル
ブ及びガス送出システム20と結びついた質量流量制御
装置へ、システムコントローラ35は結合される。
【0029】プロセッサ50は、シングルボードコンピ
ューターと、アナログ及びデジタル入出力ボードと、イ
ンターフェースボードと、ステッパーモーター制御装置
ボードとを有するカードラック(図示されず)を有す
る。CVDシステム10の種々の部品は、ボード、カー
ドケージ及びコネクタ寸法サイズを定義するVersa Modu
lar European(VME)規格に適合する。このVME規格にお
いても、16ビットデータバス及び24ビットアドレス
バスを有するバスストラクチャを定義する。
【0030】図1Bは、CVDシステム10の操作をモ
ニタし制御するために用いることができるユーザインタ
フェースの簡略図である。図1Bで示すように、CVD
システム10は、マルチチャンバ基板処理システムの中
のチャンバの1つである。このようなマルチチャンバシ
ステムでは、別の付加処理のため、ウエハがコンピュー
タ制御ロボットを介して1のチャンバから他のチャンバ
へ移送されてもよい。ウエハが真空下又は選択したガス
の下で移送される場合もある。ユーザーとシステムコン
トローラ35の間のインタフェースは、CRTモニタ7
3a及びライトペン73bである。メインフレームユニ
ット75は、CVD装置10のための電気的な測定及び
他の支援機能を提供する。CVD装置の例示的な具体例
に矛盾しない典型的なマルチチャンバシステムメインフ
レームユニットとしては、米国カリフォルニア州サンタ
クララのアプライドマテリアルズ社の Precision 5000
system 及び Centura 5200 system を挙げることができ
る。
【0031】好ましい具体例では、2つのモニタ73a
を用い、1つは、オペレーターのためにクリーンルーム
壁71に装備され、もう一方は、サービス技術者のため
に壁72の背後に装備される。両方のモニタ73aは、
同時に同じ情報を表示するが、一つのライトペン73b
のみが使用可能である。ライトペン73bは、ペンのチ
ップの中の光センサーにより、CRTディスプレイが発
する光を検出する。特定のスクリーン又は機能を選択す
るために、オペレーターは表示画面の指定地域にペン7
3bで接触しそのボタンを押しつける。接触された区域
は、そのハイライトされた色を変え、あるいは、新しい
メニュー又はスクリーンが表示されて、ライトペン及び
表示画面の間でのコミュニケーションが確認できる。当
業者には容易に理解されるだろうが、ユーザーがプロセ
ッサと通信できるよう、他の入力装置、例えばキーボー
ド、マウスやその他のポインティングデバイスやコミュ
ニケーションデバイスを、ライトペン73bに代えて又
はこれに加えて用いてもよい。
【0032】図1Cは、図1Aの典型的なCVD装置の
ためのシステム制御ソフトウェア、即ちコンピュータプ
ログラム58、の階層制御構造の一具体例のブロックダ
イヤグラムである。膜の堆積、ドライチャンバクリーニ
ング、リフローやドライブイン等の操作の実行に対する
プロセスは、プロセッサ50で実行されるコンピュータ
プログラム58の管理下で行うことができる。6800
0アセンブリ言語、C、C++、Pascal、Fortranやそ
の他の言語等のコンピュータプログラムコードは、任意
の従来のコンピュータ読み出し可能なプログラミング言
語で書き込むことができる。適切なプログラムコード
は、従来のテキストエディターを用いて単一のファイル
又は複数のファイルに入力され、そして、システムメモ
リー等のコンピュータ使用可能媒体に保存ないし具体化
される。
【0033】入力されたコードテキストが高級言語で書
かれている場合は、コードはコンパイルされ、得られた
コンパイラーコードは次いで、プレコンパイルされた
Windows (登録商標)ライブラリールーチンの
オブジェクトコードにリンクされる。リンクされたコン
パイルドオブジェクトコードを実行するため、システム
ユーザーはオブジェクトコードを呼び出し、コンピュー
ターシステムがコードをメモリにロードし、CPUはそ
こからコードを読み取って実行することにより、プログ
ラムに指定された作業を実行するよう装置を設定する。
【0034】ユーザーは、CRTモニタ上に表示される
メニュー又はスクリーンによって提供される選出を選択
するため、ライトペンを用いて、プロセスセレクタサブ
ルーチン80にプロセスセット数及びプロセスチャンバ
数を入力する。プロセスセットは、指定されたプロセス
を実施するために必要なプロセスパラメータの予め定め
られたセットであり、これは、予め定義されたセット数
によって識別される。プロセスセレクタサブルーチン8
0は、(i)所望のプロセスチャンバと、(ii)所望のプロ
セスの実行のためのプロセスチャンバの操作に必要なプ
ロセスパラメータの所望のセットとを識別する。特定の
プロセスを実行するためのプロセスパラメータは、例え
ばプロセスガス組成や流れ速度、ペデスタル温度、チャ
ンバ壁温圧力等のプロセス条件と、マグネトロン電力レ
ベルやチャンバ壁温等のプラズマ条件に関係がある。プ
ロセスセレクタサブルーチン80は、どのタイプのプロ
セス(例えば堆積、ウエハクリーニング、チャンバクリ
ーニング、チャンバ残留ガスの除去、リフロー等)がチ
ャンバ内で一定の時間実行されるかについて制御する。
具体例では、複数のプロセスセレクタサブルーチンを行
う場合もある。プロセスパラメータは、レシピの形でユ
ーザーに提供され、ライトペン/CRTモニタインタフ
ェースを利用して入力してもよい。
【0035】プロセスシーケンサーサブルーチン82
は、プロセスセレクタサブルーチン80から識別された
プロセスチャンバ及びプロセスパラメータを受け入れる
ためのプログラムコードと、様々なプロセスチャンバの
操作を制御するためのプログラムコードを有する。多数
のユーザーが、プロセスセット数及びプロセスチャンバ
数を入力することができ、又は、1人のユーザーが多数
のプロセスセット数及びプロセスチャンバ数を入力する
ことができるため、プロセスシーケンサーサブルーチン
82は、所望のシーケンスで選択されたプロセスをスケ
ジューリングする。好ましくは、プロセスシーケンサー
サブルーチン82は、(i)チャンバが用いられているか
どうか決定するためにプロセスチャンバの操作をモニタ
するステップと、(ii)用いるチャンバ内でどのプロセス
が実施されているかを決定するステップと、(iii)プロ
セスチャンバの利用可能性及び実施されるプロセスのタ
イプに基づいて、所望のプロセスを実行するステップと
を実行するプログラムコードを有する。
【0036】ポーリング法等、プロセスチャンバをモニ
タする従来法を用いることができる。どのプロセスを実
行すべきかをスケジューリングする場合、プロセスシー
ケンサーサブルーチン82は、選択されたプロセスに対
して所望のプロセス条件と比較するために用いられるプ
ロセスチャンバの現在の状態又は各特定のユーザーが入
力したリクエストの「年令」やその他、システムプログ
ラマがスケジュール優先度を決定するために欲する関連
因子を考察するようにデザインが可能である。
【0037】プロセスシーケンサーサブルーチン82
が、どのプロセスチャンバとプロセスセット組み合わせ
が次に実行するかを決定した後、プロセスセットによっ
て決定されたプロセスシーケンサーサブルーチン82に
従って、特定のプロセスチャンバの中に多数の処理作業
を制御するチャンバマネージャーサブルーチン85に、
特定のプロセスセットパラメータを通過させることによ
り、プロセスシーケンサーサブルーチン82は、プロセ
スセットの実行を開始する。例えば、チャンバマネージ
ャーサブルーチン85は、チャンバ15内のCVD及び
クリーニングプロセス操作を制御するためのプログラム
コードを有する。チャンバマネージャーサブルーチン8
5も、選択されたプロセスセットを実施するために必要
なチャンバ部品の操作を制御するため、様々なチャンバ
部品サブルーチンの実行を制御する。チャンバ部品サブ
ルーチンの例としては、基板位置決めサブルーチン9
0、処理ガス制御サブルーチン91、圧力制御サブルー
チン92、ヒータ制御サブルーチン93及び遠隔プラズ
マ制御サブルーチン94を挙げることができる。CVD
チャンバの特定の構成によっては、上記のサブルーチン
の全てを有する具体例もあるが、ここに説明されないサ
ブルーチン又は他サブルーチンの一部だけを有している
具体例もある。当業者は、プロセスチャンバ内でどのプ
ロセスを実行しようとしているかにより、他のチャンバ
制御サブルーチンを有していてもよいということを、容
易に認識するだろう。マルチチャンバシステムでは、付
加的なチャンバマネージャーサブルーチン86、87
が、他のチャンバの動作状態を制御する。
【0038】操作においては、チャンバマネージャーサ
ブルーチン85は、プロセスを実行している特定のプロ
セスセットに従って、プロセス部品サブルーチンを選択
的にスケジューリングし又は呼び出す。プロセスシーケ
ンサーサブルーチン82がどのプロセスチャンバ及びプ
ロセスセットが次に実行されることになっているかをス
ケジューリングすることと同様に、チャンバマネージャ
ーサブルーチン85は、プロセス部品サブルーチンをス
ケジューリングする。典型的には、チャンバマネージャ
ーサブルーチン85は、さまざまなチャンバ部品をモニ
タするステップと、実行されるプロセスセットに対する
プロセスパラメータに基づいてどの部品を実行する必要
があるかを決定するステップと、上記モニタのステップ
と上記決定のステップに対応してチャンバ部品サブルー
チンの実行を開始するステップとを有する。
【0039】特定のチャンバ部品サブルーチンの操作
を、図1A及び1Cに関して説明する。基板位置決めサ
ブルーチン90はヒータ26の上へ基板をロードするた
めに用いられるチャンバ部品を制御するためにプログラ
ムコードを備え、また随意に、チャンバの中に所望の高
さへの基板を持ち上げ、基板及びガス散布マニホールド
21の間のスペーシングを制御するプログラムコードを
備える。基板がプロセスチャンバ15に搬入される際、
ヒータ26は基板を受けるために下げられ、それから、
ヒータ26は所望の高さまで上げられる。操作において
は、基板位置決めサブルーチン90は、チャンバマネー
ジャーサブルーチン85から伝送される支持体高さに関
連したプロセスセットパラメータに応じて、ヒータ26
の運動を制御する。
【0040】処理ガス制御サブルーチンは、91がプロ
セスガス組成及び流量を制御するためのプログラムコー
ドを有する。処理ガス制御サブルーチン91は、安全遮
断弁の状態を制御し、また質量流量制御装置を増減し
て、所望のガス流量を得る。典型的には、処理ガス制御
サブルーチン91の動作は、ガス供給ラインを開け、以
下を繰り返す:(i)必要な質量流量制御装置を読み取
り、(ii)この読み取り値をチャンバマネージャーサブル
ーチン157aから受け取った所望の流量と比較し、(i
ii)必要に応じて、ガス供給ラインの流量を調整する。
更に、処理ガス制御サブルーチン91は、非安全流量に
ついてガス流量をモニタするステップと、安全でない状
態が検出された時に安全遮断弁をオンにするステップと
を有している。代替物具体例は、複数の処理ガス制御サ
ブルーチンを有し、このサブルーチンのそれぞれは、特
定のセットのプロセス又は特定のタイプのガスラインを
制御する。
【0041】プロセスによっては、チャンバ内の圧力を
安定させるため、反応性の処理ガスが導入される前に、
窒素又はアルゴン等の不活性ガスをチャンバに流入させ
る。これらのプロセスに対して、処理ガス制御サブルー
チン91はチャンバ内の圧力を安定させるために必要な
時間、チャンバに不活性ガスを流入させるステップを有
するようプログラムされ、そして上述のステップが実施
されることになる。TEOS、TEPO又はTEB等の
処理ガスが液体前駆体から気化する場合は、バブラ組立
体内の液体前駆体の中でリウム等の送出ガスをバブリン
グするステップ、又はヘリウム等のキャリアガスの流れ
の中に液体を噴出させる液体射出システムを制御するス
テップを有するように、処理ガス制御サブルーチン91
は書き込まれる。このタイプのプロセスにバブラが用い
られる場合は、処理ガス制御サブルーチン91は、所望
のプロセスガス流量を得るため、送出ガスの流量、バブ
ラ内の圧力及びバブラ温度を調整する。上で示したよう
に、所望のプロセスガス流量は、プロセスパラメータと
して処理ガス制御サブルーチン91に伝送される。
【0042】さらに、処理ガス制御サブルーチン91
は、所与のプロセスガス流量に必要な値を有している保
存されたテーブルにアクセスすることによって、所望の
プロセスガス流量のために必要な送出ガス流量、バブラ
圧力及びバブラ温度を得るステップを有している。この
必要な値が得られた後、送出ガス流量、バブラ圧力及び
バブラ温度をモニタし、これらを当該必要な値と比較し
これに応じて調整を行う。
【0043】圧力制御サブルーチン92は、チャンバの
排気システムの絞り弁のアパーチャサイズを調整するこ
とによりチャンバ内の圧力を制御するプログラムコード
を有する。アパーチャの絞り弁のサイズを設定して、全
プロセスガス流量、プロセスチャンバのサイズ及び排気
システムのためのポンピング設定圧力に関する所望のレ
ベルでチャンバ圧力を制御する。圧力制御サブルーチン
92が呼び出されるときは、所望の標的圧力レベルが、
チャンバマネージャーサブルーチン85からのパラメー
タとして受け取られる。圧力制御サブルーチン92は、
チャンバに接続した一つ以上の従来の圧力マノメータを
読み取ることによってチャンバの中に圧力を測定し、測
定値を標的圧力と比較し、保存された圧力テーブルか
ら、標的圧力に対応する比例積分微分(PID)値を得
て、このPID値によって絞り弁を調整する。あるい
は、圧力制御サブルーチン92は、絞り弁を特定のアパ
ーチャサイズ、すなわち固定した位置に開け閉めしてチ
ャンバ内の圧力を調整するように書かれてもよい。この
ように排気キャパシティを制御することにより、圧力制
御サブルーチン92のフィードバック制御性能を呼び出
さない。
【0044】制御サブルーチン93は、基板を加熱する
ために用いられる発熱ユニットへの電流を制御するプロ
グラムコードを有する。また、ヒータ制御サブルーチン
93は、チャンバマネージャーサブルーチン85によっ
て呼び出されターゲット(又は設定点)温度パラメータ
を受け取る。ヒータ制御サブルーチン93は、ヒータ内
に配置される熱電対の電圧出力を測定し、測定温度を設
定点温度と比較し、そして設定点温度を得るために発熱
体に印加する電流を増減することにより、温度を測定す
る。保管換算表で相当温度を調べることにより、又は4
次多項式を用いて温度を計算することにより、測定電圧
から温度が得られる。ヒータ制御サブルーチン93は、
ヒータ温度の上下を徐々に制御する能力を有する。この
性能は、セラミックヒータの熱によるクラックを低減す
るに役立つ。さらに、プロセスチャンバが正しくセット
アップされない場合には、内蔵フェイルセーフモードを
有してプロセス安全コンプライアンスを検出し、発熱体
の操作をシャットダウンすることができるようにしても
よい。
【0045】遠隔プラズマ制御サブルーチン94は、遠
隔プラズマシステム30の操作を制御するためのプログ
ラムコードを有する。プラズマ制御サブルーチン94
は、ここに説明した他のサブルーチンと同様の方法で、
チャンバマネージャー85によって呼び出される。
【0046】ここでは本発明はソフトウェアで実行し汎
用コンピュータで実行するように説明されているが、本
発明が特定用途向けIC(ASIC)やその他のハードウエ
ア回路等のハードウエアを用いて実行可能であると、当
業者は認識するだろう。このように、本発明は全部又は
一部において、ソフトウェア又はハードウエア又はこれ
ら両方で、実行することができると理解されよう。当業
者はまた、CVDシステム10の制御に適切なコンピュ
ーターシステムを選択することは、ルーチン技能の問題
であることを認識するだろう。
【0047】III. 従来のチャンバクリーニングプロセ
ス 前述のように、CVD堆積プロセスの間に生じる問題の
1つに、不必要な堆積が、内部壁等の堆積チャンバの内
面に発生するということである。この不必要な堆積は除
去されない限り、次の処理ステップを妨げウエハ収率に
悪影響を与る粒子状汚染物の発生源(ソース)となる。
【0048】この問題を防止するために、チャンバの内
側の表面は定期的にクリーニングされ、処理チャンバの
チャンバ壁及び同様の区域から不必要な堆積材料を除去
する。この手法は、エッチャントガスを用いてチャンバ
壁及び他の区域から堆積物質を除去する(エッチングす
る)チャンバのクリーニング操作として実行される。基
本的には、エッチャントガスは、不必要な生成物と反応
して、揮発性の生成物を形成し、これはチャンバの排気
流の中に混じってチャンバからポンプ輸送される。この
ようなクリーニング手法は一般に、ウエハ1枚の堆積ス
テップ毎又はウエハn枚の堆積ステップの毎に実行され
る。
【0049】インシチュウのクリーニングプロセスの中
には、基板堆積チャンバへエッチャントガスを流入さ
せ、チャンバ内のガスからプラズマを形成するものもあ
る。この他、最近開発されたインシチュウのクリーニン
グプロセスでは、上で説明された遠隔プラズマソース3
0等の遠隔プラズマソースから、遠隔解離エッチャント
をチャンバに流入させる。いずれにせよ、チャンバクリ
ーニングプロセスは、堆積ステップの完了の後で基板が
チャンバから取り出された後に行われる。
【0050】IV. 多段階式のチャンバクリーニング 本発明に従ったプロセス 本発明は、チャンバに遠隔で解離されたエッチャント原
子を流入させる従来のチャンバクリーニングプロセス
を、多数のステップに分割する。図2は、本発明に従っ
た多段階式のクリーニングプロセスの一具体例を例示す
るフローチャートである。前述のように、本発明は様々
な異なる目的で様々な異なるタイプの層を堆積すること
に用いることができる。本発明の理解を容易にするのを
手伝う図2の記載は、図3A〜3Cを参照し、そしてこ
れらは、その上に形成される隣接した金属配線305を
有している基板300の上の層間金属誘電層の堆積に用
いられるプロセスにおける本発明の一つの特定の用途を
例示する。隣接したライン305は、それらの間にギャ
ップ310を形成する。図2及び図3A〜3Cに関して
説明されるプロセスは、上述の典型的なCVDチャンバ
15内で行われるが、チャンバが遠隔でエッチャントを
解離しチャンバへこの遠隔解離種を流入させる機構を有
しているならば、従来の設計の他のCVDチャンバで実
行することも可能である。
【0051】図2に示すように、層を堆積しようとして
いる基板(図3Aの基板300)は、チャンバ15に、
最初に移送される(ステップ200)。次に、成膜又は
堆積プロセスが開始し、基板300の上に誘電体膜層の
第1の部分315(図3B)を形成する。誘電体膜の第
1の部分315は、ライン305の間のギャップ310
の中を部分的に埋めている。図3Bで示すようにたとえ
膜部分315の堆積の共形度が非常に高いとしても、そ
れは100%共形ではないので、膜の外面320は、ギ
ャップの上側部がギャップの底部よりも狭くなるギャッ
プ310のネック領域を画している。膜堆積プロセスを
継続し、またギャップのアスペクト比が十分に高いなら
ば、ギャップをピンチオフして、ライン305の間に非
充填区域を残すことになるだろう。このような非充填の
区域は、典型的にはボイドと呼ばれる。
【0052】本発明では、第1の膜部分の堆積を停止
し、チャンバにクリーニングガスを流入させチャンバク
リーニング操作を開始することによって、このような空
げきの形成を防止する(ステップ210)。クリーニン
グガス(一具体例ではNF3)は、クリーニングガスの
分子を解離し非常に反応性の高い種を形成するため、遠
隔プラズマシステム30に流入される。解離された種
は、インシチュウのチャンバクリーニングプロセスの第
1のステップを開始するために、次いでチャンバ15内
に導入される。
【0053】このように、膜部分315の堆積の間に、
チャンバの内面の上で値失せ記された堆積物は、エッチ
ングにより除去される。この段階でクリーニングプロセ
スを始めることにより、ギャップ310を広げる付加利
益をもたらし、これにより、空げきを形成することなく
その後の充填を行うことができる。遠隔弗素クリーニン
グは、等方性のエッチングであり、これは、ギャップ3
10の頂部から、ギャップの底部よりも多くの材料を除
去する。図3Cは、クリーニングプロセスステージ21
0が、層315の外部表面を表面325へどのようにエ
ッチバックするかについて示す。図3Cで明らかなよう
に、この時点でギャップ310は頂部が底部より広くな
っており堆積ガスがチャンバに再導入されれば、完全に
ギャップを充填することができ(ステップ215)、誘
電層の堆積が完了しギャップ310が完全に充填され
る。図3Cはまた、誘電層のこの第2の部分(部分33
0)の形成を示す。誘電層の堆積が完了された後で、基
板はチャンバから取り出され(ステップ220)、クリ
ーニングプロセスの第2のステップが実行される(ステ
ップ225)。クリーニングプロセスの第2のステップ
は、ガスから反応性の非常に高い種を形成するために、
遠隔プラズマシステム30にクリーニングガスを再び流
入させる。次いで解離種が、チャンバ壁の上で蓄積した
堆積物を除去するために、チャンバ15に導入される。
本発明のこの好ましい具体例では、クリーニングステッ
プ210と225を行っている間、チャンバに遠隔解離
弗素原子を流入させる。
【0054】一具体例では、チャンバパラメータ(例え
ば温度、圧力、ガス流量)は、実質的にステップ210
及び225におけるとほぼ同様に設定される。2つのク
リーニングステップ210、225を備えることによ
り、単一のクリーニングステップが処理の終わりに用い
られる場合に比べて、完了に時間を要する(一般に、約
10〜20%長い)が、前述のように、堆積された層が
1層で、弗素取込みにより利益を得ることができる場合
は、層の堆積が完了される前にチャンバ内の基板に行う
追加のクリーニングステップにより、膜のギャップ充填
能を改良し、膜品質を改良する。更に、最終のクリーニ
ングステップ225は、クリーニングステップ210が
実行されない場合に比べて短くなる。
【0055】誘電層315、330は、ギャップ充填材
用途のために半導体工業で用いられる多数の異なる誘電
層であってもよい。例えば、層315、330はノンド
ープの珪酸塩ガラス(USG)層、隣ドープ珪酸塩ガラス
(PSG)、弗素ドープシリコンガラス(FSG)層や、TE
OS及びトリメチルシランの処理ガスから堆積される等
の低誘電率シリコン-炭素フィルムであってもよい。他
の具体例では、本発明を、PMD用途のための硼素燐ケ
イ酸エステルガラス製の(BPSG)膜のギャップ充填能を
改良するために使用してもよい。また、クリーニングプ
ロセスのステップ210の間、チャンバに導入した遠隔
解離弗素原子は、クリーニングステップによってもエッ
チングされる層315に弗素を取り入れるという付加利
益を提供する。弗素は、一般にUSG及びPSG膜の誘電率を
減らす傾向があり、また、BPSG膜のリフロー温度を下げ
ることが見出されている。
【0056】各クリーニングステップ210、225の
ための時間の長さは、堆積プロセスの化学系、堆積され
た層の厚さ、クリーニングプロセス中のチャンバ状態、
用いられるエッチャントの流量及びタイプを含む多数の
因子に依存する。当業者は、これらの因子その他を考慮
し、ステップ210、225のために適切な時間を選択
することができる。具体例の1つでは、NF3は950
sccmの流量に遠隔プラズマシステム30に流入される。
更に、ステップ210の長さは、次の充填のために十分
にギャップ310を開けるように選択される。多くの堆
積化学系に対して、ギャップ310に対して開口が広く
なれば、層330はより高速で堆積できる。当業者に知
られていているように、高速の堆積速度は典型的には、
適切な堆積条件を修正することによって得られる。高速
の堆積速度は少なくとも部分的にギャップ充填能を代償
としてしまうことがたびたびある。
【0057】図3A〜3Cでは、隣接し合う金属配線3
05によって形成される比較的垂直な側壁を有するギャ
ップ310を充填するために本発明を用いることを示す
ものであるが、本発明はまた、図4の中に示される態様
等の不規則なプロファイルを有するギャップ又はトレン
チを充填するために用いることもできる。図4は、基板
400にエッチングされるトレンチ405を示す。高ア
スペクト比のエッチングプロセスでプロファイルを正確
に制御することの困難さのため、トレンチ405は、テ
ーパの付いたプロファイルを有する。このプロファイル
のため、トレンチ405は、100%共形の膜を堆積す
ることができるはずの堆積プロセスによっても、満足に
充填されることができなかった。本発明では、トレンチ
405内に初期層を堆積することができ、次の充填のた
めに、中間のクリーニングステップによりこの初期層の
ギャップを開け又は広げる。トレンチ405のプロファ
イルの峻度により、多数の中間のクリーニングステップ
を必要とする場合もあるだろう。
【0058】ここまで本発明の数個の具体例を完全に説
明したが、本発明の他の多くの等価物又は代替物具体例
は、当業者に明らかである。例えば、図2に例示される
多段階式のクリーニングプロセスは、2つの別個のクリ
ーニングステップを有しているが、3つ以上の別個のク
リーニングステップを有する他の具体例でも可能であ
る。このような具体例は、堆積ステップ210及びクリ
ーニングステップ220の間で行われる付加的且つ整合
成のある堆積及びクリーニングのシーケンスを有してい
てもよい。交互の堆積ステップとクリーニングステップ
の対を付加することにより、さらに堆積層のギャップ充
填能を高めることができる。
【0059】しかし当業者によって理解されるように、
付加したステップが付加プロセス時間を必要とすると同
様に、改良されたギャップ充填能は、スループットの増
加に対して均衡を保たれなければならない。また、遠隔
プラズマシステム30は、エッチャントガスを解離する
ことができる任意のシステムであってもよい。適切な別
のシステムの一例は、米国マサチューセッツ州ウォバー
ンの、Applied Science and Technology(ASTeX)によ
って製造されるAstron Fluorinator であってもよい。
一具体例では、Astron Fluorinatorが遠隔プラズマソー
スとして用いられる場合、プラズマはアルゴンフローで
開始され、次いで、エッチャントガス(例えばNF3)
フローをこのアルゴンフローに加える。
【0060】他の代替物具体例では、チャンバ15内で
使用される堆積プロセスは、プラズマ励起CVD堆積プ
ロセス又はHDPCVD堆積プロセスである。これらの
具体例では、別の具体例で用いることができる堆積速度
よりも高い値を用いて、誘電層を堆積することができる
が、何故なら、中間のクリーニングステップが、隣接し
合う盛り上がり構造の間に堆積される膜の部分を広げる
からである。更に、本発明は、基板1枚の処理後ではな
く、基板n枚の処理の後にクリーニングステップを必要
とする堆積プロセスに使用することができる。そのよう
なシステムでは、クリーニングステップ225は、第n
番目の基板の後に実行すればよい。しかし、基板n枚の
それぞれに対して中間のクリーニングステップ210を
実行してもよい。また、NF3以外に他のエッチャント
ガスを使用してもよい。このエッチャントガスの特定の
例は、O2と共にF2とCFxのガスフローを有する。
CFxガスの例としては、C2F6、C3F8などが挙
げられる。これらの同等物及び代替物は、本発明の範囲
内に含まれると考えられる。
【図面の簡単な説明】
【図1】図1Aは、本発明の方法を行うために用いるこ
とができる典型的なCVD装置の簡略図であり、図1B
は、図1Aの典型的なCVD装置のためのユーザインタ
フェースの1の具体例の簡略図であり、図1Cは、図1
Aの典型的なCVD装置のためのシステム制御ソフトウ
ェアの階層制御構造の1つの具体例のブロックダイヤグ
ラムである。
【図2】図2は、本発明の方法の一具体例を例示するフ
ローチャートである。
【図3】図3A〜3Cは、図2に例示される本発明の具
体例に従って形成される、一部が完了した集積回路構造
の簡略横断面図である。
【図4】図4は、上に形成される不規則プロファイルを
有するトレンチを有する基板の簡略横断面図であり、こ
れは、本発明の方法を用いての充填が有利である。
【符号の説明】
10…CVDシステム、15…真空チャンバ、16…ガ
ス反応区域、20…ガス送出システム、21…ガス散布
プレート、25…真空装置、26…ヒータ、30…遠隔
プラズマシステム、35…制御システム、37…エンク
ロージャ組立体。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 リー−チュン キア アメリカ合衆国, カリフォルニア州, サンタ クララ, レイス アヴェニュー 868 (72)発明者 エリー イー アメリカ合衆国, カリフォルニア州, サン ノゼ, ピストイア ウェイ 5888

Claims (11)

    【特許請求の範囲】
  1. 【請求項1】 基板処理チャンバ内に配置される基板上
    に誘電層を堆積するためのプロセスとプロセスの間に、
    基板処理チャンバの内面から堆積物をクリーニングする
    方法であって、 基板上に誘電層の第1の部分を堆積するステップと、 その後、チャンバ内に基板を保持し、遠隔で解離された
    エッチャント原子をチャンバ内に流入させるステップ
    と、 その後、誘電層の第1の部分の上に誘電層の第2の部分
    を堆積するステップと、 その後、チャンバから基板を取り除き、チャンバに遠隔
    解離エッチャント原子を流入させるステップとを有する
    方法。
  2. 【請求項2】 前記誘電層が、TEOS及びオゾンSA
    CVDb積プロセスより形成される共形の酸化シリコン
    層である請求項1に記載の方法。
  3. 【請求項3】 前記酸化シリコン層が、硼素及び燐でド
    ープされる請求項2に記載の方法。
  4. 【請求項4】 前記誘電層が、複数のステップ面と前記
    ステップ面の隣接し合う同士の間に形成されるギャップ
    とを有する基板の上の、前記ステップ面の上に堆積さ
    れ、前記層の第1の部分が、部分的に前記ギャップを充
    填する請求項1に記載の方法。
  5. 【請求項5】 前記誘電層の前記第2の部分が、前記ギ
    ャップの充填を完了する請求項4に記載の方法。
  6. 【請求項6】 層の少なくとも1つの付加部分が、第1
    の部分と第2の部分の間で堆積され、前記少なくとも1
    つの付加部分は、第1のクリーニング操作の後に堆積さ
    れ、前記少なくとも1つの付加部分が堆積された後で前
    記第2の部分が堆積される前に、付加クリーニングステ
    ップが実行される請求項1に記載の方法。
  7. 【請求項7】 層のn個の付加部分が、第1の部分と第
    2の部分の間で堆積され、ここでnは正の整数であり前
    記n個の部分は第1のクリーニング操作の後に堆積さ
    れ、堆積される各付加部分に対して対応するクリーニン
    グステップが、前記付加部分の堆積の後に実行され、前
    記第2の部分が堆積される前に前記対応するクリーニン
    グステップの全部が行われる請求項1に記載の方法。
  8. 【請求項8】 前記遠隔解離エッチャント原子が、弗素
    原子である請求項1に記載の方法。
  9. 【請求項9】 前記弗素が、NF3によって提供される
    請求項8に記載の方法。
  10. 【請求項10】 チャンバ内に配置された基板の上に誘
    電層を堆積するためのプロセスとプロセスの間、基板処
    理チャンバの内面から堆積物をクリーニングする方法で
    あって、 チャンバにTEOS及びオゾンを流入し、基板上に酸化
    シリコン膜の第1の部分を堆積するステップと、 その後、基板をチャンバ内に置きつつ、TEOS及びオ
    ゾンの流入を停止し、チャンバに遠隔解離弗素原子を流
    入させることによってチャンバクリーニング操作を始め
    るステップと、 その後、前記遠隔解離弗素原子の流入を停止することに
    より、チャンバクリーニングの操作を停止し、チャンバ
    にオゾン及びTEOSを流入させて、第1の部分の上
    に、酸化シリコン膜の第2の部分を堆積するステップ
    と、その後、TEOS及びオゾンフローを停止して、チ
    ャンバから基板を取り出し、遠隔解離弗素原子をチャン
    バ内に流入させることにより、チャンバクリーニング操
    作を再開するステップとを有する方法。
  11. 【請求項11】 基板処理システムであって、 真空チャンバを形成するハウジングと、 前記真空チャンバに流体結合した遠隔プラズマシステム
    と、 前記真空チャンバへガスを流入させるに適し、前記遠隔
    プラズマシステムにエッチャントガスを流入させるため
    に構成されるガス散布システムと、 前記ガス分配システムを制御するための制御装置と、前
    記制御装置に結合され、前記基板処理システムの膜を堆
    積する操作を指示するために具体化されたコンピュータ
    読み出し可能なプログラムを有するコンピュータ読み出
    し可能な媒体を備える、メモリとを備え、 前記コンピュータ読み出し可能なプログラムは、 前記真空チャンバ内に配置される基板上に誘電層の第1
    の部分を堆積するために、前記真空チャンバ内に堆積ガ
    スを流入させるよう、前記ガス散布システムを制御する
    命令と、 前記層の前記第1の部分が堆積された後で前記基板が前
    記チャンバから取り出される前に、前記遠隔プラズマシ
    ステムにエッチャントガスを流入し、前記遠隔プラズマ
    システム内に発生した遠隔解離種を真空チャンバ内に流
    入させるよう、前記ガス散布システムを制御する命令
    と、 前記遠隔解離種を前記チャンバに流入した後前記真空チ
    ャンバに堆積ガスを流入し、第1の部分の上に誘電層の
    第2の部分を堆積するよう、前記ガス散布システムを制
    御する命令と、前記層の前記第2の部分が堆積された後
    で前記基板が前記チャンバから取り出された後、前記遠
    隔プラズマシステムへエッチャントガスを流入し、前記
    遠隔プラズマシステム内で発生した遠隔解離種を真空チ
    ャンバ内に流入させるよう、前記ガス散布システムを制
    御する命令とを有する基板処理システム。
JP2000287331A 1999-09-21 2000-09-21 遠隔プラズマを用いた膜堆積プロセス及び多段階式のチャンバクリーニングプロセス Expired - Fee Related JP5118271B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/400338 1999-09-21
US09/400,338 US6503843B1 (en) 1999-09-21 1999-09-21 Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill

Publications (2)

Publication Number Publication Date
JP2001135625A true JP2001135625A (ja) 2001-05-18
JP5118271B2 JP5118271B2 (ja) 2013-01-16

Family

ID=23583207

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000287331A Expired - Fee Related JP5118271B2 (ja) 1999-09-21 2000-09-21 遠隔プラズマを用いた膜堆積プロセス及び多段階式のチャンバクリーニングプロセス

Country Status (5)

Country Link
US (1) US6503843B1 (ja)
EP (1) EP1087437B1 (ja)
JP (1) JP5118271B2 (ja)
KR (1) KR100687379B1 (ja)
DE (1) DE60039564D1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010261078A (ja) * 2009-05-08 2010-11-18 Toppan Printing Co Ltd 真空成膜装置、および高分子フィルム積層体の製造方法、ならびに高分子フィルム積層体
JP2012084892A (ja) * 2006-04-14 2012-04-26 Applied Materials Inc 窒化化合物半導体構造のエピタキシャル成長

Families Citing this family (200)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1077479A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
US6171948B1 (en) * 1999-11-02 2001-01-09 Micron Technology, Inc. Method for filling structural gaps and intergrated circuitry
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US7628897B2 (en) * 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US20050136684A1 (en) * 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US20050258137A1 (en) * 2004-03-24 2005-11-24 Sawin Herbert H Remote chamber methods for removing surface deposits
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) * 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US20060144820A1 (en) * 2004-12-30 2006-07-06 Sawin Herbert H Remote chamber methods for removing surface deposits
US20060144819A1 (en) * 2004-12-30 2006-07-06 Sawin Herbert H Remote chamber methods for removing surface deposits
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
JP4974815B2 (ja) * 2006-10-04 2012-07-11 東京エレクトロン株式会社 薄膜形成装置の洗浄方法、薄膜形成方法及び薄膜形成装置
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US7651959B2 (en) * 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US20090325391A1 (en) * 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US7972968B2 (en) * 2008-08-18 2011-07-05 Applied Materials, Inc. High density plasma gapfill deposition-etch-deposition process etchant
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
WO2011044046A2 (en) * 2009-10-07 2011-04-14 Applied Materials, Inc. Improved multichamber split processes for led manufacturing
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
JP5736820B2 (ja) * 2011-02-15 2015-06-17 富士通株式会社 半導体製造装置の洗浄装置及びそれを用いた半導体装置の製造方法
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
JP6273257B2 (ja) 2012-03-27 2018-01-31 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated タングステンによるフィーチャ充填
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9297073B2 (en) * 2014-04-17 2016-03-29 Applied Materials, Inc. Accurate film thickness control in gap-fill technology
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR102620219B1 (ko) * 2018-11-02 2024-01-02 삼성전자주식회사 기판 처리 방법 및 기판 처리 장치
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP2022510428A (ja) 2018-12-05 2022-01-26 ラム リサーチ コーポレーション ボイドフリーの低応力充填
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11572622B2 (en) 2020-09-14 2023-02-07 Applied Materials, Inc. Systems and methods for cleaning low-k deposition chambers

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
JP2926864B2 (ja) * 1990-04-12 1999-07-28 ソニー株式会社 銅系金属膜のエッチング方法
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
JP3190745B2 (ja) * 1992-10-27 2001-07-23 株式会社東芝 気相成長方法
US5302233A (en) 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
US5416048A (en) 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
TW276353B (ja) * 1993-07-15 1996-05-21 Hitachi Seisakusyo Kk
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US5856220A (en) * 1996-02-08 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a double wall tub shaped capacitor
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
JP2853661B2 (ja) * 1996-06-27 1999-02-03 日本電気株式会社 半導体集積回路装置の製造方法
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
US5872065A (en) * 1997-04-02 1999-02-16 Applied Materials Inc. Method for depositing low K SI-O-F films using SIF4 /oxygen chemistry
US6030881A (en) * 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012084892A (ja) * 2006-04-14 2012-04-26 Applied Materials Inc 窒化化合物半導体構造のエピタキシャル成長
JP2010261078A (ja) * 2009-05-08 2010-11-18 Toppan Printing Co Ltd 真空成膜装置、および高分子フィルム積層体の製造方法、ならびに高分子フィルム積層体

Also Published As

Publication number Publication date
EP1087437B1 (en) 2008-07-23
DE60039564D1 (de) 2008-09-04
JP5118271B2 (ja) 2013-01-16
KR100687379B1 (ko) 2007-02-26
KR20010030488A (ko) 2001-04-16
US6503843B1 (en) 2003-01-07
EP1087437A2 (en) 2001-03-28
EP1087437A3 (en) 2006-06-07

Similar Documents

Publication Publication Date Title
JP5118271B2 (ja) 遠隔プラズマを用いた膜堆積プロセス及び多段階式のチャンバクリーニングプロセス
US20050136684A1 (en) Gap-fill techniques
US5908672A (en) Method and apparatus for depositing a planarized passivation layer
JP4176864B2 (ja) 四弗化珪素/酸素の化学作用を用いて低誘電率のSi−O−F膜を堆積させる方法
US6218268B1 (en) Two-step borophosphosilicate glass deposition process and related devices and apparatus
US6020035A (en) Film to tie up loose fluorine in the chamber after a clean process
JP4323583B2 (ja) 高堆積速度のハロゲンドープトシリコン酸化物層を堆積させるプロセス
US7691753B2 (en) Deposition-selective etch-deposition process for dielectric film gapfill
US7459405B2 (en) Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
EP1148533A2 (en) Method and apparatus for cleaning parts of a deposition system or etching wafers
JP4364438B2 (ja) 高膜品質で水素含有量の低い窒化ケイ素を堆積するプラズマプロセス
US6360685B1 (en) Sub-atmospheric chemical vapor deposition system with dopant bypass
US6451686B1 (en) Control of semiconductor device isolation properties through incorporation of fluorine in peteos films
KR20110051195A (ko) 증착 방법들 및 응력 축적 릴리스
US6753270B1 (en) Process for depositing a porous, low dielectric constant silicon oxide film
EP1054444A1 (en) Process for depositing a porous, low dielectric constant silicon oxide film
US7205205B2 (en) Ramp temperature techniques for improved mean wafer before clean
US6153540A (en) Method of forming phosphosilicate glass having a high wet-etch rate

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070817

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100629

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100706

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100922

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20101019

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110217

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20110224

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20110318

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120210

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120215

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120828

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121019

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151026

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees