CN102239540B - 制造衬底的方法 - Google Patents

制造衬底的方法 Download PDF

Info

Publication number
CN102239540B
CN102239540B CN200980148548.8A CN200980148548A CN102239540B CN 102239540 B CN102239540 B CN 102239540B CN 200980148548 A CN200980148548 A CN 200980148548A CN 102239540 B CN102239540 B CN 102239540B
Authority
CN
China
Prior art keywords
characteristic
separates
feature
substrate
composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200980148548.8A
Other languages
English (en)
Other versions
CN102239540A (zh
Inventor
斯科特·西里斯
古尔特杰·S·桑胡
安东·德维利耶
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN102239540A publication Critical patent/CN102239540A/zh
Application granted granted Critical
Publication of CN102239540B publication Critical patent/CN102239540B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Micromachines (AREA)

Abstract

一种制造衬底的方法包括在衬底上形成第一隔开特征及第二隔开特征。所述第一隔开特征具有在组合物上与所述第二隔开特征的高度上最外区域不同的高度上最外区域。所述第一隔开特征及第二隔开特征相互交替。从所述衬底移除每隔一个第一特征,且形成成对紧邻的第二特征,其与所述第一特征中的剩余者中的个别者交替。在此移除动作之后,经由掩模图案处理所述衬底,所述掩模图案包含与所述第一特征中的剩余者中的个别者交替的所述成对紧邻的第二特征。还揭示其它实施例。

Description

制造衬底的方法
技术领域
本文中所揭示的实施例涉及制造衬底的方法,例如,如可用于集成电路的制造中的衬底。
背景技术
集成电路通常形成于例如硅晶片或其它半导电材料的半导体衬底上。大体上,将半导电、导电或绝缘的各种材料的层用于形成集成电路。例如,使用各种工艺来对各种材料进行掺杂、离子植入、沉积、蚀刻、生长等。半导体处理中的持续目标为继续争取减小个别电子组件的尺寸,借此允许实现更小且更密集的集成电路。
用于图案化且处理半导体衬底的一种技术为光刻。此技术包括通常被称为光致抗蚀剂的可图案化掩模层的沉积。这些材料可经处理以修改其在某些溶剂中的溶解度,且借此可容易地用于在衬底上形成图案。举例来说,光致抗蚀剂层的部分可经由例如掩模或光掩模的辐射图案化工具中的开口而暴露于光化能量,以与沉积后状态中的溶解度相比改变暴露区域对比未暴露区域的溶剂溶解度。此后,取决于光致抗蚀剂的类型,可移除暴露或未暴露区域,借此在衬底上留下光致抗蚀剂的掩模图案。在经掩蔽部分旁的下层衬底的邻近区可例如通过蚀刻或离子植入而受到处理以实现对邻近于掩模材料的衬底的所要处理。在某些例子中,利用光致抗蚀剂的多个不同层及/或光致抗蚀剂与非辐射敏感掩模材料的组合。
特征尺寸的连续减小对用于形成特征的技术提出不断增加的要求。举例来说,光刻通常用于形成图案化特征,例如,导电线路。通常被称为“间距”的概念可用于描述特征连同紧邻于其的间隔的尺寸。间距可经定义为在直线横截面中的重复图案的两个邻近特征中的相同点之间的距离,借此包括特征的最大宽度及到下一紧邻的特征的间隔。然而,归因于例如光学器件及光或辐射波长的因素,光刻技术倾向于具有最小间距,当低于其时特定光刻技术无法可靠地形成特征。因此,光刻技术的最小间距为使用光刻时的持续特征尺寸减小的障碍。
间距加倍或间距倍增为一种用于将光刻技术的能力扩展超过其最小间距的经提议方法。此方法通常通过沉积隔片形成层以具有小于最小的可能的光刻特征尺寸的厚度的横向厚度来形成比最小光刻分辨率更窄的特征。隔片形成层通常经各向异性蚀刻以形成次光刻特征,且接着从衬底蚀刻以最小光刻特征尺寸形成的特征。
使用间距实际上减半的此技术,间距的此减小常规上被称为间距“加倍”。更一般来说,“间距倍增”包含两倍或两倍以上的间距增加,且也包含除整数以外的分数值的间距增加。因此,常规上,间距乘以某因子的“倍增”实际上涉及使间距以所述因子减小。
附图说明
图1为根据本发明的一实施例的工艺中的衬底的图解剖视图。
图2为在图1的处理步骤之前的处理步骤的图1衬底的视图。
图3为在由图1所示的处理步骤之后的处理步骤的图1衬底的视图。
图4为在由图3所示的处理步骤之后的处理步骤的图3衬底的视图。
图5为在由图4所示的处理步骤之后的处理步骤的图4衬底的视图。
图6为在由图5所示的处理步骤之后的处理步骤的图5衬底的视图。
图7为在由图6所示的处理步骤之后的处理步骤的图6衬底的视图。
图8为在由图7所示的处理步骤之后的处理步骤的图7衬底的视图。
图9为在由图8所示的处理步骤之后的处理步骤的图8衬底的视图。
图10为在由图9所示的处理步骤之后的处理步骤的图9衬底的视图。
图11为根据本发明的一实施例的工艺中的另一衬底的图解剖视图。
图12为在由图11所示的处理步骤之后的处理步骤的图11衬底的视图。
图13为在由图12所示的处理步骤之后的处理步骤的图12衬底的视图。
图14为在由图13所示的处理步骤之后的处理步骤的图13衬底的视图。
图15为在由图14所示的处理步骤之后的处理步骤的图14衬底的视图。
图16为在由图15所示的处理步骤之后的处理步骤的图15衬底的视图。
图17为根据本发明的一实施例的工艺中的另一衬底的图解剖视图。
图18为在由图17所示的处理步骤之后的处理步骤的图17衬底的视图。
图19为在由图18所示的处理步骤之后的处理步骤的图18衬底的视图。
图20为在由图19所示的处理步骤之后的处理步骤的图19衬底的视图。
图21为在由图20所示的处理步骤之后的处理步骤的图20衬底的视图。
图22为在由图21所示的处理步骤之后的处理步骤的图21衬底的视图。
图23为根据本发明的一实施例的工艺中的另一衬底的图解剖视图。
图24为在由图23所示的处理步骤之后的处理步骤的图23衬底的视图。
图25为在由图24所示的处理步骤之后的处理步骤的图24衬底的视图。
图26为在由图25所示的处理步骤之后的处理步骤的图25衬底的视图。
图27为在由图26所示的处理步骤之后的处理步骤的图26衬底的视图。
图28为在由图27所示的处理步骤之后的处理步骤的图27衬底的视图。
图29为在由图28所示的处理步骤之后的处理步骤的图28衬底的视图。
图30为在由图29所示的处理步骤之后的处理步骤的图29衬底的视图。
图31为在由图30所示的处理步骤之后的处理步骤的图30衬底的视图。
图32为根据本发明的一实施例的工艺中的另一衬底的图解剖视图。
图33为在由图32所示的处理步骤之后的处理步骤的图32衬底的视图。
图34为在由图33所示的处理步骤之后的处理步骤的图33衬底的视图。
图35为在由图34所示的处理步骤之后的处理步骤的图34衬底的视图。
图36为在由图35所示的处理步骤之后的处理步骤的图35衬底的视图。
图37为在由图36所示的处理步骤之后的处理步骤的图36衬底的视图。
具体实施方式
首先参看图1至图10描述根据本发明的制造衬底的方法的一些实施例(例如,在形成集成电路时)。参看图1,衬底(例如,半导体衬底)大体上以参考数字10指示。在此文档的上下文中,术语“半导体衬底”或“半导电衬底”经定义以意味着包含半导电材料的任何构造,其包括(但不限于)例如半导电晶片的块体半导电材料(单独或在其上包含其它材料的组合件中),及半导电材料层(单独或在包含其它材料的组合件中)。术语“衬底”指代任何支撑结构,其包括(但不限于)以上所述的半导电衬底。
衬底10经描绘为包含材料12,最终将经由形成于其上的掩模图案处理材料12。材料12可为均质或非均质的,例如,包含多个不同组合物区域及/或层。隔开第一特征14已形成于衬底12上。预期任何适当材料,且不管其是均质还是非均质的。在此文档的上下文中,“隔开”指代如与垂直或其它方向相对的横向方向。隔开第一特征14可通过任何现存或有待开发的方式而图案化/形成,其中使用光致抗蚀剂(不管是由单个或多图案光刻引起的正型、负型还是双色调抗蚀剂)的光刻图案化为一实例。此外,隔开第一特征14可由以下所述的任何技术形成。在一个实例中,隔开第一特征14可呈伸长线的形式,例如在衬底的至少某部分上相互平行延伸,如将在俯视图(图中未展示)中看到的。
此外在一个实施例中,隔开第一特征14可由对较宽特征的横向蚀刻/修整引起。举例来说,图2描绘在图1的处理步骤之前的处理步骤的衬底10。此经展示为包含隔开的掩模特征16,(例如)其包含已以具有间距“P”的重复图案制造于衬底12上的光致抗蚀剂、实质上由所述光致抗蚀剂组成或由所述光致抗蚀剂组成。间距P可等于、大于或小于用以制造衬底10的最小光刻分辨率。无论如何,图2的隔开掩模特征16已被横向修整以减小其相应宽度以产生包含隔开第一特征14的图1的实例构造。此情况可由各向同性蚀刻进行,所述各向同性蚀刻从隔开掩模特征16的侧面及顶部近似相等地移除材料。或者,可使用化学处理及条件,其倾向于与从相应顶部相比从隔开掩模特征16的横向侧面蚀刻更多材料。或者,可使用化学处理及条件,其倾向于与从横向侧面相比从隔开掩模特征16的顶部蚀刻更多材料。
举例来说,由图1所描绘的构造可通过在感应耦合反应器内等离子蚀刻图2的衬底而得到。将实现基本上各向同性蚀刻(其中隔开掩模特征16的材料为光致抗蚀剂及/或其它包含有机物的材料)的实例蚀刻参数为从约2mTorr到约50mTorr的压力、从约0℃到约110℃的衬底温度、从约150瓦特到约500瓦特的电源功率,及小于或等于约25伏特的偏压。实例蚀刻气体为从约20sccm到约100sccm的Cl2与从约10sccm到约50sccm的O2的组合。在隔开掩模特征16的材料包含光致抗蚀剂的情况下,此将以每秒约0.2纳米到每秒约3纳米的速率各向同性地蚀刻掩模特征16。虽然此实例蚀刻为基本上各向同性的,与仅其单个上表面经暴露时相比,当两个侧面经横向暴露时,隔开掩模特征的较大横向蚀刻将发生。
如果与垂直蚀刻相比更多横向蚀刻为所要的,则在感应耦合反应器中的实例参数范围包括从约2mTorr到约20mTorr的压力、从约150瓦特到约500瓦特的电源功率、小于或等于约25伏特的偏压、从约0℃到约110℃的衬底温度、从约20sccm到约100sccm的Cl2及/或HBr流、从约5sccm到约20sccm的O2流,及从约80sccm到约120sccm的CF4流。
可能希望所陈述的蚀刻提供与从侧面相比的从隔开掩模特征顶部更大的移除,(例如)以实现相等高度及宽度减小或比宽度减小更多的高度减小。用于在垂直方向而非横向方向上实现更大蚀刻速率的实例参数包括从约2mTorr到约20mTorr的压力、从约0℃到约100℃的温度、从约150瓦特到约300瓦特的电源功率、大于或等于约200伏特的偏压、从约20sccm到约100sccm的Cl2及/或HBr流,及从约10sccm到约20sccm的O2流。
实例性的图1及图2实施例将相应特征描绘为在所描绘的横截面中相对于彼此具有相等形状及宽度,以及其间的相等间隔。然而,在此实施例或其它实施例中不要求此情况。
参看图3,已沉积材料18作为衬底10的一部分,且将从其形成各向异性蚀刻隔片。此材料可在蚀刻上不同于隔开第一特征14的材料,且可为导电、半导电或绝缘的,包括其任何组合。实例包括二氧化硅、氮化硅、有机抗反射涂层、无机抗反射涂层、多晶硅、钛或氮化钛,包括其任何组合。
参看图4,已各向异性蚀刻材料18以在隔开第一特征14的侧壁上形成隔片20。
参看图5,已从衬底10移除隔开第一特征14(图中未展示)以形成包含隔片20的隔开第二特征。在例如隔开第一特征14的材料包含光致抗蚀剂及/或其它有机材料的情况下,O2等离子蚀刻将从隔片20之间移除材料14。无论如何,材料14的移除可能蚀刻或可能不蚀刻隔片20的一些部分,图5中展示可忽略的此移除以形成隔开第二特征20。图1及图4描绘一个实例实施例,其中第一特征14与第一特征中的每一邻近者等距隔开(图1),且第二特征20不与第二特征中的每一邻近者等距隔开。预期替代实施例。举例来说且仅借助于实例,第一特征14可与第一特征中的每一邻近者等距隔开,且第二特征20可与第二特征中的每一邻近者等距隔开。为了实现继续论述的目的,图5描绘第二特征20中的两个紧邻者的相应最接近对21,其具有在对21的每两特征之间的相应间隔。
参看图6,第一材料22已沉积于隔开第二特征20上,且可具有不同于隔开第二特征20的组合物的某组合物。材料22可能为或可能并非为均质的。实例材料包括以上针对隔片形成材料18所述的材料中的任一者。在图6实施例中,第一材料22具有非平面最外表面23,且已经沉积以完全填充第二特征20中的两个紧邻特征的最接近对21之间的空间。
参看图7,第二材料24已沉积于第一材料23上,且具有不同于第一材料22的组合物且不同于隔开第二特征20的组合物的某组合物。第二材料24具有平面最外表面25。此最外表面可(例如)由材料24以液体填充方式的固有沉积引起,或由一个或一个以上保形层的沉积随后接着对其的某种回抛光(polish-back)或回蚀引起。实例第二材料24包括光致抗蚀剂及其它聚合物,例如,聚苯乙烯、聚甲基丙烯酸甲酯及聚硅氧烷。材料24可能为或可能并非为均质的。
参看图8,已移除第二材料24的仅一部分以暴露第一材料22,且形成收纳于第一材料22上的隔开第二材料30的区域。可由技术人员选择任何适当蚀刻技术及条件。在处理期间可蚀刻或可不蚀刻材料22的一些部分以产生图8的构造。
参看图9,已从隔开第二材料30之间蚀刻第一材料22,且已形成包含收纳于第一材料22上的隔开第二材料30的隔开第三特征32。第三特征32与第二特征20隔开。技术人员可选择任何适当的大体上各向异性蚀刻化学处理及条件用于产生图9的构造。图9描绘一个实例实施例,其中掩模图案35已形成于衬底12上且其包含隔开第二特征20及隔开第三特征32。此图还描绘一实例实施例,其中成对21的紧邻的隔开第二特征20与隔开第三特征32中的个别者交替。
例如,可进行以上处理以引起可能为或可能并非次光刻的间距倍增。无论如何,图1至图9实施例描绘掩模图案35(图9),其已经形成以具有为图2中的隔开掩模特征16的间距“P”的三分之一(为3的整数因子)的间距。图1至图9中任何程度的间距减小(包括非整数的分数减小)或其它方式当然将很大程度上基于隔开特征的可发生的任何横向修整(例如在由图1的衬底形成图2的衬底的过程中)的程度结合用以产生特征及在特征之间的间隔的沉积层的厚度来确定。举例来说,图3中的材料18的沉积厚度与用以产生图4的蚀刻技术相结合地影响隔开第二特征20的宽度。类似地,第一材料22的沉积厚度在显著程度上确定在第二特征20与第三特征32之间的间隔。此外且无论如何,隔开第二特征20及/或隔开第三特征32中的一些或所有可在形成图9构造之后经进一步横向修整。此外,例如,可横向修整图4及/或图5的隔开第二特征20。
将包含隔开第二特征及隔开第三特征的掩模图案用于经由此掩模图案处理在高度上收纳于其下的衬底。此处理可构成任何现存或有待开发技术,其中蚀刻及/或离子植入为特定实例。图10描绘使用此处理的一个实例,其中在蚀刻到衬底10的材料12中时已将掩模图案35用作蚀刻掩模。
接着参看图11至图16描述额外实施例。图11描绘在处理序列上对应于图6的工艺的替代实施例衬底片段10a。已在适当的地方利用来自首先描述的实施例的相同数字,其中构造差异以后缀“a”或以不同数字指示。当后缀“a”指示不同构造时,用于这些构造的实例材料与用于以上实施例中的无后缀“a”的相同数字的材料相同。在图11中,与由图6中的材料22的沉积所描绘相比,第一材料22a已沉积得更薄得多,从而不完全填充在第二特征20中的两个紧邻特征的最接近对21之间的空间。
参看图12,第二材料24a已形成于第一材料22a上。图11及图12描绘一个实施例,其中第一材料22a已沉积到最小厚度T,所述最小厚度T小于第二材料24的厚度且小于隔开第二特征20的最大宽度。
参看图13,已移除第二材料24a的仅一部分以暴露第一材料22a且形成收纳于第一材料22a上的隔开第二材料30a。
参看图14,已从隔开第二材料30a之间蚀刻第一材料22a,且已形成包含收纳于第一材料22a上的隔开第二材料30a的第三隔开特征32a。第三特征32a与第二特征20隔开。因此,图14描绘实例掩模图案35a,其中第三特征32a中的一者收纳于第二特征20中的邻近特征的每一者之间。可经由图14的掩模图案35a(例如)通过蚀刻、离子植入及/或如上所述的其它工艺处理衬底12,所述掩模图案35a包含隔开第二特征20及隔开第三特征32a。在图14中,掩模图案35a中的隔开第三特征32a不具有相同尺寸/形状。
图15描绘用以产生掩模图案35aa的衬底10a的额外处理。此可通过在图14的第一材料22a的蚀刻之后横向修整第三特征32a的宽度而形成。在形成第三特征之后的一个实施例中,可在处理掩模图案下的衬底材料之前移除第三特征中的仅一些特征的全部以形成掩模图案。举例来说,图15描绘横向修整收纳于第二特征20中的最远隔开特征之间的第三特征32a,所述横向修整还已引起对收纳于邻近第二特征20的最接近对21之间的第三特征32a的完全移除。
参看图16,已经由掩模图案35aa处理衬底10a。图16中所描绘的实例处理为离子植入的处理,其形成植入区域36。
本发明的一实施例包含制造衬底的方法,其包括在衬底上形成第一隔开特征及第二隔开特征。举例来说,图14中的特征32a可被视为隔开第一特征,且图14中的特征20可被视为隔开第二特征。第一隔开特征具有在组合物上不同于第二隔开特征的高度上最外区域的高度上最外区域。第一隔开特征及第二隔开特征相互交替。仅举例来说,图14描绘此构造,其中隔开特征32a被视为第一隔开特征且隔开特征20被视为第二隔开特征。无论如何,第二特征可能为或可能并非均质的。在一个实施例中,第一特征包含收纳于不同组合物第一材料上的第二材料。在一个实施例中,第二特征具有不同于第一材料及第二材料的组合物的组合物。
在一个实施例中,从衬底移除每隔一个第一特征,且形成与第一特征中的剩余者中的个别者交替的成对紧邻的第二特征。仅举例来说,图15描绘此实施例,其中成对21的紧邻的第二特征20与特征32a中的剩余者中的个别者交替。在一个实施例中,移除包含蚀刻。举例来说,在产生例如图15中所示的衬底的过程中的处理可通过相对于第二特征20选择性横向蚀刻第一材料及第二材料以从衬底10a移除每隔一个第一特征32a而发生。此还已减小特征32a中的剩余者的宽度,借此形成与特征32a中的剩余者中的个别者交替的成对21的紧邻的第二特征20。可由技术人员选择化学处理及条件以实现此蚀刻,其中在由图2的衬底产生图1的衬底中的上述实例仅为实例。在(例如)移除的动作是通过蚀刻进行的一个实施例中,在蚀刻的动作期间在特征32a上不收纳蚀刻掩模。在一个实施例中,在此蚀刻期间在衬底上的任何位置均不收纳蚀刻掩模。
在从衬底移除每隔一个第一特征之后,经由掩模图案处理所述衬底,所述掩模图案包含与所述第一特征中的所述剩余者中的个别者交替的成对紧邻的第二特征。仅举例来说,图16描绘关于掩模图案35aa的此实例处理。
接着参看图17至图22关于衬底片段10b描述制造衬底的方法的额外实施例。在适当的地方利用关于上述实施例的相同数字,其中构造的差异用后缀“b”或用不同数字指示。当后缀“b”指示不同构造时,用于这些构造的实例材料与用于以上实施例中的无后缀“b”的相同数字的材料相同。图17描绘以上图6及图11的处理的替代处理,且其中可变材料40已形成于隔开第二特征20上。可变材料40与其与之形成界面的某些材料选择性或均一地相互作用。可变材料40可被浇铸于预先图案化表面上(例如如图所示)且可为保形或非保形的。经由旋转浇铸、浸渍浇铸(dip-casting)、滴落浇铸(drop-casting)或类似浇铸的浇铸为实例。将用来自隔开第二特征的材料改变可变材料,以在隔开第二特征的侧壁上形成经改变材料。仅举例来说,经改变材料可在沉积可变材料后即刻自发形成,或(例如)经由热、光子、电子、离子(其包括基于酸的化学处理)处理随后被激活。因此,改变可在沉积期间及/或在沉积之后发生。在一个实施例中,直到可变材料的沉积完成之后才发生改变。此外,改变在限制试剂或均衡条件的状况下可为自限制的,或在反应物过量的情况下在动力学上停止。可变材料40可具有平面最外表面或非平面最外表面,其中图17中描绘了实例平面最外表面42。可变材料40可能为或可能并非均质的。
材料40可类似于可从科莱恩国际有限公司(Clariant International,Ltd.)购买到的一类材料,如所谓的“AZ R”材料,例如被指定为AZ R200TM、AZ R500TM及AZ R600TM的材料。“AZ R”材料含有有机组合物,其在暴露于从化学放大抗蚀剂释放的酸时即刻交联。因此,例如,这些材料构成实例可变材料,其中隔开第二特征20的材料包含化学放大抗蚀剂。更具体地说,“AZ R”材料可涂布于光致抗蚀剂上,且随后可在从约100℃到约120℃的温度下烘烤抗蚀剂以将酸从抗蚀剂扩散到可变材料中,以在最接近抗蚀剂的可变材料的区域内形成化学交联。材料的邻近于抗蚀剂的部分因此相对于材料的并非充分接近于抗蚀剂的其它部分而选择性硬化。材料接着可被暴露于相对于硬化部分选择性移除非硬化部分的条件。此移除可利用(例如)去离子水中的10%异丙醇或由科莱恩国际有限公司作为“SOLUTION CTM”销售的溶液来完成。利用“AZ R”材料的工艺有时被视为RELACS(由化学微缩辅助的分辨率增强光刻,Resolution EnhancementLithography Assisted by Chemical Shrink)工艺的实例。
使用“AZ R”材料的挑战在于其在组合物上可足够类似于光致抗蚀剂,以致于可能难以相对于经硬化“AZ R”材料而选择性移除光致抗蚀剂。在一个实施例中,可变材料40与“AZ R”材料的类似之处可在于其可包含类似或相同的有机组合物,在烘烤衬底时,所述有机组合物在暴露于从材料40位于其上的材料20所释放的一种或一者以上物质(例如,酸)时即刻改变(例如,形成交联)。然而,不同于“AZ R”材料,材料40还可含有分散于有机组合物中的一种或一者以上成分,提供此些成分旨在相对于特征20的材料在化学上改变材料40(例如,在可相对于材料40选择性移除特征20的材料的实施例中的光致抗蚀剂)。可分散于材料40的有机组合物中的成分可包括钛、碳、氟、溴、硅及锗中的一者或一者以上。分散于有机组合物中的任何碳可为碳化化合物的一部分,因此其在化学上不同于有机组合物的块体碳(bulk carbon)。任何氟及/或溴可(例如)包含氢氟酸及氢溴酸。在一些实施例中,分散于材料40的有机组合物中的成分包括一种或一者以上无机成分,例如,硅、锗、金属(例如,钛、钨、铂等)及/或含金属化合物(例如,金属氮化物、金属硅化物等)。类似于“AZ R”材料的材料40的成分可被称为“AZ R”型组合物。因此,在一些实施例中,可变材料40可被认为具有分散于有机“AZ R”型组合物中的一种或一者以上无机成分。然而,可变材料40可包含除有机组合物且除“AZ R”型组合物以外的材料,例如如下文所解释。
参看图18,衬底10b已经受引起最接近隔开第二特征20的材料20及40相互扩散的条件。材料20的某物质改变材料40,以形成最接近隔开第二特征20的经改变材料44。因此,能够用来自隔开第二特征的材料改变可变材料,以在隔开第二特征的侧壁上形成经改变材料,例如如图18中所示。在一个实施例中,所述改变改变可变材料40的邻近于隔开第二特征20中的每一者的一部分以形成经改变材料44,同时使可变材料的在隔开第二特征远端的部分维持不变。图18还描绘一实施例,其中已在高度上在隔开第二特征20上方形成经改变材料44。经改变材料44可能为或可能并非为均质的。
在一些实施例中,隔开第二特征20的材料包含化学放大光致抗蚀剂,且赋予材料40的改变的来自此光致抗蚀剂的物质为酸。可通过在至少约100℃的温度下烘烤半导体衬底10b引起从光致抗蚀剂释放酸。酸形成与材料40的“AZ R”型组合物的交联。可通过修改烘烤时间及烘烤温度中的一者或两者来调整交联的数量及交联从隔开特征20扩展开的距离。
作为隔开特征20包含硅的额外实例,实例可变材料40为耐火金属(例如钛)以引起最终形成包含金属硅化物的经改变材料的反应。仅举例来说,此在第US2007/0049030号美国专利申请公开案中展示且描述。当然还预期至少部分地取决于隔开第二特征的组合物的额外可变材料,且不管其现存还是有待开发。
参看图19,且在一个实施例中,(例如)通过相对于经改变材料44的选择性蚀刻,已移除材料40的未改变以形成材料44的未反应远端部分(图中未展示)。可由技术人员取决于材料40、44及12的组合物而选择适当化学处理及条件。举例来说,关于以上所提到的“AZ R”型组合物,可利用如上所论述的异丙醇及/或SOLUTION CTM完成此移除。在材料40可包含分散于“AZ R”型组合物中的额外成分的情况下,可在移除材料40的未改变区域时简单地冲洗掉这些成分。或者,可用移除额外成分的溶剂移除这些额外成分。举例来说,如果将二氧化硅用作材料40的成分,则可在移除材料40的未改变区域期间利用氢氟酸以确保除未改变区域的“AZ R”型组合物以外还移除未改变区域的二氧化硅。
参看图20,第二材料24b已沉积于经改变材料44上,同时第二材料24b具有不同于经改变材料44的组合物且不同于隔开第二特征20的组合物的某组合物。
参看图21,已移除第二材料24b的仅一部分以暴露经改变材料44且形成隔开第二材料30b。
参看图22,已从隔开第二材料30b之间蚀刻经改变材料44(图中未展示),且已形成包含隔开第二材料30b的隔开第三特征32b。第三特征32b与第二特征20隔开。图22描绘掩模图案35b,其用于经由其(例如)通过蚀刻及/或离子植入及/或其它处理(不管现存还是有待开发)来处理衬底12。
还预期由图19至图21所描绘的实施例的替代实施例。举例来说,图18的材料40可经处理以便移除其仅一部分以暴露经改变材料44且形成隔开可变材料,而非沉积材料24b且移除其部分。举例来说,可移除图18的材料40以直接产生图21的构造,其中材料24b由材料40取代。在形成此隔开可变材料之后,将从隔开可变材料之间蚀刻经改变材料44,且将形成包含隔开可变材料的第三特征,其中第三特征与第二特征隔开。举例来说,可形成图22的构造,其中在已移除图21的材料44之后,材料24b由隔开可变材料40取代。
接着参看图23至图31关于衬底片段10c描述额外实施例。已在适当的地方利用来自上述实施例的相同数字,其中构造差异用后缀“c”或用不同数字指示。当后缀“c”指示不同构造时,用于这些构造的实例材料与用于以上实施例中的无后缀“c”的相同数字的材料相同。参看图23,隔开第一特征16c已形成于衬底12上。可变材料40c已沉积于隔开第一特征16c上。
参看图24,已用来自隔开第一特征16c的材料改变可变材料40c,以在隔开第一特征16c的侧壁上形成经改变材料44c。如上所述,此改变可在可变材料40c的沉积期间及/或在可变材料40c的沉积完成之后发生。在一个实施例中,直到在可变材料40c的沉积完成之后才发生改变,例如基本上如在图23的衬底的处理到图24的处理中所描绘。
参看图25,已从衬底10c移除可变材料40c的未改变的那些部分(图中未展示)。
参看图26,已各向异性地蚀刻经改变材料44c以形成第二隔开特征20c。
参看图27,已从衬底移除隔开第一特征16c(图中未展示),从而留下包含经改变材料44c的第二隔开特征20c的至少一部分。
参看图28,第一材料22c已沉积于隔开第二特征20c上,且其具有不同于隔开第二特征20c的组合物的某组合物且具有非平面最外表面23c。
参看图29,第二材料24c已沉积于第一材料22c上,第二材料24c具有不同于第一材料22c的组合物且不同于隔开第二特征20c的组合物的某组合物。
参看图30,已移除第二材料24c的仅一部分以暴露第一材料22c且形成隔开第二材料30c。
参看图31,已从隔开第二材料30c之间蚀刻第一材料22c,且已形成包含隔开第二材料24c的隔开第三特征32c。第三特征32c与第二特征20c隔开。图23至图31实施例展示了图23中的起始间距Q及图31中的为Q的四分之一(为4的整数因子)的所得间距。取决于经沉积材料的厚度及对经形成特征的任何横向修整,也可产生包括非整数分数倍增的其它倍增。无论如何,图31描绘包含隔开第二特征20c及隔开第三特征32c的实例掩模图案35c,可经由所述掩模图案35c处理衬底12,例如如上文所述。
接着参看图32至图37描述额外实施例。图32描绘在图28的处理序列中的关于衬底片段10d的替代处理。已在适当的地方利用来自上述实施例的相同数字,其中构造差异用后缀“d”或用不同数字指示。当后缀“d”指示不同构造时,用于这些构造的实例材料与用于以上实施例中的无后缀“d”的相同数字的材料相同。
参看图32,图23至图27的处理已发生,其中图23的材料40c可被视为第一可变材料,其形成于隔开第一特征16c上,且用来自隔开第一特征16c的材料改变第一可变材料,以在隔开第一特征16c的侧壁上形成第一经改变材料44c。已从衬底移除隔开第一特征16c且形成包含第一经改变材料44(其在图32中经指定为44d)的隔开第二特征20d。第二可变材料60已形成于隔开第二特征20d上。第二可变材料60的组合物及属性与以上关于可变材料40所描述的组合物及属性相同且至少部分地取决于隔开第二特征20d的组合物。
参看图33,已用来自隔开第二特征的第一经改变材料44d/第一经改变材料20d改变第二可变材料60,以在隔开第二特征20d的侧壁上形成第二经改变材料62。第二经改变材料62的组合物及属性与以上关于经改变材料44所描述的组合物及属性相同。
参看图34,已从衬底相对于第二经改变材料62选择性地移除未改变第二可变材料60(图中未展示)。
参看图35,第三材料24d已形成于第二经改变材料62上。第三材料24d具有不同于第二经改变材料62的组合物且不同于隔开第二特征20d的组合物的某组合物。
参看图36,已移除第三材料24d的仅一部分以暴露第二经改变材料62且形成隔开第三材料30d。
参看图37,已从隔开第三材料30d之间蚀刻第二经改变材料62(图中未展示),且已形成包含隔开第三材料30d的隔开第三特征32d。第三特征32d与第二特征20d隔开。额外横向及/或垂直修整可相对于隔开第二特征及隔开第三特征发生。无论如何,图37描绘包含隔开第二特征20d及隔开第三特征32d的实例掩模图案35d,可经由所述掩模图案35d处理在高度上收纳在掩模图案35d内的衬底材料12,例如如上文所述。
还预期由图34至图37所描绘的处理的替代处理。举例来说,图33的第二可变材料60可经处理以使得移除其仅一部分以暴露第二经改变材料62且形成隔开第二可变材料,而非沉积材料24d且移除其部分。举例来说,可移除图33的材料60以直接产生图36的构造,其中材料24d由第二可变材料60取代。接着,从隔开第二可变材料之间蚀刻第二经改变材料62且形成包含此隔开第二可变材料的隔开第三特征。举例来说,可建立图37的构造,其中第二可变材料取代第三材料24d。

Claims (8)

1.一种制造衬底的方法,其包含:
在衬底上形成隔开第一特征;
在所述隔开第一特征的两侧壁上形成各向异性蚀刻的隔片对;
从所述衬底移除所述隔开第一特征形成多个隔开的隔片对第二特征;
在所述隔开第二特征上沉积第一材料,所述第一材料具有与所述隔开第二特征的组成不同的某组成,所述第一材料具有非平面最外表面;
在所述第一材料上沉积第二材料,所述第二材料具有不同于所述第一材料的组成且不同于所述隔开第二特征的组成的某组成,所述第二材料具有平面最外表面;
移除所述第二材料的仅一部分以暴露所述第一材料且形成收纳于所述第一材料上的隔开第二材料;
在形成所述隔开第二材料之后,从所述隔开第二材料之间蚀刻所述第一材料,且形成包含收纳于第一材料上的隔开第二材料的隔开第三特征,所述第三特征与所述第二特征的隔片对隔开;及
经由包含所述隔开第二特征及所述隔开第三特征的掩模图案处理所述衬底。
2.根据权利要求1所述的方法,其中所述第一特征彼此之间等距隔开,且所述第二特征与所述第二特征的每一邻近者等距隔开。
3.根据权利要求2所述的方法,其中所述第一材料经沉积以不完全填充所述第二特征中的最接近的隔片对之间的空间。
4.一种制造衬底的方法,其包含:
在衬底上形成第一隔开特征及第二隔开特征,所述第一隔开特征的高度上最外区域的的组成所述第二隔开特征的高度上最外区域的组成不同,所述第一隔开特征及第二隔开特征相互交替;
从所述衬底移除每隔一个第一特征,且形成与所述第一特征中的各个剩余者交替的紧邻的第二特征的对;及
在所述移除之后,经由掩模图案处理所述衬底,所述掩模图案包含与所述第一特征中的所述各个剩余者交替的所述紧邻的第二特征的对。
5.根据权利要求4所述的方法,其中所述第一特征包含收纳于第一材料上的与之组成不同的第二材料。
6.根据权利要求5所述的方法,其中所述第二特征具有与所述第一材料及第二材料的组成不同的组成。
7.一种制造衬底的方法,其包含:
在衬底上形成第一隔开特征及第二隔开特征,所述第一隔开特征具有在组成上与所述第二隔开特征的高度上最外区域不同的高度上最外区域,所述第一隔开特征及第二隔开特征相互交替,所述第一隔开特征包含第一材料和第二材料;
相对于所述第二特征选择性地横向蚀刻所述第一材料及所述第二材料,以从所述衬底移除每隔一个第一特征且减小所述第一特征中的剩余者的宽度及形成与所述第一特征中的各个所述剩余者交替的紧邻的第二特征的对;及
在所述横向蚀刻之后,经由掩模图案处理所述衬底,所述掩模图案包含与所述第一特征中的各个所述剩余者交替的所述紧邻的第二特征的对。
8.根据权利要求7所述的方法,其中所述第二材料在所述第一材料上方并且与所述第二材料的组成不同,所述第二特征具有与所述第一材料及第二材料的组成不同的组成。
CN200980148548.8A 2008-12-04 2009-11-11 制造衬底的方法 Active CN102239540B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/328,435 2008-12-04
US12/328,435 US8273634B2 (en) 2008-12-04 2008-12-04 Methods of fabricating substrates
PCT/US2009/063999 WO2010065251A2 (en) 2008-12-04 2009-11-11 Methods of fabricating substrates

Publications (2)

Publication Number Publication Date
CN102239540A CN102239540A (zh) 2011-11-09
CN102239540B true CN102239540B (zh) 2014-11-19

Family

ID=42231566

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980148548.8A Active CN102239540B (zh) 2008-12-04 2009-11-11 制造衬底的方法

Country Status (8)

Country Link
US (2) US8273634B2 (zh)
EP (1) EP2353174A4 (zh)
JP (1) JP5618216B2 (zh)
KR (1) KR101252966B1 (zh)
CN (1) CN102239540B (zh)
SG (1) SG171926A1 (zh)
TW (1) TWI441279B (zh)
WO (1) WO2010065251A2 (zh)

Families Citing this family (254)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) * 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) * 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8268543B2 (en) 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US8912097B2 (en) * 2009-08-20 2014-12-16 Varian Semiconductor Equipment Associates, Inc. Method and system for patterning a substrate
US20110129991A1 (en) * 2009-12-02 2011-06-02 Kyle Armstrong Methods Of Patterning Materials, And Methods Of Forming Memory Cells
US8039340B2 (en) 2010-03-09 2011-10-18 Micron Technology, Inc. Methods of forming an array of memory cells, methods of forming a plurality of field effect transistors, methods of forming source/drain regions and isolation trenches, and methods of forming a series of spaced trenches into a substrate
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
US8288083B2 (en) 2010-11-05 2012-10-16 Micron Technology, Inc. Methods of forming patterned masks
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9385132B2 (en) * 2011-08-25 2016-07-05 Micron Technology, Inc. Arrays of recessed access devices, methods of forming recessed access gate constructions, and methods of forming isolation gate constructions in the fabrication of recessed access devices
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US8741781B2 (en) 2012-06-21 2014-06-03 Micron Technology, Inc. Methods of forming semiconductor constructions
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8765612B2 (en) * 2012-09-14 2014-07-01 Nanya Technology Corporation Double patterning process
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9362133B2 (en) * 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9005463B2 (en) 2013-05-29 2015-04-14 Micron Technology, Inc. Methods of forming a substrate opening
CN104425225A (zh) * 2013-09-04 2015-03-18 中芯国际集成电路制造(上海)有限公司 三重图形的形成方法
US9368348B2 (en) 2013-10-01 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned patterning process
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6502705B2 (ja) * 2015-03-03 2019-04-17 キヤノン株式会社 形成方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102341458B1 (ko) 2015-04-15 2021-12-20 삼성전자주식회사 반도체 장치 제조 방법
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9911693B2 (en) 2015-08-28 2018-03-06 Micron Technology, Inc. Semiconductor devices including conductive lines and methods of forming the semiconductor devices
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR102398664B1 (ko) 2016-01-26 2022-05-16 삼성전자주식회사 반도체 소자의 제조 방법
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
TWI661466B (zh) * 2016-04-14 2019-06-01 日商東京威力科創股份有限公司 使用具有多種材料之一層的基板圖案化方法
WO2017189993A1 (en) * 2016-04-29 2017-11-02 Tokyo Electron Limited Method for patterning a substrate using a layer with multiple materials
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) * 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US11127594B2 (en) * 2017-12-19 2021-09-21 Tokyo Electron Limited Manufacturing methods for mandrel pull from spacers for multi-color patterning
JP2019121750A (ja) * 2018-01-11 2019-07-22 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN111384172B (zh) * 2018-12-29 2024-01-26 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11776812B2 (en) * 2020-05-22 2023-10-03 Tokyo Electron Limited Method for pattern reduction using a staircase spacer
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220049742A (ko) * 2020-10-15 2022-04-22 삼성전기주식회사 인쇄회로기판
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429123B1 (en) * 2000-10-04 2002-08-06 Vanguard International Semiconductor Corporation Method of manufacturing buried metal lines having ultra fine features
CN1752844A (zh) * 2004-09-23 2006-03-29 三星电子株式会社 用于半导体器件制造的掩模图形及其相关方法和结构

Family Cites Families (221)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE900156A (fr) 1984-07-13 1985-01-14 Itt Ind Belgium Procede pour superposer deux couches de vernis photosensibles positifs.
JPS6435916A (en) * 1987-07-31 1989-02-07 Hitachi Ltd Formation of fine pattern
US4910168A (en) 1988-05-06 1990-03-20 Mos Electronics Corporation Method to reduce silicon area for via formation
US5008207A (en) 1989-09-11 1991-04-16 International Business Machines Corporation Method of fabricating a narrow base transistor
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5013680A (en) 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5047117A (en) 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
US5420067A (en) * 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
US5382315A (en) * 1991-02-11 1995-01-17 Microelectronics And Computer Technology Corporation Method of forming etch mask using particle beam deposition
US5372916A (en) 1991-09-12 1994-12-13 Hitachi, Ltd. X-ray exposure method with an X-ray mask comprising phase shifter sidewalls
US5703675A (en) * 1992-01-17 1997-12-30 Nikon Corporation Projection-exposing apparatus with deflecting grating member
US5573837A (en) 1992-04-22 1996-11-12 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
US5254218A (en) 1992-04-22 1993-10-19 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
US5386132A (en) 1992-11-02 1995-01-31 Wong; Chun C. D. Multimedia storage system with highly compact memory device
JP3270227B2 (ja) 1993-05-26 2002-04-02 富士写真フイルム株式会社 電動巻き上げ装置
US5429988A (en) * 1994-06-13 1995-07-04 United Microelectronics Corporation Process for producing high density conductive lines
KR970007173B1 (ko) * 1994-07-14 1997-05-03 현대전자산업 주식회사 미세패턴 형성방법
DE19526011C1 (de) 1995-07-17 1996-11-28 Siemens Ag Verfahren zur Herstellung von sublithographischen Ätzmasken
US5905279A (en) 1996-04-09 1999-05-18 Kabushiki Kaisha Toshiba Low resistant trench fill for a semiconductor device
US7064376B2 (en) * 1996-05-24 2006-06-20 Jeng-Jye Shau High performance embedded semiconductor memory devices with multiple dimension first-level bit-lines
US5998256A (en) * 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
JP2006245625A (ja) 1997-06-20 2006-09-14 Hitachi Ltd 半導体集積回路装置およびその製造方法
TW454339B (en) 1997-06-20 2001-09-11 Hitachi Ltd Semiconductor integrated circuit apparatus and its fabricating method
US6207523B1 (en) * 1997-07-03 2001-03-27 Micron Technology, Inc. Methods of forming capacitors DRAM arrays, and monolithic integrated circuits
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
KR100247862B1 (ko) * 1997-12-11 2000-03-15 윤종용 반도체 장치 및 그 제조방법
US6087263A (en) * 1998-01-29 2000-07-11 Micron Technology, Inc. Methods of forming integrated circuitry and integrated circuitry structures
US6605541B1 (en) 1998-05-07 2003-08-12 Advanced Micro Devices, Inc. Pitch reduction using a set of offset masks
US6140217A (en) 1998-07-16 2000-10-31 International Business Machines Corporation Technique for extending the limits of photolithography
US6303272B1 (en) 1998-11-13 2001-10-16 International Business Machines Corporation Process for self-alignment of sub-critical contacts to wiring
EP1039533A3 (en) * 1999-03-22 2001-04-04 Infineon Technologies North America Corp. High performance dram and method of manufacture
EP1048220B1 (en) * 1999-04-26 2012-10-17 Societe Des Produits Nestle S.A. A whitened coffee concentrate
US6667502B1 (en) 1999-08-31 2003-12-23 Micron Technology, Inc. Structurally-stabilized capacitors and method of making of same
US6967140B2 (en) * 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
KR100620651B1 (ko) 2000-06-22 2006-09-13 주식회사 하이닉스반도체 반도체 소자의 미세패턴 제조방법
US6339241B1 (en) 2000-06-23 2002-01-15 International Business Machines Corporation Structure and process for 6F2 trench capacitor DRAM cell with vertical MOSFET and 3F bitline pitch
KR100340879B1 (ko) * 2000-06-29 2002-06-20 박종섭 반도체 소자의 미세 패턴 형성방법 및 이를 이용한 게이트 전극 형성방법
JP3406302B2 (ja) 2001-01-16 2003-05-12 株式会社半導体先端テクノロジーズ 微細パターンの形成方法、半導体装置の製造方法および半導体装置
US6580136B2 (en) * 2001-01-30 2003-06-17 International Business Machines Corporation Method for delineation of eDRAM support device notched gate
US6383952B1 (en) * 2001-02-28 2002-05-07 Advanced Micro Devices, Inc. RELACS process to double the frequency or pitch of small feature formation
CA2340985A1 (en) 2001-03-14 2002-09-14 Atmos Corporation Interleaved wordline architecture
US6545904B2 (en) * 2001-03-16 2003-04-08 Micron Technology, Inc. 6f2 dram array, a dram array formed on a semiconductive substrate, a method of forming memory cells in a 6f2 dram array and a method of isolating a single row of memory cells in a 6f2 dram array
US6627524B2 (en) 2001-06-06 2003-09-30 Micron Technology, Inc. Methods of forming transistor gates; and methods of forming programmable read-only memory constructions
US20030008968A1 (en) 2001-07-05 2003-01-09 Yoshiki Sugeta Method for reducing pattern dimension in photoresist layer
US6590817B2 (en) * 2001-07-23 2003-07-08 Micron Technology, Inc. 6F2 DRAM array with apparatus for stress testing an isolation gate and method
DE10142590A1 (de) * 2001-08-31 2003-04-03 Infineon Technologies Ag Verfahren zur Seitenwandverstärkung von Resiststrukturen und zur Herstellung von Strukturen mit reduzierter Strukturgröße
US6951822B2 (en) 2001-09-28 2005-10-04 Infineon Technologies North America Corp. Method for forming inside nitride spacer for deep trench device DRAM cell
KR100843888B1 (ko) 2001-12-14 2008-07-03 주식회사 하이닉스반도체 Relacs 물질을 이용하여 식각 내성이 향상된포토레지스트 패턴을 형성하는 방법
KR100569536B1 (ko) * 2001-12-14 2006-04-10 주식회사 하이닉스반도체 Relacs 물질을 이용하여 패턴 붕괴를 방지하는 방법
KR20030056601A (ko) 2001-12-28 2003-07-04 주식회사 하이닉스반도체 플래시 메모리 소자의 소스 라인 형성 방법
US6638441B2 (en) 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
US6548401B1 (en) 2002-01-23 2003-04-15 Micron Technology, Inc. Semiconductor processing methods, and semiconductor constructions
JP2003234279A (ja) 2002-02-08 2003-08-22 Sony Corp レジストパターンの形成方法、半導体装置の製造方法およびレジストパターンの形成装置
JP3976598B2 (ja) * 2002-03-27 2007-09-19 Nec液晶テクノロジー株式会社 レジスト・パターン形成方法
KR20030089063A (ko) 2002-05-16 2003-11-21 주식회사 하이닉스반도체 포토레지스트 패턴 형성방법
US6734107B2 (en) 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US6774051B2 (en) 2002-06-12 2004-08-10 Macronix International Co., Ltd. Method for reducing pitch
US6548385B1 (en) * 2002-06-12 2003-04-15 Jiun-Ren Lai Method for reducing pitch between conductive features, and structure formed using the method
US6756619B2 (en) * 2002-08-26 2004-06-29 Micron Technology, Inc. Semiconductor constructions
US6566280B1 (en) * 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US7205598B2 (en) * 2002-08-29 2007-04-17 Micron Technology, Inc. Random access memory device utilizing a vertically oriented select transistor
JP2004134574A (ja) 2002-10-10 2004-04-30 Renesas Technology Corp 半導体装置の製造方法
JP2004177952A (ja) 2002-11-20 2004-06-24 Rohm & Haas Electronic Materials Llc 多層フォトレジスト系
KR20040057582A (ko) 2002-12-26 2004-07-02 주식회사 하이닉스반도체 듀얼 다마신 구조를 갖는 미세 패턴 형성 방법
US6916594B2 (en) 2002-12-30 2005-07-12 Hynix Semiconductor Inc. Overcoating composition for photoresist and method for forming photoresist pattern using the same
JP2004247399A (ja) 2003-02-12 2004-09-02 Renesas Technology Corp 半導体装置の製造方法
KR100540475B1 (ko) 2003-04-04 2006-01-10 주식회사 하이닉스반도체 미세 패턴 형성이 가능한 반도체 장치 제조 방법
JP4287383B2 (ja) 2003-05-09 2009-07-01 富士通株式会社 レジストの加工方法及び半導体装置の製造方法
US6905975B2 (en) * 2003-07-03 2005-06-14 Micron Technology, Inc. Methods of forming patterned compositions
US7230292B2 (en) 2003-08-05 2007-06-12 Micron Technology, Inc. Stud electrode and process for making same
US7067385B2 (en) 2003-09-04 2006-06-27 Micron Technology, Inc. Support for vertically oriented capacitors during the formation of a semiconductor device
US7125781B2 (en) 2003-09-04 2006-10-24 Micron Technology, Inc. Methods of forming capacitor devices
US7030008B2 (en) * 2003-09-12 2006-04-18 International Business Machines Corporation Techniques for patterning features in semiconductor devices
US7033735B2 (en) 2003-11-17 2006-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Water soluble negative tone photoresist
JP4143023B2 (ja) * 2003-11-21 2008-09-03 株式会社東芝 パターン形成方法および半導体装置の製造方法
US7049652B2 (en) * 2003-12-10 2006-05-23 Sandisk Corporation Pillar cell flash memory technology
US7023069B2 (en) 2003-12-19 2006-04-04 Third Dimension (3D) Semiconductor, Inc. Method for forming thick dielectric regions using etched trenches
KR100554514B1 (ko) * 2003-12-26 2006-03-03 삼성전자주식회사 반도체 장치에서 패턴 형성 방법 및 이를 이용한 게이트형성방법.
US7037840B2 (en) * 2004-01-26 2006-05-02 Micron Technology, Inc. Methods of forming planarized surfaces over semiconductor substrates
US7354847B2 (en) 2004-01-26 2008-04-08 Taiwan Semiconductor Manufacturing Company Method of trimming technology
US6864184B1 (en) * 2004-02-05 2005-03-08 Advanced Micro Devices, Inc. Method for reducing critical dimension attainable via the use of an organic conforming layer
KR100781538B1 (ko) 2004-02-07 2007-12-03 삼성전자주식회사 성능이 향상된 멀티 게이트 트랜지스터용 액티브 구조의제조 방법, 이에 의해 제조된 액티브 구조 및 멀티 게이트트랜지스터
JP2005243681A (ja) 2004-02-24 2005-09-08 Tokyo Electron Ltd 膜改質方法、膜改質装置及びスリミング量の制御方法
US7390750B1 (en) * 2004-03-23 2008-06-24 Cypress Semiconductor Corp. Method of patterning elements within a semiconductor topography
US7098105B2 (en) * 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
US20050272220A1 (en) 2004-06-07 2005-12-08 Carlo Waldfried Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications
US7132333B2 (en) * 2004-09-10 2006-11-07 Infineon Technologies Ag Transistor, memory cell array and method of manufacturing a transistor
US7521378B2 (en) * 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
DE102004034572B4 (de) * 2004-07-17 2008-02-28 Infineon Technologies Ag Verfahren zum Herstellen einer Struktur auf der Oberfläche eines Substrats
US7387939B2 (en) 2004-07-19 2008-06-17 Micron Technology, Inc. Methods of forming semiconductor structures and capacitor devices
US7439152B2 (en) 2004-08-27 2008-10-21 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7202127B2 (en) 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
CN100438040C (zh) 2004-10-14 2008-11-26 茂德科技股份有限公司 动态随机存取存储器的结构
US7595141B2 (en) * 2004-10-26 2009-09-29 Az Electronic Materials Usa Corp. Composition for coating over a photoresist pattern
US7298004B2 (en) * 2004-11-30 2007-11-20 Infineon Technologies Ag Charge-trapping memory cell and method for production
US7320911B2 (en) 2004-12-06 2008-01-22 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7390616B2 (en) 2005-01-12 2008-06-24 International Business Machines Corporation Method for post lithographic critical dimension shrinking using post overcoat planarization
US7887387B2 (en) * 2005-03-14 2011-02-15 Originates, Inc. Stuffed toy with removable and replaceable stuffing, and method for use thereof
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7557015B2 (en) 2005-03-18 2009-07-07 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7981595B2 (en) * 2005-03-23 2011-07-19 Asml Netherlands B.V. Reduced pitch multiple exposure process
US7166533B2 (en) * 2005-04-08 2007-01-23 Infineon Technologies, Ag Phase change memory cell defined by a pattern shrink material process
KR100674970B1 (ko) 2005-04-21 2007-01-26 삼성전자주식회사 이중 스페이서들을 이용한 미세 피치의 패턴 형성 방법
EP1880410A2 (en) 2005-05-13 2008-01-23 Sachem, Inc. Selective wet etching of oxides
US7517753B2 (en) 2005-05-18 2009-04-14 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7544563B2 (en) 2005-05-18 2009-06-09 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
KR100732289B1 (ko) * 2005-05-30 2007-06-25 주식회사 하이닉스반도체 반도체 소자의 미세 콘택 형성방법
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7541632B2 (en) 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
JP4197691B2 (ja) 2005-06-21 2008-12-17 株式会社東芝 半導体装置の製造方法
US7459362B2 (en) 2005-06-27 2008-12-02 Micron Technology, Inc. Methods of forming DRAM arrays
US7282401B2 (en) * 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
KR100640657B1 (ko) 2005-07-25 2006-11-01 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US7776715B2 (en) * 2005-07-26 2010-08-17 Micron Technology, Inc. Reverse construction memory cell
US7291560B2 (en) * 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7199005B2 (en) 2005-08-02 2007-04-03 Micron Technology, Inc. Methods of forming pluralities of capacitors
TWI264058B (en) * 2005-08-09 2006-10-11 Powerchip Semiconductor Corp Method of correcting mask pattern and method of forming the same
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7557032B2 (en) * 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7687342B2 (en) * 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7759197B2 (en) * 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7262135B2 (en) * 2005-09-01 2007-08-28 Micron Technology, Inc. Methods of forming layers
US7416943B2 (en) * 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
KR101200938B1 (ko) * 2005-09-30 2012-11-13 삼성전자주식회사 반도체 장치의 패턴 형성 방법
US7265059B2 (en) * 2005-09-30 2007-09-04 Freescale Semiconductor, Inc. Multiple fin formation
US20070085152A1 (en) * 2005-10-14 2007-04-19 Promos Technologies Pte.Ltd. Singapore Reduced area dynamic random access memory (DRAM) cell and method for fabricating the same
US7696101B2 (en) * 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US7768055B2 (en) * 2005-11-30 2010-08-03 International Business Machines Corporation Passive components in the back end of integrated circuits
US7390749B2 (en) * 2005-11-30 2008-06-24 Lam Research Corporation Self-aligned pitch reduction
KR100784062B1 (ko) 2006-01-20 2007-12-10 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
KR100672123B1 (ko) * 2006-02-02 2007-01-19 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
KR100703985B1 (ko) 2006-02-17 2007-04-09 삼성전자주식회사 반도체 소자의 제조 방법
KR100694412B1 (ko) 2006-02-24 2007-03-12 주식회사 하이닉스반도체 반도체소자의 미세패턴 형성방법
US7745339B2 (en) 2006-02-24 2010-06-29 Hynix Semiconductor Inc. Method for forming fine pattern of semiconductor device
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7759253B2 (en) * 2006-08-07 2010-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method and material for forming a double exposure lithography pattern
JP4801477B2 (ja) 2006-03-24 2011-10-26 富士通株式会社 レジスト組成物、レジストパターンの形成方法、半導体装置及びその製造方法
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US7557013B2 (en) 2006-04-10 2009-07-07 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8158333B2 (en) 2006-04-11 2012-04-17 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device
JP2007294511A (ja) 2006-04-21 2007-11-08 Tdk Corp レジストパターンの形成方法、薄膜パターンの形成方法及びマイクロデバイスの製造方法
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) * 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7314810B2 (en) * 2006-05-09 2008-01-01 Hynix Semiconductor Inc. Method for forming fine pattern of semiconductor device
US7429533B2 (en) 2006-05-10 2008-09-30 Lam Research Corporation Pitch reduction
US7537866B2 (en) * 2006-05-24 2009-05-26 Synopsys, Inc. Patterning a single integrated circuit layer using multiple masks and multiple masking layers
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7628932B2 (en) 2006-06-02 2009-12-08 Micron Technology, Inc. Wet etch suitable for creating square cuts in si
US7625776B2 (en) 2006-06-02 2009-12-01 Micron Technology, Inc. Methods of fabricating intermediate semiconductor structures by selectively etching pockets of implanted silicon
US7709341B2 (en) 2006-06-02 2010-05-04 Micron Technology, Inc. Methods of shaping vertical single crystal silicon walls and resulting structures
KR100801078B1 (ko) * 2006-06-29 2008-02-11 삼성전자주식회사 수직 채널을 갖는 비휘발성 메모리 집적 회로 장치 및 그제조 방법
US8852851B2 (en) * 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
KR100843870B1 (ko) * 2006-07-14 2008-07-03 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
JP4724072B2 (ja) * 2006-08-17 2011-07-13 富士通株式会社 レジストパターンの形成方法、半導体装置及びその製造方法
US7521371B2 (en) * 2006-08-21 2009-04-21 Micron Technology, Inc. Methods of forming semiconductor constructions having lines
JP4319671B2 (ja) * 2006-08-22 2009-08-26 富士通株式会社 レジストパターン及びその製造方法、並びに、半導体装置及びその製造方法
US7611980B2 (en) * 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
JP4352068B2 (ja) * 2006-09-08 2009-10-28 株式会社東芝 露光方法及び半導体装置の製造方法
KR100761857B1 (ko) * 2006-09-08 2007-09-28 삼성전자주식회사 반도체 소자의 미세패턴 형성방법 및 이를 이용한 반도체소자의 제조방법
KR100855845B1 (ko) 2006-09-12 2008-09-01 주식회사 하이닉스반도체 반도체 소자의 미세패턴 형성방법
US7790357B2 (en) 2006-09-12 2010-09-07 Hynix Semiconductor Inc. Method of forming fine pattern of semiconductor device
US7959818B2 (en) * 2006-09-12 2011-06-14 Hynix Semiconductor Inc. Method for forming a fine pattern of a semiconductor device
US7666578B2 (en) * 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US8129289B2 (en) * 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
US7902081B2 (en) 2006-10-11 2011-03-08 Micron Technology, Inc. Methods of etching polysilicon and methods of forming pluralities of capacitors
US7553760B2 (en) * 2006-10-19 2009-06-30 International Business Machines Corporation Sub-lithographic nano interconnect structures, and method for forming same
KR20080038963A (ko) 2006-10-31 2008-05-07 주식회사 하이닉스반도체 콘택을 갖는 반도체소자의 제조방법
KR100771891B1 (ko) * 2006-11-10 2007-11-01 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
WO2008059440A2 (en) 2006-11-14 2008-05-22 Nxp B.V. Double patterning for lithography to increase feature spatial density
US20080113483A1 (en) * 2006-11-15 2008-05-15 Micron Technology, Inc. Methods of etching a pattern layer to form staggered heights therein and intermediate semiconductor device structures
US7807575B2 (en) * 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
US20080120900A1 (en) * 2006-11-29 2008-05-29 Femo Operations, Lp Systems and Methods for Repelling and/or Killing Pests Using Mulch
WO2008070060A2 (en) 2006-12-06 2008-06-12 Fujifilm Electronic Materials U.S.A., Inc. Device manufacturing process utilizing a double pattering process
US7786016B2 (en) 2007-01-11 2010-08-31 Micron Technology, Inc. Methods of uniformly removing silicon oxide and a method of removing a sacrificial oxide
US8236592B2 (en) 2007-01-12 2012-08-07 Globalfoundries Inc. Method of forming semiconductor device
US7842616B2 (en) 2007-01-22 2010-11-30 Advanced Technology Development Facility, Inc. Methods for fabricating semiconductor structures
US7741015B2 (en) 2007-02-16 2010-06-22 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US7785962B2 (en) 2007-02-26 2010-08-31 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7790360B2 (en) 2007-03-05 2010-09-07 Micron Technology, Inc. Methods of forming multiple lines
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
KR100880323B1 (ko) * 2007-05-11 2009-01-28 주식회사 하이닉스반도체 플래시 메모리 소자의 제조 방법
US20080292991A1 (en) 2007-05-24 2008-11-27 Advanced Micro Devices, Inc. High fidelity multiple resist patterning
US7709390B2 (en) 2007-05-31 2010-05-04 Micron Technology, Inc. Methods of isolating array features during pitch doubling processes and semiconductor device structures having isolated array features
KR100886219B1 (ko) 2007-06-07 2009-02-27 삼성전자주식회사 자기정렬된 이중 패터닝을 채택하는 미세 패턴 형성 방법
KR101101785B1 (ko) * 2007-06-08 2012-01-05 도쿄엘렉트론가부시키가이샤 패터닝 방법
US7682924B2 (en) 2007-08-13 2010-03-23 Micron Technology, Inc. Methods of forming a plurality of capacitors
JP2009049338A (ja) * 2007-08-23 2009-03-05 Toshiba Corp 半導体装置及びその製造方法
US20090074958A1 (en) * 2007-09-13 2009-03-19 Dequan Xiao Polymeric nanocompositions comprising self-assembled organic quantum dots
DE102007052050B4 (de) 2007-10-31 2010-04-08 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement und Verfahren zum Erhöhen der Ätzselektivität während der Strukturierung einer Kontaktstruktur des Halbleiterbauelements
KR100874433B1 (ko) * 2007-11-02 2008-12-17 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
KR20090050699A (ko) 2007-11-16 2009-05-20 주식회사 동부하이텍 미세 패턴 제조 방법 및 반도체 소자의 제조 방법
US8530147B2 (en) * 2007-11-21 2013-09-10 Macronix International Co., Ltd. Patterning process
US7851135B2 (en) 2007-11-30 2010-12-14 Hynix Semiconductor Inc. Method of forming an etching mask pattern from developed negative and positive photoresist layers
US8083958B2 (en) 2007-12-05 2011-12-27 International Business Machines Corporation Patterning method using a combination of photolithography and copolymer self-assemblying lithography techniques
JP2009194196A (ja) 2008-02-15 2009-08-27 Nec Electronics Corp 半導体装置の製造方法および半導体装置
US7906031B2 (en) 2008-02-22 2011-03-15 International Business Machines Corporation Aligning polymer films
US7713818B2 (en) * 2008-04-11 2010-05-11 Sandisk 3D, Llc Double patterning method
US8440576B2 (en) 2008-04-25 2013-05-14 Macronix International Co., Ltd. Method for pitch reduction in integrated circuit fabrication
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP2009289974A (ja) 2008-05-29 2009-12-10 Toshiba Corp 半導体装置の製造方法
US7759193B2 (en) 2008-07-09 2010-07-20 Micron Technology, Inc. Methods of forming a plurality of capacitors
US20100021573A1 (en) 2008-07-22 2010-01-28 Michael J Gonzalez Compositions and methods for the prevention of cardiovascular disease
US8158335B2 (en) * 2008-09-15 2012-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. High etch resistant material for double patterning
JP2010087301A (ja) * 2008-09-30 2010-04-15 Toshiba Corp 半導体装置の製造方法
US8492282B2 (en) * 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US8247302B2 (en) * 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
JP5606019B2 (ja) 2009-07-21 2014-10-15 株式会社東芝 電力用半導体素子およびその製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429123B1 (en) * 2000-10-04 2002-08-06 Vanguard International Semiconductor Corporation Method of manufacturing buried metal lines having ultra fine features
CN1752844A (zh) * 2004-09-23 2006-03-29 三星电子株式会社 用于半导体器件制造的掩模图形及其相关方法和结构

Also Published As

Publication number Publication date
EP2353174A4 (en) 2013-05-01
WO2010065251A2 (en) 2010-06-10
KR101252966B1 (ko) 2013-04-15
EP2353174A2 (en) 2011-08-10
US20100144150A1 (en) 2010-06-10
US8603884B2 (en) 2013-12-10
JP2012511254A (ja) 2012-05-17
KR20110099286A (ko) 2011-09-07
SG171926A1 (en) 2011-07-28
WO2010065251A3 (en) 2010-08-12
TWI441279B (zh) 2014-06-11
JP5618216B2 (ja) 2014-11-05
TW201030894A (en) 2010-08-16
US20120322269A1 (en) 2012-12-20
US8273634B2 (en) 2012-09-25
CN102239540A (zh) 2011-11-09

Similar Documents

Publication Publication Date Title
CN102239540B (zh) 制造衬底的方法
CN102239541B (zh) 制造衬底的方法
CN102239539B (zh) 制造衬底的方法
US7709396B2 (en) Integral patterning of large features along with array using spacer mask patterning process flow
JP2003338458A (ja) サブリソグラフィサイズのバイアをつくる方法
JP4095588B2 (ja) 集積回路にフォトリソグラフィ解像力を超える最小ピッチを画定する方法
JP2003282700A (ja) ホール形成方法
KR100934981B1 (ko) 반도체 소자의 미세 패턴 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant