WO2007148692A1 - 成膜装置及び成膜方法 - Google Patents
成膜装置及び成膜方法 Download PDFInfo
- Publication number
- WO2007148692A1 WO2007148692A1 PCT/JP2007/062328 JP2007062328W WO2007148692A1 WO 2007148692 A1 WO2007148692 A1 WO 2007148692A1 JP 2007062328 W JP2007062328 W JP 2007062328W WO 2007148692 A1 WO2007148692 A1 WO 2007148692A1
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- shower head
- gas
- cooling
- film forming
- chamber
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Ceased
Links
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/4557—Heated nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45572—Cooled nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45574—Nozzles for more than one gas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
Definitions
- the present invention relates to a film forming apparatus and a film forming method for forming a predetermined thin film on a substrate by chemical vapor deposition (CVD).
- CVD chemical vapor deposition
- a CVD film forming apparatus includes a wafer stage having a built-in heater provided in a chamber and a process gas discharge shutter provided to face the upper side of the stage. And a head.
- a processing space in the chamber is set to a predetermined degree of vacuum. While the wafer on the stage is heated to a predetermined temperature and a processing gas is continuously supplied from the shower head into the chamber, a chemical reaction occurs on the wafer surface, and the reaction product is deposited on the wafer surface. Then, film formation is performed.
- a TiN film is formed on a wafer using TiCl and NH as processing gases.
- a heater may be provided also on the shower head side.
- SFD sequential flow deposition
- a heating means is provided above the shower head and a cooling means is further provided above the heating means.
- a film forming apparatus is disclosed.
- the cooling means disclosed in the publication uses the cooling means. Since the action does not directly act on the shower head, it is performed by the upward force of the heating means, so the cooling response is poor, that is, it has not yet been possible to accurately control the temperature of the shower head surface facing the processing space. .
- An object of the present invention is to provide a film forming apparatus and a film forming method capable of accurately controlling the temperature of the surface of a shower head facing a processing space to a set temperature.
- the present invention provides a chamber for partitioning a processing space for performing a film forming process on a substrate, a stage provided in the chamber for mounting the substrate, and the stage.
- the cooling action of the cooling means acts directly on the shower head, the responsiveness of cooling is good, that is, the temperature of the shower head surface facing the processing space is also accurately controlled to the set temperature. be able to. Thereby, a film forming process with high uniformity can be performed between the substrates.
- the cooling means includes a plurality of cooling fins and a cooling gas supply path for supplying a cooling gas to the cooling fins.
- each of the plurality of cooling fins stands up in a plate shape extending in the lateral direction, and the plurality of cooling fins are arranged in parallel to each other, and
- the gas supply path has a gas outlet opening at one end of the gas passage through which the cooling gas flows from one end side to the other end side of the gap extending in the lateral direction between the plurality of cooling fins. is doing.
- the cooling unit and the shower head heating unit are housed in a housing having an exhaust port.
- the shower head includes a gas diffusion chamber communicating with the gas discharge holes, and the gas diffusion chamber includes an upper surface side portion and a lower surface side portion of the shower head. A large number of pillars are arranged for heat conduction between them.
- the film forming apparatus includes a temperature detection unit for detecting a temperature corresponding to a lower surface of the shower head, and the shower head heating unit based on a temperature detection value of the temperature detection unit. It is preferable to further comprise a control unit for controlling
- the gas supply mechanism supplies the first processing gas and the second processing gas to the processing space by dividing them into a number of cycles simultaneously or separately.
- the present invention further includes a chamber that partitions a processing space for performing a film forming process on the substrate, and a stage that is provided in the chamber and on which the substrate is placed.
- a gas supply device incorporated and used in the film forming apparatus, the shower head having a large number of gas discharge holes provided facing the stage, and the shower head provided above the shower head.
- a gas supply comprising: cooling means for cooling the shower head; and heating means for a shower head provided above the cooling means for heating the shower head via the cooling means. Device.
- the cooling action of the cooling means acts directly on the shower head, the responsiveness of cooling is improved, that is, the temperature of the shower head surface facing the processing space is also accurately controlled to the set temperature. be able to. Thereby, a film forming process with high uniformity can be performed between the substrates.
- the present invention provides a chamber that partitions a processing space for performing a film forming process on a substrate, a stage that is provided in the chamber and on which the substrate is placed, and the stage A substrate heating means for heating the substrate, a shower head having a large number of gas discharge holes provided opposite to the stage, and an interior of the chamber through the shower head.
- a gas supply mechanism for supplying a processing gas to the cooling head, a cooling means for cooling the shower head provided above the joint head, and the shower via the cooling means provided above the cooling means.
- a film forming apparatus comprising: a heating device for a head for heating a head; and a method of performing a film forming process on a substrate, a step of placing the substrate on a stage, and a step of A step of heating by the substrate heating means, a step of supplying a processing gas into the chamber via the shower head by the gas supply mechanism, and a cooling means provided above the shower head.
- the present invention is a storage medium characterized by comprising a computer-readable computer program for causing a computer to perform the film forming method having the above characteristics.
- the substrate include a semiconductor wafer, an LCD substrate, a glass substrate, and a ceramic substrate.
- FIG. 1 is a schematic cross-sectional view showing an embodiment of a film forming apparatus according to the present invention.
- FIG. 2 is an enlarged cross-sectional view showing a shower head of the film forming apparatus of FIG.
- FIG. 3 is a perspective view showing an upper surface of a spacer portion constituting the shower head of FIG. 2.
- FIG. 4 is a perspective view showing the lower surface of the spacer portion of FIG. 3.
- FIG. 5 is a perspective view showing the configuration of each member above the shower head of FIG. 2.
- FIG. 6 is a top view of a cooling member above the shower head of FIG.
- FIG. 7 is a top view for explaining a state in which cooling gas is supplied to the cooling member of FIG.
- FIG. 8 is a cross-sectional view showing a heater above the shower head in FIG.
- FIG. 9 is a perspective view showing the configuration of each member on the upper surface side of the film forming apparatus of FIG. 1.
- FIG. 10 is a flowchart showing one embodiment of a film forming method on a wafer.
- FIG. 11 is an explanatory diagram showing ON / OFF of supply of each processing gas during the film forming process of FIG.
- FIG. 12 is a schematic sectional view showing a conventional film forming apparatus used as a comparative example.
- FIG. 13 is a perspective view showing an upper surface of a spacer portion constituting the shower head of the film forming apparatus of FIG.
- FIG. 14A shows a film forming process using an embodiment of a film forming apparatus according to the present invention and a film forming using a conventional film forming apparatus when the shower head is not precoated. It is a graph which shows the temperature of the shower head at the time of a process.
- FIG. 14B shows a film forming process using an embodiment of a film forming apparatus according to the present invention and a film forming using a conventional film forming apparatus when a shower head is precoated. It is a graph which shows the temperature of the shower head at the time of a process.
- FIG. 15A shows the temperature of the shower head of one embodiment of the film forming apparatus according to the present invention.
- FIG. 4 is a graph showing the temperature of a shower head of a conventional film forming apparatus.
- FIG. 15B is a graph showing the output of the heater of one embodiment of the film forming apparatus according to the present invention and the output of the heater of the conventional film forming apparatus.
- FIG. 1 is a schematic sectional view showing a film forming apparatus according to an embodiment of the present invention.
- the film forming apparatus 1 of the present embodiment is an apparatus that forms a TiN thin film on a wafer W as a substrate by SFD.
- the film forming apparatus 1 has a substantially cylindrical chamber 2 that is airtight.
- a cylindrical stage holding member 21 (having a smaller diameter than the chamber 1) protruding downward is attached to the center of the bottom of the chamber 2 via a seal ring.
- the processing space S is defined (enclosed) by the chamber 1-2.
- the chamber 12 and the stage holding member 21 have heating mechanisms (not shown), and these heating mechanisms are supplied with power from a power supply (not shown) and heated to a predetermined temperature! / Speak.
- a loading / unloading port 22 for loading / unloading the wafer W is provided on the side wall of the chamber 12.
- the loading / unloading port 22 is opened and closed by a gate valve 23.
- An exhaust pipe 24 is connected to the side wall of the stage holding member 21.
- An exhaust means 25 is connected to the exhaust pipe 24.
- the exhaust means 25 operates in response to a control signal from a control unit 100 described later. As a result, the pressure inside the chamber 12 is reduced to a predetermined vacuum level.
- a stage 3 which is a mounting table for horizontally mounting the wafer W, which is a substrate, is provided.
- the stage 3 is supported by a cylindrical support member 31.
- the lower end of the support member 31 is attached to the stage holding member 21 via a seal ring (not shown).
- a wafer heater 32 is embedded in the stage 3.
- the wafer heater 32 heats the wafer W to a predetermined temperature by being fed by a power source (not shown).
- the stage 3 is provided with three (only two shown) wafer support pins 33 for supporting the wafer W and raising and lowering so as to protrude and retract with respect to the surface of the stage 3.
- These wafer support pins 33 are fixed to the support plate 34 and include, for example, a motor. As the support plate 34 is moved up and down by the drive mechanism 35, it is moved up and down.
- FIG. 2 is a longitudinal sectional view of the shower head 4.
- the configuration of the shower head 4 will be described with reference to FIG.
- the shower head 4 includes a base part 41, a spacer part 51, and a shower plate 42.
- a spacer 51 is provided on the lower surface of the center of the base 41, and a shower plate 42 is provided on the lower surface of the spacer 51.
- 40 is a screw for fixing the spacer part 51 and the shower plate 42 to the base part 41, and 40a in the figure is a screw hole.
- the base portion 41 is formed in a flat circular shape.
- a flange is provided outside the lower end. This flange portion is supported by the support member 2a.
- a first gas channel 41a and a second gas channel 41b that are partitioned from each other are formed in the base portion 41, respectively.
- a sensor 4A that is a detection unit that detects the temperature of the upper side portion of the base portion 41 is provided above the flange portion of the base portion 41.
- the temperature detection sensor 4A transmits an electrical signal corresponding to the detected temperature to the control unit 100 described later.
- the base 41 is connected to the shower plate 42 via the spacer 51! Therefore, the temperature detected by the temperature detection sensor 4A faces the processing space S in the shower head 4. The value corresponds to the surface temperature.
- FIG. 3 is a perspective view showing an upper surface of a spacer portion constituting the shower head of FIG.
- FIG. 4 is a perspective view showing a lower surface of the spacer portion of FIG.
- the spacer portion 51 includes a disc portion 52 and projecting edge portions 53 and 54 projecting up and down at the periphery of the disc portion 52, respectively.
- the upper surface of the projecting edge portion 53 is in close contact with the base portion 41.
- the lower surface of the projecting edge 54 is in close contact with the shower plate 42.
- a space surrounded by the projecting edge portion 53, the disc portion 52, and the base portion 41 is configured as a first gas diffusion chamber 52a.
- a space surrounded by the protruding portion 54, the disc portion 52, and the shower plate 42 is configured as a second gas diffusion chamber 52b.
- the first gas diffusion chamber 52a communicates with the first gas flow path 41a of the base portion 41. Also, Force not shown in FIGS. 3 and 4 As shown in FIG. 2, the second gas supply passage 41b of the base portion 41 is provided via an intermediate passage 50 provided in the thickness direction of the disc portion 52. And the second gas diffusion chamber 52b communicate with each other.
- bosses 55 which are a large number of column portions extending upward at intervals, are provided in an island shape.
- the upper surface (front end surface) of each boss 55 is in contact with the lower surface of the base portion 41.
- each boss 55 efficiently transmits the cold air (cold heat) of the base portion 41 to the spacer portion 51. Thereby, the temperature force on the surface of the spacer 51 and the surface of the shower plate 42 connected to the spacer 51 are controlled with high accuracy.
- the spacer portion 51 has a diameter of 340 mm. Further, the total area of the spacer portion 51 in contact with the base portion 41 is about 385 cm 2 . This total area is about 42% of the area of the projection area of the spacer 51 on the base 41.
- the lower surface of the disc part 52 is spaced from each other over the entire lower surface!
- a number of protrusions (bosses) 56 extending downward are provided!
- the tip surfaces of these bosses 56 are in contact with the upper surface of the shower plate 42.
- a gas introduction hole 57a is formed so as to penetrate each boss 56 and the disc portion 52 in the thickness direction.
- the gas introduction hole 57a communicates with the first gas diffusion chamber 52a.
- FIG. 3 for convenience of illustration, only a few gas introduction holes 57a are drawn. However, in reality, a large number are provided so as to correspond to the bosses 56 in FIG. 3 and 4 are only schematic views of the upper and lower surfaces of the disk portion.
- the sizes of the bosses 55 and 56, the distance between the bosses, and the number of bosses 55 and 56 are as follows. These can be changed as appropriate.
- the shower plate 42 is a member formed in a disk shape, and a large number of gas discharge holes are formed in the thickness direction.
- the gas discharge holes are arranged in a matrix shape, for example, over the entire shower plate 42. These gas discharge holes are constituted by a first gas discharge hole 42a communicating with the first gas diffusion chamber 52a and a second gas discharge hole 42b communicating with the second gas diffusion chamber 52b. .
- the first and second gas discharge holes 42a and 42b are alternately arranged.
- the gas supplied to the first gas flow path 41a is discharged from the gas discharge hole 42a into the processing space S in a shower shape via the first gas diffusion chamber 52a and the gas introduction hole 57a, and the stage Supplied to Ueno, W on 3 above.
- the gas supplied to the second gas flow path 41b is discharged from the gas discharge hole 42b into the processing space S in a shower shape via the intermediate path 50 and the second gas diffusion chamber 52b. It is supplied to the upper wafer W. That is, the gas supplied to the first gas passage 41a and the gas supplied to the second gas passage 41b are not mixed with each other in the shower head 4.
- FIG. 5 is a perspective view showing a configuration above the shower head 4.
- a cooling member 6 made of, for example, aluminum is provided on the base portion 41.
- FIG. 6 is a top view of the cooling member 6.
- the cooling member 6 includes a disk-shaped base portion 61 and a large number of cooling fins 62 standing on the upper surface of the base portion 61.
- Each of the cooling fins 62 is formed in a plate shape extending in the lateral direction, and the cooling fins 62 are arranged in parallel to each other.
- the center of the base 61 is a connection region for a gas supply block 81 described later.
- a square hole 61 a is provided in the thickness direction of the base portion 61.
- a gas supply block 81 described later is connected to the shower head 4 through the hole 61a.
- a cooling gas introduction pipe 63 is disposed on the base 61 so that one end force of the base 61 is directed toward the center thereof.
- the cooling gas introduction pipe 63 is connected to the central portion of the cooling gas discharge pipe 64 that extends on the base 61 along its diameter.
- a side pipe 65 is provided on the base 61 so as to surround the connection region of the gas supply block 81. Both ends of the side pipe 65 are connected to the cooling gas discharge pipe 64.
- the cooling gas discharge pipe 64 and the side pipe 65 are provided with gas outlets (cooling gas discharge holes) for discharging gas along the extending direction of the cooling fin 62 into the horizontally long gap between the cooling fins 62.
- Forces are provided at intervals.
- the cooling gas introduction pipe 63 has a cooling gas.
- a cooling gas supply source 67 in which dry air is stored is connected.
- VI is a noble, which controls the supply and disconnection of the cooling gas from the cooling gas supply source 67 to the cooling gas introduction pipe 63 in response to the electrical signal of the control unit 100.
- the cooling gas at a predetermined flow rate is cooled from the cooling gas supply source 67 through the cooling gas introduction pipe 63. It flows into the gas discharge pipe 64 and the side pipe 65 and is discharged from the cooling gas discharge hole 66. The discharged cooling gas travels along the cooling fins 62 toward the peripheral edge of the cooling member 6 as indicated by arrows in the figure. At this time, the surface force of the cooling fins 62 and the base 61 is cooled by being exposed to a stream of cooling gas. When the cooling member 6 is cooled in this way, the adjacent shower head 4 is cooled.
- cooling member 6 the cooling gas introduction pipe 63, the cooling gas discharge pipe 64, the side pipe 65, and the cooling gas supply source 67 constitute the cooling means referred to in the claims.
- the cooling gas introduction pipe 63, the cooling gas discharge pipe 64, and the side pipe 65 constitute a cooling gas supply path.
- a disc-shaped shower head heater 71 serving as a heating means for the shower head is provided on the upper portion of the cooling fin 62 via a plate-like member 70 made of aluminum force. Is provided.
- the heater 71 can heat the shower head 4 via the plate-like member 70 and the cooling member 6.
- the shower head heater 71 has, for example, a configuration in which a heating resistor 72 is sandwiched between rubber sheets 73 that are insulating materials in the vertical direction.
- reference numeral 74 denotes a plate-like member that also becomes, for example, Alminiumka.
- As the shower head heater 71 a heater in which a heating resistor is embedded in a metal plate may be used. However, it is preferable to employ the above-described configuration for the viewpoint of reducing the weight of the apparatus.
- the control unit 100 that has received the electric signal of the temperature detection sensor 4A of the shower head 4 also applies the shower head heater 71 so that the detection value of the temperature detection sensor 4A becomes, for example, a preset temperature. An electric signal is transmitted to adjust the output of the heater 71.
- the shower head heater 71 heats the shower head 4 through the cooling member 6 under the control of the control unit 100 as described above.
- TiN film formation on the surface of the shower head 4 facing the processing space S can be suppressed by the heat generated from the shower head heater 71 and the cold air (cold heat) of the cooling member 6 described above.
- temperature control can be performed such that a good film forming process is performed on the wafer W.
- the surface temperature is preferably controlled to 185 ° C. or lower.
- a gas supply block 81 is provided at the upper center of the base portion 41. As shown in FIG. 1, the gas supply block 81 is provided with a first gas supply pipe 81a and a second gas supply pipe 81b.
- One end of the first gas supply pipe 81a is connected to the first gas flow path 41a. Then, the other end force of the first gas supply pipe 81a is branched, and NH gas as the first processing gas is stored.
- Gas supply source 82 and a gas supply source 83 storing N (nitrogen) gas as a carrier gas.
- One end force of the second gas supply pipe 8 lb is connected to the second gas flow path 4 lb. Then, the other end of the second gas supply pipe 8 lb branches and TiCl gas, which is the second processing gas, is branched.
- Each gas supply pipe 81a, 81b is provided with a gas supply device group 87 constituted by valves, a mass flow controller, and the like.
- the gas supply device group 87 receives a control signal from the control unit 100 to be described later, and controls supply / disconnection of each processing gas!
- the gas supply sources 82 to 86, the gas supply pipes 8la and 81b, and the gas supply device group 87 correspond to the gas supply mechanism in the claims.
- FIG. 9 is a perspective view showing the upper surface of the film forming apparatus 1.
- a cover 27 is provided on the chamber 2 via a plate member 2b.
- the cover 27 is a housing that houses the cooling member 6 and the shower head heater 71.
- reference numeral 27a denotes an exhaust space surrounded by the cover 27.
- An exhaust port 28a that opens to the exhaust space 27a is provided in the upper portion of the cover 27.
- One end of an exhaust pipe 29 is connected to the exhaust port 28a.
- the other end of the exhaust pipe 29 is connected to the exhaust means 29a.
- the film forming apparatus 1 of the present embodiment is provided with a control unit 100 having, for example, computer power.
- the control unit 100 includes a program, a memory, a data processing unit having CPU power, and the like.
- the control unit 100 sends a control signal to each part of the film forming apparatus 1 and executes a function described later so that a TiN film can be formed on the wafer W. It is rare.
- the memory is provided with an area in which process parameter values (recipe) such as process pressure, process time, gas flow rate, and power value are written.
- process parameter values such as process pressure, process time, gas flow rate, and power value are written.
- This program (including a program related to the process parameter input screen) is stored in the storage unit 101, which is a storage medium composed of, for example, a flexible disk, a compact disk, or an MO (magneto-optical disk). Installed in the control unit 100 as appropriate.
- N gas which is an inert gas, flows into the chamber at a predetermined flow rate.
- the stage 3 is heated to a predetermined temperature, for example, about 600 ° C. to 700 ° C. by the wafer heater 32.
- the heater (not shown) of the chamber 12 is also heated, and the inside of the chamber 12 is maintained at a predetermined temperature.
- the cooling gas is supplied from the cooling gas supply source 67 to the cooling gas discharge pipe 64 at a flow rate of 150 LZmin, for example.
- the cooling gas is discharged from the cooling gas discharge hole 66, and the cooling member 6 is cooled (step Sl).
- the exhaust means 29a is operated substantially simultaneously with the supply of the cooling gas, and the exhaust space 27a is exhausted.
- the temperature of the gas shower head heater 71 rises, and the shower head 4 is heated via the cooling member 6.
- the temperature of the shower head 4 is maintained so that the temperature detected by the temperature detection sensor 4A is maintained at a predetermined value, for example, 165 ° C.
- the temperature is controlled (step S2).
- step S3 the gate valve 23 is opened, and the wafer W is loaded into the chamber 12 by a transfer arm (not shown).
- a transfer arm not shown
- weno and W are placed on the upper surface of the stage 3, and the gate valve 23 is closed (step S3).
- the wafer W placed on the stage 3 is heated to a predetermined temperature (step S4).
- FIG. 11 is a graph showing gas supply and pressure control and pressure control in the process of forming a TiN film on the wafer W in time series.
- the temperature in the chamber 12 is maintained at a predetermined temperature, and the pressure in the chamber 12 is maintained at 260 Pa, for example, FIG.
- supply of both processing gases is turned on at time tl. From time tl to t2, for example, with TiCl gas
- N 2 gas may be supplied as the purge gas. After that, the supply of TiCl gas is stopped.
- NH gas is supplied at a predetermined flow rate for a predetermined time (for details, in addition to NH gas)
- N 3 gas is also supplied as the carrier gas). As a result, a film is formed on the wafer W.
- N 2 gas may be supplied.
- step group force as that performed from time tl to time t3 is repeated.
- step group from time tl to t3 is repeated for 10 cycles or more, preferably 30 cycles or more until a desired TiN film is obtained.
- About the number of cycles Is appropriately adjusted depending on the thickness of the thin film formed in one cycle.
- the nitriding process is performed. In this way, the film forming process is repeatedly performed in the same process on a predetermined number of wafers w.
- C1F gas is supplied into the chamber 12 and cleaning is performed.
- the cooling member 6 cooled by the cooling gas is provided above the shower head 4, and the shower head heater 71 is further provided thereon.
- the temperature of the shower head 4 becomes too high due to heat radiated from the processing space S side by the wafer heater 32 and heat radiated from the heating means (not shown) of the chamber t. It is suppressed by the cooling action of the cooling member 6 provided immediately above the shower head 4. Since the degree of cooling action can be adjusted by supplementarily using the shower head heater 71 above the cooling means 6, the temperature of the shower head surface facing the processing space S can be accurately set to the set temperature. You can control. Therefore, a highly uniform TiN film can be formed between the wafers W.
- bosses 55 are provided on the upper surface of the spacer portion 51 constituting the first diffusion chamber 52a of the processing gas, and the second diffusion chamber of the processing gas is constituted.
- bosses 56 are also provided on the lower surface of the spacer section 51. The boss 55 is in contact with the base portion 41, and the boss 56 is in contact with the shower plate 42. Thereby, heat conduction is efficiently performed between the base portion 41 and the spacer portion 51 or between the spacer portion 51 and the shower plate 42. This also makes it possible to control the temperature of the surface of the spacer section 51 and the shower plate 42 to the set temperature with higher accuracy.
- cooling means instead of providing the cooling member 6 and the gas discharge pipe 64 and the like as in the above-described film forming apparatus, for example, a block provided with a ventilation chamber is used as the shower head 4 and the heater 71. It is possible to adopt a configuration in which the cooling gas is circulated through the ventilation chamber. Yes.
- a cooling member constituted by a Peltier element may be used as the cooling means.
- a plate provided on the surface with a flow path through which the coolant flows may be provided on the shower head 4 as a cooling means.
- the configuration in which the cooling means using the cooling gas is provided as in the above-described embodiment does not require the piping for the coolant flow, and the layout of the components constituting the film forming apparatus 1 This is preferable because the degree of freedom can be increased and the size of the apparatus can be prevented from being increased.
- each cooling fin 62 is provided upright, and the cooling gas flows through the gaps between the fins 62. Therefore, the cooling member 6 exposed to the cooling gas While the surface area can be increased, the floor area of the cooling member 6 can be reduced. Therefore, the enlargement of the apparatus can be effectively suppressed.
- a cycle of introducing the processing gas into the chamber 12 in a pulsed manner is repeatedly performed, and the films are stacked in stages, but the processing gas is continuously supplied.
- a C VD film forming process may be performed.
- TiCl gas and NH gas can be used in the chamber at the same time.
- the atmosphere of the processing space S is alternately switched many times between an atmosphere of TiCl gas and an atmosphere of NH gas.
- Ti atom layer (or molecular layer) formation and nitridation on wafer w are performed alternately.
- a TiN film may be formed.
- a high frequency is applied to the shower head 4 to generate plasma in the chamber 12, and film formation is performed on the wafer W using the energy of the plasma and the thermal energy of the wafer heater 32.
- the force described with reference to the TiN film forming process is not limited to this, and the film forming apparatus 1 is applied to the CVD film forming process for other films such as a Ti film. You can also.
- the shower head 4 of the above-described film forming apparatus 1, the cooling member 6 thereabove, each pipe for supplying the cooling gas to the cooling member 6, the heater 71, and a powerful gas supply device are provided. It may be considered as an invention.
- the film forming apparatus of the present invention including the film forming apparatus 1 or the gas supply apparatus is SF
- the temperature of the shower head 4 is affected by the heat from the processing space such as the stage heater. This is particularly effective when performing processing that becomes higher than the temperature to be controlled.
- the film forming apparatus 9 is configured in substantially the same manner as the film forming apparatus 1 except for the differences described below.
- the same reference numerals as those used for the film forming apparatus 1 are used for the respective parts having the same configuration as the film forming apparatus 1.
- the force of the control unit provided in the film forming apparatus 9 is not shown.
- the control unit of the film forming apparatus 9 is also configured to form a TiN film on the wafer W in the same manner as the control unit 100. It comes to control each part of 9.
- the cooling member 6 and the cover 27 are not provided above the shower head 4. Instead, a heater 91 is stacked on the shower head 4. A heat insulating material 92 is laminated on the heater 91.
- FIG. 13 is a perspective view showing an upper surface of the spacer section 93 constituting the shower head of the film forming apparatus 9 of FIG. As shown in FIG. 13, no boss is provided on the upper surface of the disc portion 152 of the spacer portion 93. Instead, two ribs 94 orthogonal to each other are provided along the diameter direction of the disc portion 152. The upper surface force of the rib 94 is in close contact with the lower surface of the base portion 41.
- the diameter of the spacer section 93 is 340 mm which is the same as the diameter of the spacer section 51 of the film forming apparatus 1.
- the total area of the force spacer section 93 in contact with the base section 41 is 276 cm 2 . This corresponds to about 30% of the area of the projection area of the spacer section 93 to the base section 41, which is smaller than the area where the spacer section 51 contacts the base section 41.
- a TiN film was formed on the wafer W according to the procedure described above as an embodiment of the present invention.
- the heater 71 temperature is set to 165 ° C. Therefore, the flow rate of the cooling gas supplied from the cooling gas supply source 67 to the cooling member 6 was set to 150 LZmin.
- a temperature detection sensor (TC) made of a thermocouple is temporarily attached to the surface of the shower head 4 (on the surface in contact with the processing space S), and the temperature of the heater 3 for the stage 3 is changed while changing the temperature. The temperature of the shower head 4 detected by the detection sensor (TC) was examined.
- a TiN film was formed on the wafer W using the film forming apparatus 9.
- the temperature of the shower head 104 detected by the temperature detection sensor (TC) attached to the surface of the shower head 104 was examined while changing the set temperature of the wafer heater 32 at stage 3. .
- the set temperature of the heater 91 on the shower head 104 was set to 170 ° C.
- FIG. 14A is a graph showing the results of Example 1-1 and Comparative example 1-1.
- the temperature detected by is increasing rapidly. Therefore, from this graph, the surface temperature of the shower head 4 facing the processing space S in Example 1-1 can be suppressed compared to the surface temperature of the shower head 104 in Comparative Example 11! / Little.
- the slope of the graph of Example 1-1 is smaller than the slope of the graph of Comparative Example 1-1.
- Example 1-1 the temperature rise of the shower head is suppressed compared to Comparative Example 1-1.
- a TiN film was previously formed (precoated) on the surface of the shower head 4 facing the processing space S.
- a TiN film was formed on the wafer W according to the procedure described above as the embodiment of the present invention.
- Various conditions for the film formation process are the same as in Example 1-1, and a temperature detection sensor (TC) that also has a thermocouple force is temporarily attached to the surface of the shower head 4 (on the surface in contact with the processing space S). The temperature of the shower head 4 detected by the temperature detection sensor (TC) was examined while changing the temperature of the stage 3 wafer heater 32.
- a TiN film was previously formed (precoated) on the surface of the shower head 104 facing the processing space S.
- a TiN film was formed on wafer W using such a film forming apparatus 9.
- the various conditions of the film formation process are the same as in Comparative Example 11 and the temperature detection sensor (TC) attached to the surface of the shuttle head 104 while changing the set temperature of the wafer heater 32 of the stage 3 The temperature of the shower head 104 detected by is investigated.
- FIG. 14B is a graph showing the results of Example 1-2 and Comparative Example 1-2. As shown in the drawing of FIG. 14B, the temperature of the shower head 4 detected by the temperature detection sensor TC is higher in Comparative Example 12 than in Example 12. Therefore, it can be seen from this dull that the surface temperature of the shower head 4 in Example 1-2 is suppressed compared to the surface temperature of the shower head 104 in Comparative Example 1-2.
- Example 2 TiN films were sequentially formed on 500 wafers W according to the procedure described above as the embodiment of the present invention by using the film forming apparatus 1 described above. During these film forming processes, the temperature change of the shower head 4 indicated by the temperature detection sensor 4A and the output of the heater 71 on the shower head 4 were monitored. Note that the program of the control unit 100 was set so that the temperature of the heater 71 was adjusted so that the temperature of the sensor 4A was maintained at 165 ° C. during the film forming process.
- Comparative Example 2 TiN films were sequentially formed on 500 wafers W according to the procedure described above as the embodiment of the present invention using the film forming apparatus 9 described above.
- the temperature change of the shower head 104 indicated by the temperature detection sensor (provided in the same manner as the temperature detection sensor 4A) and the output of the heater 92 on the shower head 104 are displayed. And was monitored.
- the program of the control unit of the film forming apparatus 9 was set so that the temperature of the heater 92 was adjusted so that the temperature of the temperature detection sensor was maintained at 170 ° C. during the film forming process.
- FIG. 15A shows the monitored temperatures of Example 2 and Comparative Example 2.
- Example 2 the temperature changes as set at 165 ° C.
- Comparative Example 2 immediately after the start of processing, the temperature exceeds the set temperature of 170 ° C., and the temperature further increases as time passes.
- FIG. 15B shows the output of the heater 71 of Example 2 and the output of the heater 92 of Comparative Example 2.
- the time shown on the horizontal axis of the graph of FIG. 15B corresponds to the time shown on the horizontal axis of the graph of FIG. 15A.
- the output of the heater 71 of Example 2 rises to about 90% immediately after the start of processing, then falls to about 50%, and is stable around the 50%.
- Comparative Example 2 the output decreases immediately after the start, and is substantially 0%.
- Example 2 the temperature of the shower head 4 can be stably controlled by the cooling member 6 and the heater 71. For this reason, the temperature of the surface facing the processing space S of the shower head 4 is suitably controlled, and the formation of a TiN film on the surface can be suppressed.
- Comparative Example 2 the temperature of the shower head 104 continues to rise even when the output of the heater 71 is zero. That is, it can be seen that the temperature force of the shower head 104 is not sufficiently controlled.
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Inorganic Chemistry (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Electrodes Of Semiconductors (AREA)
Priority Applications (3)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| CN2007800020357A CN101365823B (zh) | 2006-06-20 | 2007-06-19 | 成膜装置和成膜方法 |
| KR1020087030964A KR101089977B1 (ko) | 2006-06-20 | 2007-06-19 | 성막 장치 및 성막 방법, 가스 공급 장치 및 기억 매체 |
| US12/340,058 US8133323B2 (en) | 2006-06-20 | 2008-12-19 | Film forming apparatus and method, gas supply device and storage medium |
Applications Claiming Priority (2)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| JP2006-170585 | 2006-06-20 | ||
| JP2006170585A JP5045000B2 (ja) | 2006-06-20 | 2006-06-20 | 成膜装置、ガス供給装置、成膜方法及び記憶媒体 |
Related Child Applications (1)
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| US12/340,058 Continuation US8133323B2 (en) | 2006-06-20 | 2008-12-19 | Film forming apparatus and method, gas supply device and storage medium |
Publications (1)
| Publication Number | Publication Date |
|---|---|
| WO2007148692A1 true WO2007148692A1 (ja) | 2007-12-27 |
Family
ID=38833434
Family Applications (1)
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| PCT/JP2007/062328 Ceased WO2007148692A1 (ja) | 2006-06-20 | 2007-06-19 | 成膜装置及び成膜方法 |
Country Status (6)
| Country | Link |
|---|---|
| US (1) | US8133323B2 (enExample) |
| JP (1) | JP5045000B2 (enExample) |
| KR (1) | KR101089977B1 (enExample) |
| CN (1) | CN101365823B (enExample) |
| TW (1) | TWI427679B (enExample) |
| WO (1) | WO2007148692A1 (enExample) |
Cited By (1)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| WO2018083989A1 (ja) * | 2016-11-02 | 2018-05-11 | 東京エレクトロン株式会社 | シャワーヘッド及び基板処理装置 |
Families Citing this family (433)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| JP5202050B2 (ja) * | 2008-03-14 | 2013-06-05 | 東京エレクトロン株式会社 | シャワーヘッド及び基板処理装置 |
| FR2930561B1 (fr) * | 2008-04-28 | 2011-01-14 | Altatech Semiconductor | Dispositif et procede de traitement chimique en phase vapeur. |
| KR20110025185A (ko) * | 2008-05-28 | 2011-03-09 | 아익스트론 아게 | 열 구배 보강 화학 기상 증착 |
| US20110070370A1 (en) | 2008-05-28 | 2011-03-24 | Aixtron Ag | Thermal gradient enhanced chemical vapour deposition (tge-cvd) |
| JP2010016225A (ja) * | 2008-07-04 | 2010-01-21 | Tokyo Electron Ltd | 温度調節機構および温度調節機構を用いた半導体製造装置 |
| JP5231117B2 (ja) | 2008-07-24 | 2013-07-10 | 株式会社ニューフレアテクノロジー | 成膜装置および成膜方法 |
| JP5106331B2 (ja) | 2008-09-16 | 2012-12-26 | 東京エレクトロン株式会社 | 基板載置台の降温方法、コンピュータ読み取り可能な記憶媒体および基板処理システム |
| US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
| US8931431B2 (en) | 2009-03-25 | 2015-01-13 | The Regents Of The University Of Michigan | Nozzle geometry for organic vapor jet printing |
| US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
| US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
| KR101108879B1 (ko) * | 2009-08-31 | 2012-01-30 | 주식회사 원익아이피에스 | 가스분사장치 및 이를 이용한 기판처리장치 |
| US20110061812A1 (en) * | 2009-09-11 | 2011-03-17 | Applied Materials, Inc. | Apparatus and Methods for Cyclical Oxidation and Etching |
| US20110065276A1 (en) * | 2009-09-11 | 2011-03-17 | Applied Materials, Inc. | Apparatus and Methods for Cyclical Oxidation and Etching |
| US20110061810A1 (en) * | 2009-09-11 | 2011-03-17 | Applied Materials, Inc. | Apparatus and Methods for Cyclical Oxidation and Etching |
| JP5323628B2 (ja) * | 2009-09-17 | 2013-10-23 | 東京エレクトロン株式会社 | プラズマ処理装置 |
| WO2011044451A2 (en) * | 2009-10-09 | 2011-04-14 | Applied Materials, Inc. | Multi-gas centrally cooled showerhead design |
| JP2011168881A (ja) * | 2010-01-25 | 2011-09-01 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法及び基板処理装置 |
| JP5409413B2 (ja) * | 2010-01-26 | 2014-02-05 | 日本パイオニクス株式会社 | Iii族窒化物半導体の気相成長装置 |
| US9441295B2 (en) * | 2010-05-14 | 2016-09-13 | Solarcity Corporation | Multi-channel gas-delivery system |
| JP5982758B2 (ja) * | 2011-02-23 | 2016-08-31 | 東京エレクトロン株式会社 | マイクロ波照射装置 |
| US20120225203A1 (en) * | 2011-03-01 | 2012-09-06 | Applied Materials, Inc. | Apparatus and Process for Atomic Layer Deposition |
| US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
| US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
| US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
| US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
| CN103014669B (zh) * | 2011-09-23 | 2014-11-26 | 理想能源设备(上海)有限公司 | 化学气相沉积装置 |
| CN103014667B (zh) * | 2011-09-23 | 2015-07-01 | 理想能源设备(上海)有限公司 | 化学气相沉积装置 |
| CN103014665B (zh) * | 2011-09-23 | 2015-02-18 | 理想能源设备(上海)有限公司 | 金属有机化合物化学气相沉积装置及其气体输送方法 |
| CN103014668B (zh) * | 2011-09-23 | 2014-12-24 | 理想能源设备(上海)有限公司 | 化学气相沉积装置 |
| CN103014666B (zh) * | 2011-09-23 | 2014-11-26 | 理想能源设备(上海)有限公司 | 化学气相沉积装置 |
| US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
| JP6038618B2 (ja) * | 2011-12-15 | 2016-12-07 | 株式会社ニューフレアテクノロジー | 成膜装置および成膜方法 |
| KR101327458B1 (ko) * | 2012-01-10 | 2013-11-08 | 주식회사 유진테크 | 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치 |
| CN103388132B (zh) * | 2012-05-11 | 2015-11-25 | 中微半导体设备(上海)有限公司 | 气体喷淋头、其制造方法及薄膜生长反应器 |
| US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
| US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
| US9132436B2 (en) * | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
| US10714315B2 (en) * | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
| US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
| JP6377642B2 (ja) * | 2013-02-06 | 2018-08-22 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | ガス注入装置及びその装置を組み込む基板プロセスチャンバ |
| US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
| US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
| JP2013110440A (ja) * | 2013-03-11 | 2013-06-06 | Tokyo Electron Ltd | 電極ユニット及び基板処理装置 |
| TWI627305B (zh) * | 2013-03-15 | 2018-06-21 | 應用材料股份有限公司 | 用於轉盤處理室之具有剛性板的大氣蓋 |
| JP2014192372A (ja) * | 2013-03-27 | 2014-10-06 | Tokyo Electron Ltd | マイクロ波加熱処理装置 |
| KR101467195B1 (ko) * | 2013-05-14 | 2014-12-01 | 주식회사 아바코 | 가스 분사기 및 이를 포함하는 박막 증착 장치 |
| KR101526505B1 (ko) * | 2013-06-20 | 2015-06-09 | 피에스케이 주식회사 | 냉각 유닛 및 이를 이용한 냉각 방법, 기판 처리 장치 및 이를 이용한 기판 처리 방법 |
| JP6180208B2 (ja) * | 2013-07-08 | 2017-08-16 | 株式会社ニューフレアテクノロジー | 気相成長装置および気相成長方法 |
| US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
| KR20150055227A (ko) * | 2013-11-13 | 2015-05-21 | 삼성전자주식회사 | 샤워 헤드 및 이를 포함하는 기판 처리 장치 |
| JP6135475B2 (ja) * | 2013-11-20 | 2017-05-31 | 東京エレクトロン株式会社 | ガス供給装置、成膜装置、ガス供給方法及び記憶媒体 |
| JP5971870B2 (ja) | 2013-11-29 | 2016-08-17 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及び記録媒体 |
| JP5726281B1 (ja) * | 2013-12-27 | 2015-05-27 | 株式会社日立国際電気 | 基板処理装置及び半導体装置の製造方法 |
| JP6123688B2 (ja) * | 2014-01-29 | 2017-05-10 | 東京エレクトロン株式会社 | 成膜装置 |
| US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
| US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
| US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
| FR3018825B1 (fr) * | 2014-03-21 | 2017-09-01 | Altatech Semiconductor | Procede de depot en phase gazeuse |
| SG10201810178TA (en) | 2014-05-16 | 2018-12-28 | Applied Materials Inc | Showerhead design |
| US9911579B2 (en) | 2014-07-03 | 2018-03-06 | Applied Materials, Inc. | Showerhead having a detachable high resistivity gas distribution plate |
| US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
| US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
| US10407771B2 (en) * | 2014-10-06 | 2019-09-10 | Applied Materials, Inc. | Atomic layer deposition chamber with thermal lid |
| US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
| US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
| KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
| US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
| US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
| JP6193284B2 (ja) * | 2015-03-18 | 2017-09-06 | 株式会社東芝 | 流路構造、吸排気部材、及び処理装置 |
| CN104789943A (zh) * | 2015-04-01 | 2015-07-22 | 沈阳拓荆科技有限公司 | 控温型双气体通道均匀喷气喷淋板 |
| US9972740B2 (en) | 2015-06-07 | 2018-05-15 | Tesla, Inc. | Chemical vapor deposition tool and process for fabrication of photovoltaic structures |
| US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
| US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
| US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
| US20170029948A1 (en) * | 2015-07-28 | 2017-02-02 | Asm Ip Holding B.V. | Methods and apparatuses for temperature-indexed thin film deposition |
| US11421321B2 (en) | 2015-07-28 | 2022-08-23 | Asm Ip Holding B.V. | Apparatuses for thin film deposition |
| US10204790B2 (en) | 2015-07-28 | 2019-02-12 | Asm Ip Holding B.V. | Methods for thin film deposition |
| US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
| US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
| US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
| US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
| US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
| US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
| US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
| US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
| US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
| US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
| US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
| US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
| US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
| KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
| US9748434B1 (en) | 2016-05-24 | 2017-08-29 | Tesla, Inc. | Systems, method and apparatus for curing conductive paste |
| US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
| EP3255173B1 (de) * | 2016-06-06 | 2018-11-21 | Meyer Burger (Germany) AG | Fluidtemperierter gasverteiler in schichtbauweise |
| JP6696322B2 (ja) * | 2016-06-24 | 2020-05-20 | 東京エレクトロン株式会社 | ガス処理装置、ガス処理方法及び記憶媒体 |
| US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
| US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
| US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
| US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
| KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
| US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
| KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
| US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
| US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
| US9954136B2 (en) | 2016-08-03 | 2018-04-24 | Tesla, Inc. | Cassette optimized for an inline annealing system |
| US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
| US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
| US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
| US10115856B2 (en) | 2016-10-31 | 2018-10-30 | Tesla, Inc. | System and method for curing conductive paste using induction heating |
| US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
| US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
| US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
| US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
| US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
| KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
| US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
| KR102762543B1 (ko) | 2016-12-14 | 2025-02-05 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
| US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
| US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
| KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
| US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
| US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
| US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
| US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
| US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
| US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
| KR102096700B1 (ko) * | 2017-03-29 | 2020-04-02 | 도쿄엘렉트론가부시키가이샤 | 기판 처리 장치 및 기판 처리 방법 |
| US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
| KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
| US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
| US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
| US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
| US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
| US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
| US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
| US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
| US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
| KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
| US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
| US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
| US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
| US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
| US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
| US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
| TWI815813B (zh) | 2017-08-04 | 2023-09-21 | 荷蘭商Asm智慧財產控股公司 | 用於分配反應腔內氣體的噴頭總成 |
| US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
| US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
| US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
| US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
| US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
| US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
| USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
| US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
| US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
| US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
| KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
| KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
| US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
| KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
| US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
| US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
| US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
| US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
| US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
| KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
| US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
| US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
| CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
| US11127617B2 (en) | 2017-11-27 | 2021-09-21 | Asm Ip Holding B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
| US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
| US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
| KR102695659B1 (ko) | 2018-01-19 | 2024-08-14 | 에이에스엠 아이피 홀딩 비.브이. | 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법 |
| TWI852426B (zh) | 2018-01-19 | 2024-08-11 | 荷蘭商Asm Ip私人控股有限公司 | 沈積方法 |
| USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
| US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
| USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
| US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
| US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
| US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
| EP3737779A1 (en) | 2018-02-14 | 2020-11-18 | ASM IP Holding B.V. | A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
| US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
| KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
| US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
| US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
| US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
| US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
| US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
| KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
| US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
| US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
| US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
| KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
| KR102600229B1 (ko) | 2018-04-09 | 2023-11-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 장치, 이를 포함하는 기판 처리 장치 및 기판 처리 방법 |
| JP7093667B2 (ja) * | 2018-04-11 | 2022-06-30 | 東京エレクトロン株式会社 | 成膜装置及び成膜方法 |
| US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
| TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
| US12272527B2 (en) | 2018-05-09 | 2025-04-08 | Asm Ip Holding B.V. | Apparatus for use with hydrogen radicals and method of using same |
| TWI879056B (zh) | 2018-05-11 | 2025-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
| KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
| TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
| US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
| US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
| US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
| KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
| TWI871083B (zh) | 2018-06-27 | 2025-01-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
| JP7515411B2 (ja) | 2018-06-27 | 2024-07-12 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
| US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
| KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
| US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
| US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
| US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
| US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
| US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
| JP6715894B2 (ja) * | 2018-08-07 | 2020-07-01 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理装置およびプログラム |
| US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
| US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
| US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
| KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
| US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
| US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
| CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
| US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
| KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
| US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
| US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
| KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
| KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
| USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
| US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
| US12378665B2 (en) | 2018-10-26 | 2025-08-05 | Asm Ip Holding B.V. | High temperature coatings for a preclean and etch apparatus and related methods |
| US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
| KR102748291B1 (ko) | 2018-11-02 | 2024-12-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
| US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
| US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
| US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
| US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
| US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
| US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
| US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
| KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
| US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
| TWI874340B (zh) | 2018-12-14 | 2025-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
| JP7224175B2 (ja) * | 2018-12-26 | 2023-02-17 | 東京エレクトロン株式会社 | 成膜装置及び方法 |
| TWI866480B (zh) | 2019-01-17 | 2024-12-11 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
| KR102727227B1 (ko) | 2019-01-22 | 2024-11-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
| CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
| KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
| TWI873122B (zh) | 2019-02-20 | 2025-02-21 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
| TWI838458B (zh) | 2019-02-20 | 2024-04-11 | 荷蘭商Asm Ip私人控股有限公司 | 用於3d nand應用中之插塞填充沉積之設備及方法 |
| TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
| TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
| US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
| KR102858005B1 (ko) | 2019-03-08 | 2025-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
| KR102782593B1 (ko) | 2019-03-08 | 2025-03-14 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
| JP7520868B2 (ja) * | 2019-03-11 | 2024-07-23 | アプライド マテリアルズ インコーポレイテッド | 基板処理チャンバ用のリッドアセンブリ装置及び方法 |
| KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
| KR102809999B1 (ko) | 2019-04-01 | 2025-05-19 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
| US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
| KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
| KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
| KR102869364B1 (ko) | 2019-05-07 | 2025-10-10 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
| KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
| JP7598201B2 (ja) | 2019-05-16 | 2024-12-11 | エーエスエム・アイピー・ホールディング・ベー・フェー | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
| JP7612342B2 (ja) | 2019-05-16 | 2025-01-14 | エーエスエム・アイピー・ホールディング・ベー・フェー | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
| USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
| USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
| USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
| USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
| KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
| KR20200141931A (ko) | 2019-06-10 | 2020-12-21 | 에이에스엠 아이피 홀딩 비.브이. | 석영 에피택셜 챔버를 세정하는 방법 |
| KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
| USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
| USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
| KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
| KR102268559B1 (ko) * | 2019-07-03 | 2021-06-22 | 세메스 주식회사 | 샤워 헤드 유닛 및 이를 구비하는 기판 처리 시스템 |
| JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
| CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
| KR102895115B1 (ko) | 2019-07-16 | 2025-12-03 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
| KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
| KR102860110B1 (ko) | 2019-07-17 | 2025-09-16 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
| US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
| CN112242295B (zh) | 2019-07-19 | 2025-12-09 | Asmip私人控股有限公司 | 形成拓扑受控的无定形碳聚合物膜的方法 |
| TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
| CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
| KR20210015655A (ko) | 2019-07-30 | 2021-02-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 방법 |
| CN112309899B (zh) | 2019-07-30 | 2025-11-14 | Asmip私人控股有限公司 | 基板处理设备 |
| CN112309900B (zh) | 2019-07-30 | 2025-11-04 | Asmip私人控股有限公司 | 基板处理设备 |
| US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
| US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
| US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
| KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
| KR20210018761A (ko) | 2019-08-09 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 장치를 포함한 히터 어셈블리 및 이를 사용하는 방법 |
| USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
| USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
| JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
| KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
| USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
| USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
| USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
| USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
| KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
| US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
| KR102806450B1 (ko) | 2019-09-04 | 2025-05-12 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
| KR102733104B1 (ko) | 2019-09-05 | 2024-11-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
| US12469693B2 (en) | 2019-09-17 | 2025-11-11 | Asm Ip Holding B.V. | Method of forming a carbon-containing layer and structure including the layer |
| US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
| CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
| KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
| TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
| TW202128273A (zh) | 2019-10-08 | 2021-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體注入系統、及將材料沉積於反應室內之基板表面上的方法 |
| KR102879443B1 (ko) | 2019-10-10 | 2025-11-03 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
| US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
| TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
| US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
| KR102845724B1 (ko) | 2019-10-21 | 2025-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
| KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
| US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
| KR102890638B1 (ko) | 2019-11-05 | 2025-11-25 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
| US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
| KR102861314B1 (ko) | 2019-11-20 | 2025-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
| US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
| CN112951697B (zh) | 2019-11-26 | 2025-07-29 | Asmip私人控股有限公司 | 基板处理设备 |
| CN112885693B (zh) | 2019-11-29 | 2025-06-10 | Asmip私人控股有限公司 | 基板处理设备 |
| CN112885692B (zh) | 2019-11-29 | 2025-08-15 | Asmip私人控股有限公司 | 基板处理设备 |
| JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
| KR20210070898A (ko) * | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
| JP7703317B2 (ja) | 2019-12-17 | 2025-07-07 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法 |
| KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
| TWI887322B (zh) | 2020-01-06 | 2025-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
| JP7730637B2 (ja) | 2020-01-06 | 2025-08-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
| US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
| KR102882467B1 (ko) | 2020-01-16 | 2025-11-05 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
| KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
| TWI889744B (zh) | 2020-01-29 | 2025-07-11 | 荷蘭商Asm Ip私人控股有限公司 | 污染物捕集系統、及擋板堆疊 |
| TWI871421B (zh) | 2020-02-03 | 2025-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 包括釩或銦層的裝置、結構及其形成方法、系統 |
| KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
| US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
| TW202146691A (zh) | 2020-02-13 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 氣體分配總成、噴淋板總成、及調整至反應室之氣體的傳導率之方法 |
| KR20210103956A (ko) | 2020-02-13 | 2021-08-24 | 에이에스엠 아이피 홀딩 비.브이. | 수광 장치를 포함하는 기판 처리 장치 및 수광 장치의 교정 방법 |
| US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
| TWI895326B (zh) | 2020-02-28 | 2025-09-01 | 荷蘭商Asm Ip私人控股有限公司 | 專用於零件清潔的系統 |
| KR20210113043A (ko) | 2020-03-04 | 2021-09-15 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 정렬 고정구 |
| KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
| KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
| KR102775390B1 (ko) | 2020-03-12 | 2025-02-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
| US12173404B2 (en) | 2020-03-17 | 2024-12-24 | Asm Ip Holding B.V. | Method of depositing epitaxial material, structure formed using the method, and system for performing the method |
| KR102755229B1 (ko) | 2020-04-02 | 2025-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
| TWI887376B (zh) | 2020-04-03 | 2025-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 半導體裝置的製造方法 |
| TWI888525B (zh) | 2020-04-08 | 2025-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
| KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
| US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
| JP7678823B2 (ja) * | 2020-04-16 | 2025-05-16 | ラム リサーチ コーポレーション | ガス冷却を使用するシャワーヘッド熱管理 |
| US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
| TW202143328A (zh) | 2020-04-21 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於調整膜應力之方法 |
| TW202208671A (zh) | 2020-04-24 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括硼化釩及磷化釩層的結構之方法 |
| CN113555279A (zh) | 2020-04-24 | 2021-10-26 | Asm Ip私人控股有限公司 | 形成含氮化钒的层的方法及包含其的结构 |
| KR102866804B1 (ko) | 2020-04-24 | 2025-09-30 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
| KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
| KR20210132612A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 화합물들을 안정화하기 위한 방법들 및 장치 |
| KR102783898B1 (ko) | 2020-04-29 | 2025-03-18 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
| KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
| JP7726664B2 (ja) | 2020-05-04 | 2025-08-20 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板を処理するための基板処理システム |
| JP7736446B2 (ja) | 2020-05-07 | 2025-09-09 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同調回路を備える反応器システム |
| KR102788543B1 (ko) | 2020-05-13 | 2025-03-27 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
| TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
| TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
| KR20210145079A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 기판을 처리하기 위한 플랜지 및 장치 |
| KR102795476B1 (ko) | 2020-05-21 | 2025-04-11 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
| KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
| TW202212650A (zh) | 2020-05-26 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積含硼及鎵的矽鍺層之方法 |
| TWI876048B (zh) | 2020-05-29 | 2025-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
| TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
| CN115943228A (zh) * | 2020-06-10 | 2023-04-07 | 朗姆研究公司 | 分割式喷头冷却板 |
| TW202208659A (zh) | 2020-06-16 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積含硼之矽鍺層的方法 |
| KR20210158809A (ko) | 2020-06-24 | 2021-12-31 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘이 구비된 층을 형성하는 방법 |
| TWI873359B (zh) | 2020-06-30 | 2025-02-21 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
| TWI896694B (zh) | 2020-07-01 | 2025-09-11 | 荷蘭商Asm Ip私人控股有限公司 | 沉積方法、半導體結構、及沉積系統 |
| KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
| KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
| KR20220011092A (ko) | 2020-07-20 | 2022-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 전이 금속층을 포함하는 구조체를 형성하기 위한 방법 및 시스템 |
| TWI878570B (zh) | 2020-07-20 | 2025-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
| US12322591B2 (en) | 2020-07-27 | 2025-06-03 | Asm Ip Holding B.V. | Thin film deposition process |
| KR20220021863A (ko) | 2020-08-14 | 2022-02-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
| US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
| TW202228863A (zh) | 2020-08-25 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 清潔基板的方法、選擇性沉積的方法、及反應器系統 |
| US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
| TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
| KR20220033997A (ko) | 2020-09-10 | 2022-03-17 | 에이에스엠 아이피 홀딩 비.브이. | 갭 충진 유체를 증착하기 위한 방법 그리고 이와 관련된 시스템 및 장치 |
| USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
| KR20220036866A (ko) | 2020-09-16 | 2022-03-23 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 산화물 증착 방법 |
| JP2023541888A (ja) * | 2020-09-17 | 2023-10-04 | ラム リサーチ コーポレーション | 高温プロセス用の別々のフェースプレートを有するハイブリッドシャワーヘッド |
| US12451331B2 (en) * | 2020-09-22 | 2025-10-21 | Applied Materials, Inc. | Showerhead assembly with recursive gas channels |
| USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
| US20230383406A1 (en) * | 2020-09-25 | 2023-11-30 | Lam Research Corporation | Axially cooled metal showerheads for high temperature processes |
| TWI889903B (zh) | 2020-09-25 | 2025-07-11 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
| US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
| KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
| CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
| TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
| KR102873665B1 (ko) | 2020-10-15 | 2025-10-17 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자의 제조 방법, 및 ether-cat을 사용하는 기판 처리 장치 |
| TWI889919B (zh) | 2020-10-21 | 2025-07-11 | 荷蘭商Asm Ip私人控股有限公司 | 用於可流動間隙填充之方法及裝置 |
| TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
| TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
| TW202229620A (zh) | 2020-11-12 | 2022-08-01 | 特文特大學 | 沉積系統、用於控制反應條件之方法、沉積方法 |
| TW202229795A (zh) | 2020-11-23 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 具注入器之基板處理設備 |
| TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
| KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
| US12255053B2 (en) | 2020-12-10 | 2025-03-18 | Asm Ip Holding B.V. | Methods and systems for depositing a layer |
| TW202233884A (zh) | 2020-12-14 | 2022-09-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成臨限電壓控制用之結構的方法 |
| US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
| TW202232639A (zh) | 2020-12-18 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 具有可旋轉台的晶圓處理設備 |
| TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
| TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
| TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
| US11705312B2 (en) * | 2020-12-26 | 2023-07-18 | Applied Materials, Inc. | Vertically adjustable plasma source |
| JP7114763B1 (ja) * | 2021-02-15 | 2022-08-08 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理装置、プログラム、および基板処理方法 |
| CN120565403A (zh) * | 2021-03-17 | 2025-08-29 | 芝浦机械电子装置株式会社 | 加热处理装置及加热处理方法 |
| CN113136568B (zh) * | 2021-04-07 | 2022-10-11 | 拓荆科技股份有限公司 | 一种节能型主动控温喷淋头 |
| USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
| USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
| USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
| USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
| KR20240028468A (ko) * | 2021-07-08 | 2024-03-05 | 어플라이드 머티어리얼스, 인코포레이티드 | 순환 가스 채널들을 갖는 샤워헤드 조립체 |
| USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
| WO2023054531A1 (ja) * | 2021-09-29 | 2023-04-06 | 京セラ株式会社 | シャワープレート |
| USD1099184S1 (en) | 2021-11-29 | 2025-10-21 | Asm Ip Holding B.V. | Weighted lift pin |
| EP4190938B1 (en) * | 2021-12-03 | 2024-03-06 | Semsysco GmbH | Distribution body for distributing a process gas for treating a substrate by means of the process gas |
| USD1060598S1 (en) | 2021-12-03 | 2025-02-04 | Asm Ip Holding B.V. | Split showerhead cover |
| KR102726133B1 (ko) * | 2021-12-16 | 2024-11-05 | 주식회사 테스 | 샤워헤드 어셈블리 |
| US12442079B2 (en) | 2022-03-21 | 2025-10-14 | Applied Materials, Inc. | Dual channel showerhead assembly |
| KR102784248B1 (ko) * | 2022-07-01 | 2025-03-21 | 한화모멘텀 주식회사 | 기판 처리 장치 |
| JP2024134695A (ja) | 2023-03-22 | 2024-10-04 | 東京エレクトロン株式会社 | プラズマ処理装置 |
Citations (5)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| JP2002053963A (ja) * | 2000-07-22 | 2002-02-19 | Ips Ltd | クリーニング装置を備えたald薄膜蒸着装置及びそのクリーニング方法 |
| JP2002327274A (ja) * | 2001-02-09 | 2002-11-15 | Tokyo Electron Ltd | 成膜装置 |
| JP2004100001A (ja) * | 2002-09-11 | 2004-04-02 | Air Water Inc | 成膜装置 |
| WO2005045913A1 (ja) * | 2003-11-05 | 2005-05-19 | Tokyo Electron Limited | プラズマ処理装置 |
| WO2005119749A1 (ja) * | 2004-06-04 | 2005-12-15 | Tokyo Electron Limited | ガス処理装置および成膜装置 |
Family Cites Families (27)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US4590042A (en) * | 1984-12-24 | 1986-05-20 | Tegal Corporation | Plasma reactor having slotted manifold |
| US4793975A (en) * | 1985-05-20 | 1988-12-27 | Tegal Corporation | Plasma Reactor with removable insert |
| JP2747036B2 (ja) * | 1989-07-07 | 1998-05-06 | 日本電信電話株式会社 | 薄膜形成方法 |
| DE4011933C2 (de) * | 1990-04-12 | 1996-11-21 | Balzers Hochvakuum | Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür |
| US5647945A (en) * | 1993-08-25 | 1997-07-15 | Tokyo Electron Limited | Vacuum processing apparatus |
| US5935337A (en) * | 1995-04-20 | 1999-08-10 | Ebara Corporation | Thin-film vapor deposition apparatus |
| KR100492258B1 (ko) * | 1996-10-11 | 2005-09-02 | 가부시키가이샤 에바라 세이사꾸쇼 | 반응가스분출헤드 |
| US6537418B1 (en) * | 1997-09-19 | 2003-03-25 | Siemens Aktiengesellschaft | Spatially uniform gas supply and pump configuration for large wafer diameters |
| US6190732B1 (en) * | 1998-09-03 | 2001-02-20 | Cvc Products, Inc. | Method and system for dispensing process gas for fabricating a device on a substrate |
| TW464919B (en) * | 1999-03-30 | 2001-11-21 | Tokyo Electron Ltd | Plasma processing apparatus, maintenance method thereof and setting method thereof |
| EP1193751B1 (en) * | 1999-04-06 | 2006-05-17 | Tokyo Electron Limited | Electrode and method of manufacturing an electrode |
| KR100302609B1 (ko) * | 1999-05-10 | 2001-09-13 | 김영환 | 온도가변 가스 분사 장치 |
| US6759325B2 (en) * | 2000-05-15 | 2004-07-06 | Asm Microchemistry Oy | Sealing porous structures |
| WO2002008487A1 (en) * | 2000-07-24 | 2002-01-31 | The University Of Maryland, College Park | Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation |
| TWI334888B (enExample) * | 2000-09-08 | 2010-12-21 | Tokyo Electron Ltd | |
| KR100676979B1 (ko) | 2001-02-09 | 2007-02-01 | 동경 엘렉트론 주식회사 | 성막 장치 |
| JP4121269B2 (ja) * | 2001-11-27 | 2008-07-23 | 日本エー・エス・エム株式会社 | セルフクリーニングを実行するプラズマcvd装置及び方法 |
| JP2005019606A (ja) * | 2003-06-25 | 2005-01-20 | Anelva Corp | プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置 |
| EP1667217A1 (en) | 2003-09-03 | 2006-06-07 | Tokyo Electron Limited | Gas treatment device and heat readiting method |
| US7408225B2 (en) * | 2003-10-09 | 2008-08-05 | Asm Japan K.K. | Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms |
| US7273526B2 (en) * | 2004-04-15 | 2007-09-25 | Asm Japan K.K. | Thin-film deposition apparatus |
| US7712434B2 (en) * | 2004-04-30 | 2010-05-11 | Lam Research Corporation | Apparatus including showerhead electrode and heater for plasma processing |
| KR100587686B1 (ko) | 2004-07-15 | 2006-06-08 | 삼성전자주식회사 | 질화 티타늄막 형성방법 및 이를 이용한 커패시터 제조방법 |
| KR100628888B1 (ko) * | 2004-12-27 | 2006-09-26 | 삼성전자주식회사 | 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치 |
| US7608549B2 (en) * | 2005-03-15 | 2009-10-27 | Asm America, Inc. | Method of forming non-conformal layers |
| US7718030B2 (en) * | 2005-09-23 | 2010-05-18 | Tokyo Electron Limited | Method and system for controlling radical distribution |
| JP5044931B2 (ja) * | 2005-10-31 | 2012-10-10 | 東京エレクトロン株式会社 | ガス供給装置及び基板処理装置 |
-
2006
- 2006-06-20 JP JP2006170585A patent/JP5045000B2/ja active Active
-
2007
- 2007-06-19 KR KR1020087030964A patent/KR101089977B1/ko active Active
- 2007-06-19 CN CN2007800020357A patent/CN101365823B/zh active Active
- 2007-06-19 WO PCT/JP2007/062328 patent/WO2007148692A1/ja not_active Ceased
- 2007-06-20 TW TW096122094A patent/TWI427679B/zh active
-
2008
- 2008-12-19 US US12/340,058 patent/US8133323B2/en active Active
Patent Citations (5)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| JP2002053963A (ja) * | 2000-07-22 | 2002-02-19 | Ips Ltd | クリーニング装置を備えたald薄膜蒸着装置及びそのクリーニング方法 |
| JP2002327274A (ja) * | 2001-02-09 | 2002-11-15 | Tokyo Electron Ltd | 成膜装置 |
| JP2004100001A (ja) * | 2002-09-11 | 2004-04-02 | Air Water Inc | 成膜装置 |
| WO2005045913A1 (ja) * | 2003-11-05 | 2005-05-19 | Tokyo Electron Limited | プラズマ処理装置 |
| WO2005119749A1 (ja) * | 2004-06-04 | 2005-12-15 | Tokyo Electron Limited | ガス処理装置および成膜装置 |
Cited By (1)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| WO2018083989A1 (ja) * | 2016-11-02 | 2018-05-11 | 東京エレクトロン株式会社 | シャワーヘッド及び基板処理装置 |
Also Published As
| Publication number | Publication date |
|---|---|
| CN101365823B (zh) | 2012-07-18 |
| TWI427679B (zh) | 2014-02-21 |
| US20090104351A1 (en) | 2009-04-23 |
| JP2008001923A (ja) | 2008-01-10 |
| KR101089977B1 (ko) | 2011-12-05 |
| US8133323B2 (en) | 2012-03-13 |
| CN101365823A (zh) | 2009-02-11 |
| TW200814149A (en) | 2008-03-16 |
| JP5045000B2 (ja) | 2012-10-10 |
| KR20090017622A (ko) | 2009-02-18 |
Similar Documents
| Publication | Publication Date | Title |
|---|---|---|
| WO2007148692A1 (ja) | 成膜装置及び成膜方法 | |
| JP4889683B2 (ja) | 成膜装置 | |
| TWI759614B (zh) | 熱處理裝置及熱處理方法 | |
| US8093072B2 (en) | Substrate processing apparatus and method of manufacturing semiconductor device | |
| US9062376B1 (en) | Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer readable recording medium | |
| US7432475B2 (en) | Vertical heat treatment device and method controlling the same | |
| JP2020145431A (ja) | 基板載置台 | |
| JP2012004409A (ja) | 処理装置及び成膜方法 | |
| JP4260404B2 (ja) | 成膜装置 | |
| WO2007114335A1 (ja) | 基板処理装置および基板載置台 | |
| US20100064972A1 (en) | Cvd film forming apparatus | |
| WO2004076715A1 (ja) | 真空処理装置 | |
| US20100024728A1 (en) | Substrate processing apparatus | |
| JP2011238832A (ja) | 基板処理装置 | |
| WO2011033918A1 (ja) | 成膜装置、成膜方法および記憶媒体 | |
| JP5344663B2 (ja) | 基板処理装置、半導体装置の製造方法および基板処理方法 | |
| TW201535563A (zh) | 基板處理裝置、噴淋板及基板處理方法 | |
| WO2004042112A1 (ja) | 金属カルボニルガスを使用するcvd方法 | |
| JP4903619B2 (ja) | 基板処理装置 | |
| JP4782761B2 (ja) | 成膜装置 | |
| JP2013065791A (ja) | 基板処理装置及び半導体装置の製造方法 | |
| JP2022012502A (ja) | 成膜方法及び成膜装置 | |
| JP5659041B2 (ja) | 成膜方法および記憶媒体 | |
| JP5656683B2 (ja) | 成膜方法および記憶媒体 | |
| KR20070046349A (ko) | 막 형성 방법 및 반응 부산물의 제거 방법 |
Legal Events
| Date | Code | Title | Description |
|---|---|---|---|
| 121 | Ep: the epo has been informed by wipo that ep was designated in this application |
Ref document number: 07767186 Country of ref document: EP Kind code of ref document: A1 |
|
| WWE | Wipo information: entry into national phase |
Ref document number: 200780002035.7 Country of ref document: CN |
|
| WWE | Wipo information: entry into national phase |
Ref document number: 1020087030964 Country of ref document: KR |
|
| NENP | Non-entry into the national phase |
Ref country code: DE |
|
| 122 | Ep: pct application non-entry in european phase |
Ref document number: 07767186 Country of ref document: EP Kind code of ref document: A1 |