TWI709656B - 具有低氟含量之鎢膜 - Google Patents
具有低氟含量之鎢膜 Download PDFInfo
- Publication number
- TWI709656B TWI709656B TW105116363A TW105116363A TWI709656B TW I709656 B TWI709656 B TW I709656B TW 105116363 A TW105116363 A TW 105116363A TW 105116363 A TW105116363 A TW 105116363A TW I709656 B TWI709656 B TW I709656B
- Authority
- TW
- Taiwan
- Prior art keywords
- tungsten
- film
- forming
- fluorine content
- patent application
- Prior art date
Links
- 229910052721 tungsten Inorganic materials 0.000 title claims abstract description 178
- 239000010937 tungsten Substances 0.000 title claims abstract description 178
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 title claims abstract description 176
- 229910052731 fluorine Inorganic materials 0.000 title claims abstract description 84
- 239000011737 fluorine Substances 0.000 title claims abstract description 84
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 title claims abstract description 83
- 238000000034 method Methods 0.000 claims abstract description 118
- 230000008021 deposition Effects 0.000 claims abstract description 56
- 239000002243 precursor Substances 0.000 claims abstract description 55
- 239000000758 substrate Substances 0.000 claims abstract description 54
- 239000003638 chemical reducing agent Substances 0.000 claims abstract description 37
- 230000006911 nucleation Effects 0.000 claims abstract description 32
- 238000010899 nucleation Methods 0.000 claims abstract description 32
- 238000000151 deposition Methods 0.000 claims description 80
- 230000008569 process Effects 0.000 claims description 72
- 238000012545 processing Methods 0.000 claims description 33
- 238000005229 chemical vapour deposition Methods 0.000 claims description 26
- 239000007789 gas Substances 0.000 claims description 21
- 125000004429 atom Chemical group 0.000 claims description 10
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 9
- 229910052710 silicon Inorganic materials 0.000 claims description 9
- 239000010703 silicon Substances 0.000 claims description 9
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 8
- 230000004888 barrier function Effects 0.000 claims description 8
- 239000001257 hydrogen Substances 0.000 claims description 7
- 229910052739 hydrogen Inorganic materials 0.000 claims description 7
- 229910052732 germanium Inorganic materials 0.000 claims description 5
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 5
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 5
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims description 4
- 229910052796 boron Inorganic materials 0.000 claims description 4
- 230000008878 coupling Effects 0.000 claims description 3
- 238000010168 coupling process Methods 0.000 claims description 3
- 238000005859 coupling reaction Methods 0.000 claims description 3
- YOUIDGQAIILFBW-UHFFFAOYSA-J tetrachlorotungsten Chemical compound Cl[W](Cl)(Cl)Cl YOUIDGQAIILFBW-UHFFFAOYSA-J 0.000 claims description 2
- 125000004435 hydrogen atom Chemical class [H]* 0.000 claims 1
- 239000010410 layer Substances 0.000 description 102
- 239000010408 film Substances 0.000 description 45
- 238000000231 atomic layer deposition Methods 0.000 description 34
- 239000000463 material Substances 0.000 description 30
- 235000012431 wafers Nutrition 0.000 description 28
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 18
- 239000004065 semiconductor Substances 0.000 description 16
- 238000011049 filling Methods 0.000 description 15
- 238000004519 manufacturing process Methods 0.000 description 15
- 239000000376 reactant Substances 0.000 description 13
- 238000012546 transfer Methods 0.000 description 11
- 239000010409 thin film Substances 0.000 description 10
- 238000006243 chemical reaction Methods 0.000 description 9
- 239000010936 titanium Substances 0.000 description 9
- 229910052751 metal Inorganic materials 0.000 description 8
- 239000002184 metal Substances 0.000 description 8
- 229920002120 photoresistant polymer Polymers 0.000 description 8
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 7
- 239000012159 carrier gas Substances 0.000 description 7
- 238000010586 diagram Methods 0.000 description 7
- 238000005240 physical vapour deposition Methods 0.000 description 6
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 5
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 5
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 5
- 150000001875 compounds Chemical class 0.000 description 5
- 238000005137 deposition process Methods 0.000 description 5
- 238000005516 engineering process Methods 0.000 description 5
- 238000005530 etching Methods 0.000 description 5
- 238000005259 measurement Methods 0.000 description 5
- 239000000047 product Substances 0.000 description 5
- 229910052719 titanium Inorganic materials 0.000 description 5
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 4
- 238000000354 decomposition reaction Methods 0.000 description 4
- 238000011010 flushing procedure Methods 0.000 description 4
- 238000010438 heat treatment Methods 0.000 description 4
- 230000010354 integration Effects 0.000 description 4
- 239000011229 interlayer Substances 0.000 description 4
- 229910052715 tantalum Inorganic materials 0.000 description 4
- OQPDWFJSZHWILH-UHFFFAOYSA-N [Al].[Al].[Al].[Ti] Chemical compound [Al].[Al].[Al].[Ti] OQPDWFJSZHWILH-UHFFFAOYSA-N 0.000 description 3
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 3
- 230000005540 biological transmission Effects 0.000 description 3
- UORVGPXVDQYIDP-UHFFFAOYSA-N borane Chemical class B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 description 3
- 229910052799 carbon Inorganic materials 0.000 description 3
- 238000004140 cleaning Methods 0.000 description 3
- 238000001514 detection method Methods 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- QPJSUIGXIBEQAC-UHFFFAOYSA-N n-(2,4-dichloro-5-propan-2-yloxyphenyl)acetamide Chemical compound CC(C)OC1=CC(NC(C)=O)=C(Cl)C=C1Cl QPJSUIGXIBEQAC-UHFFFAOYSA-N 0.000 description 3
- 229910052759 nickel Inorganic materials 0.000 description 3
- 238000006722 reduction reaction Methods 0.000 description 3
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 3
- 229910021324 titanium aluminide Inorganic materials 0.000 description 3
- UONOETXJSWQNOL-UHFFFAOYSA-N tungsten carbide Chemical compound [W+]#[C-] UONOETXJSWQNOL-UHFFFAOYSA-N 0.000 description 3
- NXHILIPIEUBEPD-UHFFFAOYSA-H tungsten hexafluoride Chemical compound F[W](F)(F)(F)(F)F NXHILIPIEUBEPD-UHFFFAOYSA-H 0.000 description 3
- -1 tungsten nitride Chemical class 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- RLJUCKFARAQBDA-UHFFFAOYSA-N C(C)C1(C=CC=C1)[W](N=O)(=C=O)=C=O Chemical compound C(C)C1(C=CC=C1)[W](N=O)(=C=O)=C=O RLJUCKFARAQBDA-UHFFFAOYSA-N 0.000 description 2
- OAMOJYZDVHZSDW-UHFFFAOYSA-N CC1(C=CC=C1)[W](N=O)(=C=O)=C=O Chemical compound CC1(C=CC=C1)[W](N=O)(=C=O)=C=O OAMOJYZDVHZSDW-UHFFFAOYSA-N 0.000 description 2
- RGSFGYAAUTVSQA-UHFFFAOYSA-N Cyclopentane Chemical compound C1CCCC1 RGSFGYAAUTVSQA-UHFFFAOYSA-N 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 2
- 229910000085 borane Inorganic materials 0.000 description 2
- 125000000484 butyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 2
- 239000010941 cobalt Substances 0.000 description 2
- 229910017052 cobalt Inorganic materials 0.000 description 2
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical group [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 2
- 238000007796 conventional method Methods 0.000 description 2
- 125000004122 cyclic group Chemical group 0.000 description 2
- DOYIBAKSKZZYPC-UHFFFAOYSA-N cyclopenta-1,3-diene;nickel(2+);prop-1-ene Chemical compound [Ni+2].[CH2-]C=C.C=1C=C[CH-]C=1 DOYIBAKSKZZYPC-UHFFFAOYSA-N 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- ZOCHARZZJNPSEU-UHFFFAOYSA-N diboron Chemical compound B#B ZOCHARZZJNPSEU-UHFFFAOYSA-N 0.000 description 2
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 2
- 229910000078 germane Inorganic materials 0.000 description 2
- 229910052736 halogen Inorganic materials 0.000 description 2
- 150000002367 halogens Chemical group 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 150000002431 hydrogen Chemical class 0.000 description 2
- 239000012535 impurity Substances 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 229910000077 silane Inorganic materials 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- MNWRORMXBIWXCI-UHFFFAOYSA-N tetrakis(dimethylamido)titanium Chemical compound CN(C)[Ti](N(C)C)(N(C)C)N(C)C MNWRORMXBIWXCI-UHFFFAOYSA-N 0.000 description 2
- MTPVUVINMAGMJL-UHFFFAOYSA-N trimethyl(1,1,2,2,2-pentafluoroethyl)silane Chemical compound C[Si](C)(C)C(F)(F)C(F)(F)F MTPVUVINMAGMJL-UHFFFAOYSA-N 0.000 description 2
- KPGXUAIFQMJJFB-UHFFFAOYSA-H tungsten hexachloride Chemical compound Cl[W](Cl)(Cl)(Cl)(Cl)Cl KPGXUAIFQMJJFB-UHFFFAOYSA-H 0.000 description 2
- JVCWKXBYGCJHDF-UHFFFAOYSA-N CC(C)(C)N=[W](N(C)C)(=NC(C)(C)C)N(C)C Chemical group CC(C)(C)N=[W](N(C)C)(=NC(C)(C)C)N(C)C JVCWKXBYGCJHDF-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 1
- 229910004529 TaF 5 Inorganic materials 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical class O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- 238000003848 UV Light-Curing Methods 0.000 description 1
- UGACIEPFGXRWCH-UHFFFAOYSA-N [Si].[Ti] Chemical compound [Si].[Ti] UGACIEPFGXRWCH-UHFFFAOYSA-N 0.000 description 1
- 125000003282 alkyl amino group Chemical group 0.000 description 1
- 125000005277 alkyl imino group Chemical group 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- FQNHWXHRAUXLFU-UHFFFAOYSA-N carbon monoxide;tungsten Chemical group [W].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-] FQNHWXHRAUXLFU-UHFFFAOYSA-N 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- UMSGMFIIGJPJCC-UHFFFAOYSA-N cobalt;2,2,6,6-tetramethylheptane-3,5-dione Chemical compound [Co].CC(C)(C)C(=O)CC(=O)C(C)(C)C.CC(C)(C)C(=O)CC(=O)C(C)(C)C.CC(C)(C)C(=O)CC(=O)C(C)(C)C UMSGMFIIGJPJCC-UHFFFAOYSA-N 0.000 description 1
- 238000004590 computer program Methods 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- 239000013039 cover film Substances 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 150000001993 dienes Chemical class 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- VXGHASBVNMHGDI-UHFFFAOYSA-N digermane Chemical compound [Ge][Ge] VXGHASBVNMHGDI-UHFFFAOYSA-N 0.000 description 1
- 239000006185 dispersion Substances 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 1
- 238000005429 filling process Methods 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 230000009931 harmful effect Effects 0.000 description 1
- DMEGYFMYUHOHGS-UHFFFAOYSA-N heptamethylene Natural products C1CCCCCC1 DMEGYFMYUHOHGS-UHFFFAOYSA-N 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 238000012625 in-situ measurement Methods 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 229910052743 krypton Inorganic materials 0.000 description 1
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 1
- PEUPIGGLJVUNEU-UHFFFAOYSA-N nickel silicon Chemical compound [Si].[Ni] PEUPIGGLJVUNEU-UHFFFAOYSA-N 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 1
- 238000000399 optical microscopy Methods 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 150000004756 silanes Chemical class 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 238000004088 simulation Methods 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 238000005507 spraying Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 229910052717 sulfur Inorganic materials 0.000 description 1
- 239000011593 sulfur Substances 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 238000000427 thin-film deposition Methods 0.000 description 1
- XJDNKRIXUMDJCW-UHFFFAOYSA-J titanium tetrachloride Chemical compound Cl[Ti](Cl)(Cl)Cl XJDNKRIXUMDJCW-UHFFFAOYSA-J 0.000 description 1
- YKSLVGGUXOMSLN-UHFFFAOYSA-N tris(oxomethylidene)tungsten Chemical compound O=C=[W](=C=O)=C=O YKSLVGGUXOMSLN-UHFFFAOYSA-N 0.000 description 1
- 150000003657 tungsten Chemical class 0.000 description 1
- WIDQNNDDTXUPAN-UHFFFAOYSA-I tungsten(v) chloride Chemical compound Cl[W](Cl)(Cl)(Cl)Cl WIDQNNDDTXUPAN-UHFFFAOYSA-I 0.000 description 1
- 238000004876 x-ray fluorescence Methods 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L21/76876—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
- C23C16/0272—Deposition of sub-layers, e.g. to promote the adhesion of the main coating
- C23C16/0281—Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
- C23C16/08—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
- C23C16/14—Deposition of only one other metal element
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28158—Making the insulator
- H01L21/28167—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
- H01L21/28194—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76898—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/01—Chemical elements
- H01L2924/01009—Fluorine [F]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/01—Chemical elements
- H01L2924/01074—Tungsten [W]
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Crystallography & Structural Chemistry (AREA)
- Electrodes Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
本文所述之方法與設備的實施樣態係關於鎢成核層及其他含鎢薄膜的沉積。方法的各種實施例涉及在低腔室壓力下將基板暴露到鎢前驅物及還原劑的交替脈衝,而藉此在基板的表面上沉積含鎢層。根據各種實施例,可將腔室壓力維持在10托或低於10托。在一些實施例中,可將腔室壓力維持在7托或低於7托,或甚至更低,例如維持在5托或低於5托。方法可使用含氟的鎢前驅物來實施,但其結果為在所沉積的層中的氟量非常低或偵測不到。
Description
本發明係關於低氟含量之鎢膜。
使用化學氣相沉積(CVD)技術之含鎢材料的沉積係許多半導體製造程序不可或缺的部分。這些材料可用於水平互連結構、鄰接金屬層之間的穿孔、第一金屬層與矽基板上之裝置之間的接點、以及高深寬比特徵部。在習知沉積製程中,於沉積腔室中將基板加熱至一預定製程溫度,並且沉積作為晶種或成核層的含鎢材料薄層。之後,將此含鎢材料的其餘部分(主體層)沉積在此成核層上。按照慣例,此含鎢材料係藉由六氟化鎢(WF6)的還原反應而形成。
本文所述之申請標的之一實施態樣係關於在基板上沉積低氟含量的含鎢薄膜的方法。該方法涉及將基板暴露到含氟的鎢前驅物及還原劑的交替脈衝,而藉此在該基板上沉積含鎢薄膜,其中在含氟的鎢前驅物的脈衝期間,容置該基板的一腔室的腔室壓力低於10托。該含鎢薄膜中的氟濃度可低於1019原子/cm3。在一些實施例中,該含鎢薄膜中的氟濃度低於1018原子/cm3。根據各種實施例,腔室壓力可低於7托或低於5托。在一些實施例中,該含鎢薄膜為鎢
成核層。在一些實施例中,該含鎢薄膜係被沉積在第一含鎢薄膜上,而該第一含鎢薄膜係經由無氟製程所沉積。在一些實施例中,該含鎢薄膜係被沉積在一阻障層上。還原劑的範例包括含矽還原劑、含硼還原劑、及含鍺還原劑。在一些實施例中,該含氟的鎢前驅物的脈衝包括氫(H2)。根據各種實施例,該含鎢薄膜可具有低電阻係數,例如低於130μΩ/cm或低於110μΩ/cm。該方法可更包含透過化學氣相沉積(CVD)在該含鎢薄膜上沉積一鎢主體層。
本文所述之申請標的之另一實施態樣係關於一方法,包括透過無氟製程在一基板上沉積第一含鎢薄膜;並且使用含氟前驅物並透過原子層沉積在該第一含鎢薄膜上沉積第二含鎢薄膜,其中在該第二含鎢薄膜的沉積期間,容置該基板的一腔室的腔室壓力低於10托。在一些實施例中,該第一含鎢薄膜係被沉積在一阻障層上。在一些實施例中,該第一含鎢薄膜係被沉積在矽氧化物上。根據各種實施例,該腔室壓力可低於7托或低於5托。根據各種實施例,該第二含鎢薄膜中的氟濃度低於1019原子/cm3或低於1018原子/cm3。
在配置以實施本文所述之任何方法的設備中可實施進一步的實施態樣。例如,本文所述之申請標的之另一實施態樣係關於用以處理基板的設備,該設備包含:(a)至少一處理腔室,包含配置以支撐一基板的一支座;(b)至少一出口,用於耦接至真空;(c)一或多個處理氣體入口,耦接至一或多個處理氣體來源;及(d)一控制器,用於控制該設備內的操作,包含下述之機器可讀指令:以交替脈衝的方式將還原劑與含氟的鎢前驅物引導至該處理腔室,其中腔室壓力低於10托。
進一步參考圖式來說明這些以及其他實施樣態。
100:基板
101:特徵部
103:基板
105:特徵孔
109:縊縮部
112:縊縮部
113:底層
115:突出部
118:軸
125:支柱
127:區域
129:層間介電層
148:結構
150:特徵部
151:縊縮部
202:方塊
204:方塊
206:方塊
208:方塊
702:步驟
704:步驟
800:系統
801:來源模組
803:傳送模組
807:模組
809:反應器
811:站
813:站
815:站
817:站
819:腔室
821:負載鎖室
829:控制器
900:沉積站
901:支座(部分)
902:支持器
903:噴淋頭
圖1A-1G根據本文所述之製程,為各種結構之示意範例,其中可使用含氟前驅物來沉積低氟含量的層。
圖2係說明沉積鎢成核層之方法之範例中的某些操作的製程流程圖。
圖3為顯示鎢(W)/氮化鈦(TiN)/未摻雜矽玻璃(USG)疊層的氟(F)濃度曲線的作圖,其將各種W沉積方法的F濃度進行比較。
圖4為顯示具有薄TiN層的W/TiN/熱氧化物疊層的F濃度曲線的作圖,其將各種W沉積方法的F濃度進行比較。
圖5為顯示W/TiN/熱氧化物疊層的F濃度曲線的作圖,其將各種W沉積方法的F濃度進行比較。
圖6呈現40Torr ALD製程(具有H2在製程背景中)及無H2的3Torr ALD製程的電阻係數量測。
圖7為說明沉積鎢的方法之範例中的某些操作的製程流程圖。
圖8係用以執行所揭露實施例之例示性處理工具的示意圖。
圖9係用以執行所揭露實施例之例示性站的示意圖。
為提供對本發明的全面性瞭解,在下列敘述中闡述許多具體細節。本發明毋須部分或所有這些具體細節而可被實施。在其他例子中,為了不對本發明造成不必要的混淆,不贅述為人所熟知的製程操作。雖然本發明將結合具體實施例來說明,但應瞭解此並非意指本發明係限制於這些實施例。
本文描述鎢的沉積方法以及相關的系統與設備。應用的範例包含邏輯與記憶體接點填充、DRAM埋入式字元線填充、垂直積體化記憶體閘極/字元線填充、以及具有直通矽穿孔(TSVs)的3-D積體化。在一些實施例中,該等方法可用於鎢特徵部填充。此類特徵部可包括垂直特徵部(例如穿孔)及水平特徵部(例如垂直NAND(VNAND)字元線)。該等方法可用於保形、或由下而上/由裏朝外的填充。
本文所述之方法與設備的實施樣態係關於含鎢層(例如鎢成核層)的沉積。該方法的各種實施例涉及在低腔室壓力下將基板暴露到鎢前驅物及還原劑的交替脈衝,而藉此在基板的表面上沉積鎢成核層。根據各種實施例,可將腔室壓力維持在10托或低於10托。在一些實施例中,可將腔室壓力維持在7托或低於7托,或甚至更低,例如維持在5托或低於5托。該方法可使用含氟的鎢前驅物來實施,但其結果為在所沉積的成核層中的氟量非常低或偵測不到。
圖1A-1G根據本文所述之製程,為各種結構之示意範例,其中可使用含氟前驅物來沉積低氟含量的層。在一些實施例中,該等結構包括待使用鎢或含鎢材料填充的特徵部。在一些實施例中,這些特徵部可藉由窄及/或內凹開口、特徵部中的縊縮部(constrictions)、以及高深寬比之其中一或多者而加以特徵化。圖1A顯示待以鎢填充之垂直特徵部101之橫剖面圖的一範例。此特徵部可包含位於基板103中的特徵孔105。此基板可為例如200-mm晶圓、300-mm晶圓、或450-mm晶圓的矽晶圓,其包含具有沉積於其上之一或多層材料(例如介電、導電、或半導體材料)的晶圓。此特徵部可被形成在這些層的其中一或多者中。例如,此特徵部可至少部分地被形成在介電層中。在某些實施例中,特徵孔105可具有至少約2:1、至少約4:1、至少約6:1或更高的深寬比。特徵孔
105在開口附近亦可具有介於約10nm到500nm的尺寸(例如開口直徑或線寬),例如介於約25nm到300nm。特徵孔105可被稱為未填充特徵部或僅稱為特徵部。特徵部101、以及任何特徵部可部分地藉由延伸穿過此特徵部之長度的軸118加以特徵化,而垂直定向特徵部具有垂直軸以及水平定向特徵部具有水平軸。
圖1B顯示具有內凹輪廓之特徵部101的範例。內凹輪廓為從特徵部之底部、封閉端、或內部往特徵部開口變窄的輪廓。依照各種實施例,此輪廓可逐漸變窄及/或在特徵部開口處包含突出部。圖1B顯示後者的範例,底層113加襯於特徵孔105的側壁或內部表面。底層113可為例如擴散阻障層、接著層、成核層、其中之組合、或任何其他適用材料。底層的非限制性範例可包含介電層與導電層,例如矽氧化物、矽氮化物、矽碳化物、金屬氧化物、金屬氮化物、金屬碳化物、以及金屬層。在特定實施例中,底層可為Ti、TiN、WN、TiAl、以及W之其中一或多者。底層113形成突出部115,使得底層113在特徵部101之開口處附近比特徵部101內部更厚。
在某些實施例中,可填充具有一或多個縊縮部在特徵部內的特徵部。圖1C顯示具有縊縮部之各種已填充特徵部之視圖的範例。圖1C中範例(a)、(b)及(c)的每一者皆包含縊縮部109在特徵部內的中點處。縊縮部109可例如介於約15nm-20nm寬。在使用習知技術於特徵部中沉積鎢的期間,縊縮部可能會引起夾止(pinch-off),其中在特徵部的此部分被填充之前,所沉積的鎢會妨礙進一步的沉積通過縊縮部,而在特徵部中造成空隙。範例(b)更包含襯墊/阻障突出部115在特徵部開口處。此種突出部亦可能為潛在夾止點。範例(c)包含縊縮部112,其係比範例(b)中的突出部115更遠離場區(field region)。
亦可填充例如3-D記憶體結構的水平特徵部。圖1D顯示包含縊縮部151之水平特徵部150的範例。例如,水平特徵部150可為VNAND結構中的字元線。
在某些實施例中,縊縮部可能因為在VNAND或其他結構中存在有支柱而產生。例如,圖1E顯示VNAND或垂直積體化記憶體(VIM)結構148中之支柱125的平面圖,而圖1F則顯示支柱125的簡化剖面示意圖。圖1E中的箭頭係代表沉積材料;因支柱125係設置在區域127與氣體入口或其他沉積源之間,鄰接的支柱可能會造成縊縮部151,而出現區域127之無空隙填充的挑戰。
例如,可藉由在基板100上沉積交替之層間介電層129與犧牲層(未圖示)的疊層,並且選擇性蝕刻犧牲層而形成結構148。例如,層間介電層可為氧化矽及/或氮化矽層,而犧牲層為可被蝕刻劑選擇性蝕刻的材料。在此之後可接續進行蝕刻與沉積處理而形成支柱125,其可包含已完成之記憶體裝置的通道區域。
基板100的主表面可在x與y方向上延伸,而支柱125係朝向z方向。在圖1E與1F的範例中,支柱125係以偏移方式被加以排列,以使在x方向上緊鄰的支柱125係在y方向上互相偏移,反之亦然。依照各種實施例,可以許多方式來排列這些支柱(以及因鄰接支柱所形成的對應縊縮部)。此外,支柱125可為包含圓形、方形等等的任何形狀。支柱125可包含環狀半導體材料,或圓形(或方形)半導體材料。閘極介電質可包圍此半導體材料。可使用鎢來填充每一層間介電層129之間的區域;因此,結構148具有在x及/或y方向上延伸的複數個待填充的疊層水平定向特徵部。
圖1G提供例如包含支柱縊縮部151之VNAND或其他結構之水平視角特徵部的另一範例。圖1G的範例為端點開放的,其中待沉積的材料能夠如箭頭所示般水平地從兩端進入。(應注意到圖1G的範例可被視為此結構之3-D特徵部的2-D繪圖,且圖1G為待填充區域的剖面圖,以及此圖中所示的支柱縊縮部係表示可於平面圖(而非剖面圖)觀看到的縊縮部。)在某些實施例中,3-D結構可藉由沿著二或三度空間(例如在圖1F的範例中係在x與y或x、y與z方向上)延伸的待填充區域而加以特徵化,並且可能出現比填充沿著一或二度空間延伸之孔洞或溝渠更多的挑戰。例如,當沉積氣體可從複數維度進入一特徵部時,控制3-D結構的填充具有挑戰性。
以下說明水平定向與垂直定向特徵部之特徵部填充的範例。應注意到在大部分情況下,這些範例可應用在水平定向或垂直定向特徵部兩者。此外,亦應注意到在以下說明中,「橫向」這個用語可用以指大致上與特徵部軸正交的方向,而「垂直」一詞則係指大致上沿特徵部軸的方向。
在特徵部內的材料分佈可藉由其階梯覆蓋而特徵化。為說明之目的,「階梯覆蓋(step coverage)」係定義為兩個厚度的比值,即在特徵部內部之材料的厚度除以在開口附近之材料的厚度。為說明之目的,「在特徵部內部」這個用語係表示沿著特徵部軸而位在特徵部中點附近的特徵部中間部分(例如從特徵部開口沿著特徵部之深度量測,位在介於約25%與75%之間的距離的區域,或在某些實施例中,約40%與60%之間的距離的區域),或者從開口沿著特徵部軸量測而位在介於約75%與95%之間的距離的特徵部末端部分。「在特徵部之開口附近」或「在特徵部開口附近」這個用語係表示位在開口邊緣(或開口邊緣之其他元件代表物)之25%內(或更具體而言,在10%內)之特徵部的頂部。
例如,可藉由使特徵部之中間或底部附近寬於特徵部開口的方式來填充特徵部,而達成超過100%的階梯覆蓋。
雖然以下描述著重於鎢特徵部填充,但本發明之實施樣態亦可用於以其他材料填充特徵部。例如,使用一或更多本文所述之技術的特徵部填充,可用於以其他材料來填充特徵部,該等其他材料包括:其他含鎢材料(例如氮化鎢(WN)及碳化鎢(WC))、含鈦材料(例如鈦(Ti)、氮化鈦(TiN)、矽化鈦(TiSi)、碳化鈦(TiC)、及鋁化鈦(TiAl))、含鉭材料(例如鉭(Ta)、及氮化鉭(TaN))、及含鎳材料(例如鎳(Ni)、及矽化鎳(NiSi))。此外,本文中揭露之方法與設備不限於特徵部填充,而可用於在任何適當之表面上沉積鎢,包括在平坦的表面上形成覆蓋式薄膜。
在一些實施例中,本文所述之方法涉及在主體層沉積之前先沉積鎢成核層。成核層一般為薄的保形層,其促進其上之主體含鎢材料的後續沉積。根據各種實施例,可在任何特徵部填充之前、及/或在特徵部填充期間的後續時點上,以鎢或含鎢材料來沉積成核層。
在某些實施例中,使用脈衝成核層(PNL)技術來沉積成核層。在PNL技術中,相繼將還原劑、選擇性沖洗氣體、以及含鎢前驅物的脈衝注入反應腔室中並且從其中沖除。以循環方式重複此製程直到達成期望的厚度為止。PNL概括地體現為相繼將反應用之反應物添加在半導體基板上的任何循環製程,包括原子層沉積(ALD)技術。沉積鎢成核層的PNL技術係描述在美國專利案第6,635,965、7,005,372、7,141,494、7,589,017、7,772,114、7,955,972以及8,058,170號、以及美國專利公開案第2010-0267235號中,其整體內容皆藉由參考文獻方式合併於此。成核層厚度可取決於成核層的沉積方法以及主體沉積的期望品
質。一般來說,成核層厚度足以維持高品質、均勻的主體沉積。範例之範圍可為5Å-100Å。
在所沉積的鎢薄膜與鎢前驅物中的氟(F)可能會在進一步積體化操作期間發生反應而形成高度反應性的氫氟酸(HF)。例如,HF可能侵蝕氧化物疊層中的氧化物,或者以其他方式對積體化造成負面影響。使用氟化前驅物(例如六氟化鎢(WF6))來沉積鎢成核層的習知方法,在成核層中產生若干氟量。圖2係說明沉積鎢成核層之方法之範例中的某些操作的製程流程圖。參考圖2描述之方法產生具有低氟含量的鎢成核層。
參考圖2描述如下之方法涉及將基板暴露到還原劑與含氟的鎢前驅物的交替脈衝。根據各種實施例,暴露到這些交替脈衝可涉及時間轉換或空間轉換。若基板在特定環境(例如腔室)中保持靜止,則可執行時間轉換。空間轉換可涉及將基板移動至不同環境。雖然下方描述主要係關於時間轉換(並因此假設基板於整個沉積過程中留在特定腔室環境中),應理解該方法亦可透過空間轉換來實施。
在方塊202,製程涉及脈衝還原劑於容置晶圓或其他基板的腔室中。該還原劑為可使鎢前驅物還原而形成鎢(W)的化合物(及/或該還原劑形成可使鎢前驅物還原的分解產物)。該還原劑可為比氫(H2)強的還原劑,範例包括含矽還原劑(例如矽烷類)、含硼還原劑(例如硼烷類)、及含鍺還原劑(例如鍺烷類)。具體範例包括矽烷(SiH4)、二矽烷(Si2H6)、硼烷(BH3)、二硼烷(B2H6)、鍺烷(GeH4)、及二鍺烷(Ge2H6)。可應用其他還原劑,例如聯胺類。在一些實施例中,該還原劑或其分解產物,可吸附、或以其他方式沉積在基板表面上且可進行反
應。在方塊204,將未沉積在基板表面上的過多的還原劑從腔室中沖除。在一些實施例中,可不執行沖除操作。
在方塊206,脈衝含氟的鎢前驅物(例如WF6)於容置基板的腔室中,該腔室在脈衝期間被維持在低的腔室壓力下。低的壓力係低於10Torr。在一些實施例中,該壓力低於7Torr、低於5Torr或3Torr、或更低。
在圖2的範例中,基板在製程期間留在單一腔室中。因此,腔室可在圖2的任一操作期間處於低壓下。在一些實施例中,腔室壓力在製程期間實質上不變。技術領域中具有通常知識者應知悉,實質上不變的腔室壓力包括具有較小波動(因引入不同的氣流等而產生)的腔室壓力。然而,在一些實施例中,方塊202中的腔室壓力可高於方塊206中者。含氟的鎢前驅物與還原劑或分解產物進行反應,而形成鎢膜層或子層。如下進一步描述,透過在低壓下執行方塊206,併入膜中的氟量顯著地降低。在方塊208,選擇性地重複方塊202-206一或更多次,以積累鎢之期望厚度。可對繪於圖2中的製程做各種修改。在一些實施例中,例如,在方塊202之前可先執行方塊206,使得在還原劑脈衝之前先脈衝含氟的鎢前驅物。因此,含氟的鎢前驅物或其分解產物可吸附在基板上,並得與還原劑的進料脈衝進行反應。
圖3為顯示鎢(W)/氮化鈦(TiN)/未摻雜矽玻璃(USG)疊層的氟(F)濃度曲線的作圖,其中曲線所呈現者為具有W層的疊層,該等W層係透過ALD分別在3Torr、10Torr、及40Torr之下沉積。分別使用六氟化鎢及二硼烷作為鎢前驅物及還原劑。各曲線由以下加以特徵化:相對上平坦部分上達約275Å之深度;接著F濃度在W/TiN介面處提高;以及深度增加進入TiN/USG介面與USG層,F濃度隨之降低。W層中所表現出來的提高為量測處理的人為因素,且可
能反應TiN層中的F含量。因此,由平坦的曲線部分來表示W層中的F含量係最佳的。
值得注意的係,雖然10Torr薄膜(線304)具有比40Torr薄膜(線306)明顯較低的氟含量,但與在10Torr之下沉積的薄膜(線304)及在40Torr之下沉積的薄膜(線306)相比,在低於10Torr之下沉積的ALD薄膜(線302)具有超過100倍的氟含量降低。線32的平坦部分係在1017原子/cm3,比用於量測氟之工具的偵測位準低,指出氟位準可低於1017原子/cm3。此量測位準亦相當於使用無氟前驅物來沉積的鎢,指出可使用低壓(<10Torr)製程,以使用含氟前驅物來沉積實質上無氟的鎢成核層。
在一些實施例中,低壓製程亦降低下方介電層中的氟量。圖4為顯示鎢(W)/TiN/熱氧化物的氟(F)濃度曲線的作圖,其具有透過ALD沉積在薄的(30Å)TiN阻障層上的W。呈現三個300Å W成核/30Å TiN/1000Å熱氧化物疊層的曲線,其中300Å W成核層分別在3Torr、10Torr、及40Torr之下沉積。此圖中,3Torr W層中的F濃度低於F偵測位準(如圖3中般)。此外,低壓製程產生熱氧化物層中較低的F濃度。
如前文指出,使用含氟的鎢前驅物之鎢成核層的低壓ALD沉積所產生的氟含量,相當於使用無氟前驅物來沉積的薄膜、或透過固體鎢靶材之物理氣相沉積(PVD)來沉積的薄膜。圖5為顯示W/TiN/熱氧化物疊層的F濃度曲線的作圖。曲線所呈現者為如下W沉積方法:使用WF6在40Torr之下的ALD(線506);使用WF6在10Torr之下的ALD(線504);使用WF6在3Torr之下的ALD(線502);使用無氟的鎢(FFW)前驅物在40Torr之下的ALD(線505);以及PVD(線503)。
圖5顯示W ALD薄膜主體中的F百分比,最高者為40Torr ALD製程,其後為10Torr ALD製程。10Torr ALD薄膜中的F含量大約等於在FFW薄膜中者。在3Torr ALD及PVD薄膜中的F含量最低。值得注意的係,在3Torr WF6薄膜中測得的F少於在FFW薄膜中者。
在TiN/氧化物介面處,F含量最高者為40Torr ALD薄膜,其後為(按順序)10Torr ALD薄膜、3Torr ALD薄膜、及FFW與PVD薄膜。
在圖3-5中觀察到的氟含量對於腔室壓力的非線性相依性係料想不到的,這有一部分係因為以WF6為基礎之ALD沉積薄膜中的氟,一般而言對其他製程參數(例如溫度)的變化較為反應遲鈍。昇高基板溫度可降低氟含量至某種程度,但要達到FFW薄膜的氟含量係不夠的。此外,溫度提高導致階梯覆蓋減少。相較之下,本文所揭露的低壓製程可產生極佳的階梯覆蓋(例如至少90%)。應注意的係,使用以氟為基礎的鎢前驅物之CVD沉積並非以圖3-5所示之方式來回應腔室壓力。不限於特定理論,一般認為在ALD製程期間的低腔室壓力可允許氟(F2)或反應的其他含氟副產物擴散至W薄膜之外,或在W薄膜沉積時離開其表面。
在圖2的製程中,可使用載氣將反應物輸送到腔室。若使用,載氣一般具有比反應物高得多的流率,使得腔室壓力可接近於載氣的分壓。載氣亦可作用為反應物脈衝之間的沖洗氣體,或可使用不同的沖洗氣體。可使用惰性成分(例如氬、氙、氪、氖、或氦)作為載氣。
根據各種實施例,除了另一載氣以外、或替代另一載氣地,在製程背景中可流動或不流動氫。在一些實施例中,在製程背景中不流動氫,使得成核層沉積為無氫(H2)製程。添加H2可有助於氟還原,這可能係因為產生HF。
除了避免氟污染的有害影響之外,低壓製程可產生降低的電阻係數。圖6呈現40Torr ALD製程(具有H2在製程背景中)及無H2的3Torr ALD製程的電阻係數量測。使用WF6作為含鎢前驅物並使用B2H6作為還原劑。低壓製程的電阻係數顯著較低,這可能係因為薄膜中氟雜質量降低。應注意的係,沉積而產生圖6中之資料的薄膜係非晶的,3Torr薄膜的電阻係數對於非晶薄膜而言係非常低的。
應注意的係,由於用以在10Torr及40Torr之下進行沉積之工具中的限制,用以產生圖3-6中之資料的3Torr ALD沉積,係在與10Torr及40Torr ALD沉積不同的工具上執行。
在一些實施例中,在透過ALD於低壓下使用含氟的鎢前驅物沉積鎢層之前,可先透過無氟製程來沉積第一鎢層。例如,此類製程可有用於降低在下方的層中的或在層之間的介面處的氟含量。圖7為說明沉積鎢的方法之範例中的某些操作的製程流程圖。該方法包括在無氟製程中沉積第一鎢層(702)。例如,可在阻障層或接著層(例如(Ti)、TiN、Ti/TiN、氮化鎢(WN)或其他層)上沉積第一鎢層。在一些實施例中,可直接在介電層(例如氧化矽層)上沉積第一鎢層。
無氟製程的範例包括使用不含氟前驅物的ALD及CVD製程。可使用之無氟的鎢前驅物的範例包括六羰基鎢(W(CO)6)、鎢氯化物(例如六氯化鎢(WCl6)及五氯化鎢(WCl5))與有機鎢前驅物,例如W2(NMe2)6、W(OEt)6、W(OnPr)6、(tBuN=)2W(NMe2)2、(tBuN=)2W(NEtMe)2、W(Cp)2H2、W(NEt2)2(NEt)2、W(iPrCp)2H2、(tBuN=)2W(HNMe)2、W(EtCp)2H2及其衍生物。進一步的範例則包含乙基環戊二烯基-二羰基亞硝醯基-鎢(EDNOW,
ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten)、甲基環戊二烯基-二羰基亞硝醯基-鎢(MDNOW,methylcyclopentadienyl-dicarbonylnitrosyl-tungsten)、以及(乙基環戊二烯基)三羰基氫化鎢(ETHW,(ethylcyclopentadienyl)tricarbonylhydridotungsten),其可從Praxair購得,並且包含具有下列結構的雙(烷基亞胺基)雙(烷基胺基)鎢(tungstenbis(alkylimino)bis(alkylamino))化合物:
其中每一個R可獨立選自於甲基、乙基、丙基、丁基以及三級丁基基團。這些基團可經取代或未經取代,然而其一般係未經取代。例如,此含鎢前驅物為雙(三級丁基亞胺基)雙(二甲基胺基)鎢(W[N(C4H9)]2[N(CH3)2]2)。
可將第一鎢層沉積到一厚度,該厚度足以避免氟(來自在後續沉積中的含氟的鎢製程)到達下方的材料。例示性厚度範圍可從10Å到100Å,但可實行此範圍之外的厚度。
該方法繼續進行,在方塊704,透過低壓ALD使用含氟前驅物沉積第二鎢層。第二鎢層可為直接沉積在第一鎢層上的鎢成核層。方塊704可涉及參考圖2描述於前文中的製程。
用於方塊704的沉積速率可顯著高於用於方塊702中者。因此,方塊702可產生保護下方的層遠離氟的無氟層,而方塊704產生更快速的沉積作用,而具有非常低的氟含量。
在一些實施例中,在鎢成核層的沉積之後以及在鎢主體沉積之前可進行一或多個處理操作。例如,處理所沉積之鎢成核層以降低電阻係數描述於美國專利案第7,772,114與8,058,170號以及美國專利公開案第2010-0267235號中,其藉由參考文獻方式合併於此。
鎢層的低壓ALD沉積之後可接續鎢主體沉積。鎢主體沉積可藉由CVD製程而發生,於其中使還原劑以及含鎢前驅物流入沉積腔室內,以在特徵部內沉積主體填充層。可使用惰性載氣來輸送其中一或多個反應物流,此反應物流可被預先混合或不被預先混合。不像PNL或ALD製程,CVD操作通常包含使反應物一起持續流動直到沉積期望的量為止。在某些實施例中,此CVD操作可在複數階段中進行,其中反應物的連續且同時流量藉由一或多個反應物流量轉向之週期所隔開。
可使用各種含鎢氣體(包含(但不限於)WF6、WCl6、WCl5、以及W(CO)6)或前文中列舉的有機鎢前驅物作為含鎢前驅物。在某些實施例中,含鎢前驅物為含鹵素化合物,例如WF6。在某些實施例中,還原劑為氫氣,但可使用其他還原劑,包含矽烷(SiH4)、二矽烷(Si2H6)、聯胺(N2H4)、二硼烷(B2H6)以及鍺烷(GeH4)。在許多實施例中,氫氣被使用作為CVD製程中的還原劑。在某些其他實施例中,能夠使用可分解形成主體鎢層的鎢前驅物。亦可使用包含ALD製程的其他類型製程來進行主體沉積。此外,可使用順序式CVD製程來進行主體沉積。此類製程的敘述記載於同時申請的美國專利申請案(代理人案號LAMRP184/3601)。溫度之範例範圍可從200℃到500℃。根據各種實施例,本文所述之任何CVD W操作可應用低溫CVD W填充,例如在約250℃到350℃、或約300℃之下。
沉積可依照各種實施例進行直到達成特定的特徵部輪廓及/或沉積特定量的鎢為止。在某些實施例中,沉積時間與其他相關參數可藉由模擬及/或試誤法(trial and error)加以決定。例如,對於其中鎢可被保形地沉積在特徵部內直到夾止為止之由裏朝外填充製程的初始沉積而言,可基於特徵部尺寸來簡單決定將達成夾止的鎢厚度以及對應沉積時間。在某些實施例中,處理腔室可裝設有各種感測器以執行沉積操作之終點偵測的原位計量量測。原位計量的範例包含用以判定所沉積之膜之厚度的光學顯微術以及X-射線螢光法(XRF,X-Ray Fluorescence)。
應理解本文所述之任何鎢膜,依據使用之特定的前驅物和製程,可包含若干量的其他化合物、摻雜劑及/或雜質(諸如氮、碳、氧、硼、磷、硫、矽、鍺等)。薄膜內的鎢含量範圍可從20%至100%(原子)的鎢。在許多的實施例中,薄膜富含鎢,其具有至少50%(原子)的鎢,或甚至至少約60%、75%、90%、或99%(原子)的鎢。在一些實施例中,薄膜可為金屬或鎢元素(W)與諸如碳化鎢(WC)、氮化鎢(WN)等的其他含鎢化合物的混合物。
這些材料的CVD、ALD、及低壓ALD沉積可包括使用任何適當的前驅物。例如,氮化鎢的CVD及ALD沉積可包括使用含鹵素及無鹵素之含鎢且含氮的化合物。含鈦層的CVD及ALD沉積可包括使用含鈦的前驅物以及一或更多共反應物(若適當),其中含鈦的前驅物之範例包括四(二甲胺基)鈦(TDMAT)、及氯化鈦(TiCl4。含鉭層的CVD及ALD沉積可包括使用如下前驅物以及一或更多共反應物(若適當):五-二甲胺基鉭(PDMAT)及TaF5。含鈷層的CVD及ALD沉積可包括使用如下前驅物以及一或更多共反應物:三(2,2,6,6-四甲基-3,5-庚二酮)鈷、雙(環戊二烯)鈷、二鈷六羰基丁基乙快。含鎳層的CVD及
ALD沉積可包括使用如下前驅物:環戊二烯基烯丙基鎳(CpAllylNi)及MeCp2Ni。共反應物之範例可包括:N2、NH3、N2H4、N2H6、SiH4、Si3H6、B2H6、H2、及AlCl3。本文所述之方法可用於各種薄膜之使用含氟前驅物的ALD。
任何合適的腔室可用以執行所揭露的實施例。例示性沉積裝置包括各種系統,例如可得自美國加州佛蒙特(Fremont,California)的蘭姆研究公司(Lam Research Corp.)的ALTUS®及ALTUS® Max、或任何各種其他商業可購得之處理系統。在一些實施例中,低壓ALD可於一站中執行,其係位於單一沉積腔室內之兩個、五個、或甚至更多個沉積站的其中一者。可使用在基板表面建立局部大氣的個別氣體供應系統,而將二硼烷及六氯化鎢交替地引到位於站中的半導體基板之表面。另一站可用於無氟的鎢沉積或CVD。二或更多站可用於以平行處理的方式來沉積鎢。替代地,晶圓可接著被轉移以經受在二或多個站上執行的各種操作。
圖8係適合執行根據本發明實施例之鎢薄膜沉積製程之處理系統的方塊圖。系統800包含傳送模組803。傳送模組803提供乾淨的加壓環境,以當被處理基板移動於各種反應器模組之間時,使被處理基板之污染的風險最小化。多站式反應器809安裝在傳送模組803上,反應器809可執行根據本發明實施例之ALD及CVD。在一些實施例中,多站式反應器809亦可用以執行低壓鎢ALD及/或鎢CVD。多站式反應器809可包含複數站811、813、815、和817,其可依序根據所揭露實施例執行操作。例如,多站式反應器809可經配置使得站811以ALD執行無氟成核層沉積,站813執行低壓ALD,而站815和817執行
CVD。站可包含加熱支座或基板支持器、一或更多氣體入口或噴淋頭或分散板。沉積站900的一範例描繪於圖9,包含晶圓支持器902和噴淋頭903。加熱器可設置在支座部分901之中。支座901可包括用以夾持晶圓的卡盤。在某些實施例中,可使用靜電或機械卡盤,而非使用真空卡盤以幫助提供低壓環境。透過排氣埠(未圖示)可將氣體從沉積站900中排出。
在傳送模組803上亦可安裝一或多個單一或多站式模組807,其可執行電漿或化學(非電漿)之預清潔。該模組亦可用於各種處理以(例如)預備基板進行沉積處理。系統800亦包含一個或多個晶圓來源模組801,晶圓在處理之前和之後儲存其中。大氣傳送腔室819中的大氣機器人(未圖示)首先從來源模組801中將晶圓移至負載鎖室821。傳送模組803內的晶圓傳送裝置(通常為機械手臂單元)將晶圓從負載鎖室821移動至安裝於傳送模組803上的模組且在該模組間移動晶圓。
在各種實施例中,在沉積期間使用系統控制器829以控制製程條件。控制器829通常包含一個或多個記憶裝置及一個或多個處理器。處理器可包含CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制面板等。
控制器829可控制沉積設備的所有活動。系統控制器829執行系統控制軟體,包含控制下述的指令集:時序、氣體混合、腔室壓力、腔室溫度、晶圓溫度、晶圓卡盤或支座位置、及特定製程的其他參數。在一些實施例中可使用儲存於與控制器829連接的記憶裝置的其他電腦軟體。
通常,存在與控制器829連接的使用者介面。該使用者介面可包括顯示螢幕、設備及/或製程條件的圖形化軟體顯示、及使用者輸入裝置諸如指向裝置、鍵盤、觸控螢幕、麥克風等。
可以任何適合的方式配置系統控制邏輯。通常,邏輯可被設計或配置於硬體及/或軟體中。控制驅動電路的指令可被硬編碼或被提供作為軟體。該指令可由「程式設計」提供。此程式設計係被理解為包括任何形式的邏輯,包含在數位訊號處理器、特殊應用積體電路、及其他具有實現為硬體之特定演算法之裝置中的硬編碼邏輯。程式設計亦係被理解為包含可在通用處理器上執行的軟體或韌體指令。系統控制軟體可以任何適合的電腦可讀程式設計語言編碼。
在製程序列中控制含鍺還原劑脈衝、氫流量、及含鎢前驅物脈衝、和其他製程的電腦程式碼可以任何習知電腦可讀程式設計語言寫入:例如,組合語言、C、C++、巴斯卡(Pascal)、福傳(Fortran)、或其他。編譯的目的碼或稿件係由處理器實行以執行在程式中所識別的任務。如所指出般,程式碼可被硬編碼。
控制器參數涉及製程條件,例如:處理氣體組成和流率、溫度、壓力、冷卻氣體壓力、基板溫度、及腔室壁溫度。這些參數係以配方的形式提供給使用者,且可利用使用者介面輸入。
監測製程的訊號可由系統控制器829的類比及/或數位輸入連接件提供。控制製程的訊號係輸出在系統800的類比和數位輸出連接件上。
系統軟體可以許多不同的方式設計或配置。例如,可寫入各種腔室元件的副程式(subroutine)或控制物件,以控制根據所揭露實施例執行沉積製程必須的腔室元件之操作。為了此目的之程式或程式部分的範例包含基板定位碼、處理氣體控制碼、壓力控制碼、及加熱器控制碼。
在一些實施例中,控制器829為系統的一部分,其可為上述例子的一部分。此等系統可包括半導體處理設備,包含一個以上處理工具、一個以上腔室、用於處理之一個以上平臺,及/或特定處理元件(晶圓支座、氣流系統等)。這些系統可與電子設備整合,該等電子設備用於在半導體晶圓或基板處理之前、期間、及之後控制這些系統的操作。電子設備可稱為「控制器」,其可控制該一個以上系統之各種不同的元件或子部分。依據系統的處理需求及/或類型,控制器829可被編程以控制本文揭露的任何製程,包括:處理氣體的輸送、溫度設定(例如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、流率設定、流體輸送設定、位置及操作設定、進出工具和其他傳送工具及/或與特定系統連接或介接的負載鎖室之晶圓傳送。
廣義地說,控制器可定義為電子設備,其具有用以接收指令、發送指令、控制操作、允許清洗操作、允許端點量測等之各種積體電路、邏輯、記憶體、及/或軟體。積體電路可包含儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如軟體)的一個或多個微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)的形式與控制器829通訊的指令,其定義用以在半導體晶圓上或對半導體晶圓或對系統執行特定製程的操作參數。在一些實施例中,該操作參數可為由製程工程師定義之配方的部分,以在一個或多個層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒的製造期間完成一個或多個製程步驟。
在一些實施例中,控制器829可為電腦的一部分或耦接至電腦,該電腦係與系統整合、連接,或以其他方式網路連至系統,或其組合。例如:控制
器829可為在「雲端」或晶圓廠主機電腦系統的整體或部分,可允許晶圓處理的遠端存取。該電腦可達成對系統的遠端存取以監測製造操作的當前進度、檢查過往製造操作的歷史、檢查來自複數個製造操作的趨勢或性能度量,以改變目前處理的參數、以設定目前操作之後的處理步驟、或啟動新的製程。在一些例子中,遠程電腦(例如:伺服器)可經由網路提供製程配方給系統,該網路可包括區域網路或網際網路。遠程電腦可包含使用者介面,其允許參數及/或設定的輸入或編程,這些參數及/或設定係接著從遠程電腦被傳遞至系統。在一些例子中,控制器接收資料形式的指令,該指令針對待於一個或多個操作期間執行之各個處理步驟而指定參數。應理解參數可特定於待執行之製程的類型、及工具的類型(控制器係配置成與該工具介接或控制該工具)。因此,如上所述,控制器可為分散式的,諸如藉由包含一個或多個分開的控制器,其由網路連在一起且朝共同的目標(諸如在此描述的製程和控制)作業。用於此目的之分散式控制器的一例子為腔室上的一個或多個積體電路,連通位於遠端(諸如在平台級或作為遠程電腦的一部分)的一個或多個積體電路,其結合以控制腔室中之製程。
例示性系統可包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、PVD腔室或模組、CVD腔室或模組、ALD腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植佈腔室或模組、徑跡腔室或模組、及任何其他使用於半導體晶圓的製造及/或生產中或與之相關的半導體處理系統,但不限於此。
如上所述,依據將由工具執行的一個以上製程步驟,控制器可與下述一或多者通訊:其他工具電路或模組、其他工具元件、群組工具、其他工具
介面、毗鄰工具、相鄰工具、位於工廠各處的工具、主電腦、另一個控制器、或用於材料傳送的工具,其將晶圓容器攜帶進出半導體生產工廠內的工具位置及/或負載埠。
控制器829可包括各種程式。基板定位程式可包含控制腔室元件的程式碼,用以裝載基板到支座或卡盤之上,及用以控制基板和腔室其他部分(諸如進氣口及/或目標物)之間的間距。處理氣體控制程式可包含程式碼,用於控制氣體組成和流率,及選擇性地用於在沉積之前流動氣體進入腔室以使腔室內的氣壓穩定。壓力控制程式可包含程式碼,用於藉由調節如腔室之排氣系統內的節流閥而控制腔室內的壓力。加熱器控制程式可包含程式碼,用於控制流至用以加熱基板的加熱單元的電流。替代地,該加熱器控制程式可控制諸如氦氣的熱傳氣體至晶圓卡盤的輸送。
於沉積期間可被監測之腔室感測器的例子包括:質流控制器,諸如壓力計的壓力感測器、及位於支座或卡盤的熱電偶。適當編程的反饋和控制演算法可與來自這些感測器的資料一起使用以維持期望的製程條件。
以上描述在單一或多腔室半導體處理工具內之所揭露實施例的實施方式。本文所述之設備和製程可結合微影圖案化的工具或製程一起使用,例如,用於半導體裝置、顯示器、LED、光伏面板等的製造或生產。一般而言(但非必要),此等工具/製程於共同的製造設施內一起使用或執行。薄膜的微影圖案化通常包含一些或全部下述步驟,每個步驟以若干可能的工具而提供:(1)塗佈光阻劑於工件(亦即基板)上,使用旋轉或噴塗工具;(2)固化光阻劑,使用加熱板或加熱爐或UV固化工具;(3)曝露光阻劑於可見光或UV或x射線光,使用諸如晶圓步進機的工具;(4)顯影光阻劑以選擇性地移除光阻劑及
從而使其圖案化,使用諸如溼檯的工具;(5)轉移光阻劑圖案至下方的膜或工件,藉由使用乾式或電漿輔助蝕刻工具;及(6)移除光阻劑,使用諸如RF或微波電漿光阻剝除器的工具。
Claims (19)
- 一種具有低氟含量之鎢膜之形成方法,包含下列步驟:將一基板暴露到含氟的鎢前驅物及還原劑的交替脈衝,而藉此在該基板上沉積一含鎢薄膜,其中在含氟的鎢前驅物的脈衝期間,容置該基板的一腔室的腔室壓力低於10托,且其中該含鎢薄膜中的總氟濃度低於1018原子/cm3。
- 如申請專利範圍第1項之具有低氟含量之鎢膜之形成方法,其中該腔室壓力低於7托。
- 如申請專利範圍第1項之具有低氟含量之鎢膜之形成方法,其中該腔室壓力低於5托。
- 如申請專利範圍第1項之具有低氟含量之鎢膜之形成方法,其中該含鎢薄膜為鎢成核層。
- 如申請專利範圍第1-4項中之任一者之具有低氟含量之鎢膜之形成方法,其中該含鎢薄膜係被沉積在第一含鎢薄膜上,而該第一含鎢薄膜係經由無氟製程所沉積。
- 如申請專利範圍第1-4項中之任一者之具有低氟含量之鎢膜之形成方法,其中該含鎢薄膜係被沉積在一阻障層上。
- 如申請專利範圍第1-4項中之任一者之具有低氟含量之鎢膜之形成方法,其中該還原劑係選自含矽還原劑、含硼還原劑、及含鍺還原劑。
- 如申請專利範圍第1-4項中之任一者之具有低氟含量之鎢膜之形成方法,其中該含氟的鎢前驅物的脈衝包括氫(H2)。
- 如申請專利範圍第1-4項中之任一者之具有低氟含量之鎢膜之形成方法,其中該含鎢薄膜的電阻係數低於130μΩ/cm。
- 如申請專利範圍第1-4項中之任一者之具有低氟含量之鎢膜之形成方法,其中該含鎢薄膜的電阻係數低於110μΩ/cm。
- 如申請專利範圍第1-4項中之任一者之具有低氟含量之鎢膜之形成方法,更包含下列步驟:透過化學氣相沉積(CVD)在該含鎢薄膜上沉積一鎢主體層。
- 一種具有低氟含量之鎢膜之形成方法,包含下列步驟:透過使用鎢氯化物前驅物的無氟製程在一基板上沉積第一含鎢薄膜;並且使用含氟前驅物並透過原子層沉積在該第一含鎢薄膜上沉積第二含鎢薄膜,其中在該第二含鎢薄膜的沉積期間,容置該基板的一腔室的腔室壓力低於10托。
- 如申請專利範圍第12項之具有低氟含量之鎢膜之形成方法,其中該第一含鎢薄膜係被沉積在一阻障層上。
- 如申請專利範圍第12項之具有低氟含量之鎢膜之形成方法,其中該第一含鎢薄膜係被沉積在矽氧化物上。
- 如申請專利範圍第12或13項中之任一者之具有低氟含量之鎢膜之形成方法,其中該腔室壓力低於7托。
- 如申請專利範圍第12或13項中之任一者之具有低氟含量之鎢膜之形成方法,其中該腔室壓力低於5托。
- 如申請專利範圍第12或13項中之任一者之具有低氟含量之鎢膜之形成方法,其中該第二含鎢薄膜中的氟濃度低於1019原子/cm3。
- 如申請專利範圍第12或13項中之任一者之具有低氟含量之鎢膜之形成方法,其中該第二含鎢薄膜中的氟濃度低於1018原子/cm3。
- 一種用以處理基板的設備,該設備包含:(a)至少一處理腔室,包含配置以支撐一基板的一支座;(b)至少一出口,用於耦接至真空;(c)一或多個處理氣體入口,耦接至一或多個處理氣體來源;及(d)一控制器,用於控制該設備內的操作,包含用於下述之機器可讀指令:以交替脈衝的方式將還原劑與含氟的鎢前驅物引導至該處理腔室,其中腔室壓力低於10托以沉積一含鎢薄膜,其中該含鎢薄膜中的總氟濃度低於1018原子/cm3。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/723,275 US9754824B2 (en) | 2015-05-27 | 2015-05-27 | Tungsten films having low fluorine content |
US14/723,275 | 2015-05-27 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201710543A TW201710543A (zh) | 2017-03-16 |
TWI709656B true TWI709656B (zh) | 2020-11-11 |
Family
ID=57398986
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW105116363A TWI709656B (zh) | 2015-05-27 | 2016-05-26 | 具有低氟含量之鎢膜 |
Country Status (4)
Country | Link |
---|---|
US (1) | US9754824B2 (zh) |
JP (1) | JP6971539B2 (zh) |
KR (1) | KR102678471B1 (zh) |
TW (1) | TWI709656B (zh) |
Families Citing this family (30)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI602283B (zh) | 2012-03-27 | 2017-10-11 | 諾發系統有限公司 | 鎢特徵部塡充 |
US9969622B2 (en) | 2012-07-26 | 2018-05-15 | Lam Research Corporation | Ternary tungsten boride nitride films and methods for forming same |
US9953984B2 (en) | 2015-02-11 | 2018-04-24 | Lam Research Corporation | Tungsten for wordline applications |
US9754824B2 (en) | 2015-05-27 | 2017-09-05 | Lam Research Corporation | Tungsten films having low fluorine content |
US9978605B2 (en) | 2015-05-27 | 2018-05-22 | Lam Research Corporation | Method of forming low resistivity fluorine free tungsten film without nucleation |
US9793139B2 (en) * | 2015-10-29 | 2017-10-17 | Sandisk Technologies Llc | Robust nucleation layers for enhanced fluorine protection and stress reduction in 3D NAND word lines |
JP6710089B2 (ja) * | 2016-04-04 | 2020-06-17 | 東京エレクトロン株式会社 | タングステン膜の成膜方法 |
US10573522B2 (en) | 2016-08-16 | 2020-02-25 | Lam Research Corporation | Method for preventing line bending during metal fill process |
US10283404B2 (en) * | 2017-03-30 | 2019-05-07 | Lam Research Corporation | Selective deposition of WCN barrier/adhesion layer for interconnect |
KR102331573B1 (ko) | 2017-03-31 | 2021-11-25 | 가부시키가이샤 코쿠사이 엘렉트릭 | 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체 |
KR102572271B1 (ko) | 2017-04-10 | 2023-08-28 | 램 리써치 코포레이션 | 몰리브덴을 함유하는 저 저항률 막들 |
US10460987B2 (en) * | 2017-05-09 | 2019-10-29 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor package device with integrated antenna and manufacturing method thereof |
SG11202001268TA (en) * | 2017-08-14 | 2020-03-30 | Lam Res Corp | Metal fill process for three-dimensional vertical nand wordline |
JP7018748B2 (ja) * | 2017-11-28 | 2022-02-14 | 東京エレクトロン株式会社 | 成膜方法及び成膜条件の算出方法 |
US10665685B2 (en) | 2017-11-30 | 2020-05-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and fabrication method thereof |
TWI713961B (zh) * | 2018-01-15 | 2020-12-21 | 美商應用材料股份有限公司 | 針對碳化鎢膜改善附著及缺陷之技術 |
JP2021522411A (ja) | 2018-04-24 | 2021-08-30 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | バリア層なしのタングステン堆積物 |
KR20200140391A (ko) | 2018-05-03 | 2020-12-15 | 램 리써치 코포레이션 | 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법 |
TWI743476B (zh) * | 2018-05-04 | 2021-10-21 | 美商應用材料股份有限公司 | 金屬膜沉積 |
KR102513403B1 (ko) | 2018-07-30 | 2023-03-24 | 주식회사 원익아이피에스 | 텅스텐 증착 방법 |
JP2022509621A (ja) * | 2018-11-19 | 2022-01-21 | ラム リサーチ コーポレーション | タングステン用モリブデンテンプレート |
CN113424300A (zh) | 2018-12-14 | 2021-09-21 | 朗姆研究公司 | 在3d nand结构上的原子层沉积 |
SG11202108217UA (en) | 2019-01-28 | 2021-08-30 | Lam Res Corp | Deposition of metal films |
US11821071B2 (en) | 2019-03-11 | 2023-11-21 | Lam Research Corporation | Precursors for deposition of molybdenum-containing films |
KR20210141762A (ko) | 2019-04-11 | 2021-11-23 | 램 리써치 코포레이션 | 고 단차 커버리지 (step coverage) 텅스텐 증착 |
KR20220047333A (ko) | 2019-08-12 | 2022-04-15 | 램 리써치 코포레이션 | 텅스텐 증착 |
JP7295749B2 (ja) | 2019-09-13 | 2023-06-21 | キオクシア株式会社 | 半導体装置の製造方法 |
US11776980B2 (en) * | 2020-03-13 | 2023-10-03 | Applied Materials, Inc. | Methods for reflector film growth |
JP2023026869A (ja) * | 2021-08-16 | 2023-03-01 | キオクシア株式会社 | 半導体記憶装置及び半導体記憶装置の製造方法 |
JP2024536376A (ja) * | 2021-10-05 | 2024-10-04 | アプライド マテリアルズ インコーポレイテッド | 低抵抗率のタングステン特徴を形成するための方法 |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW567544B (en) * | 2001-07-16 | 2003-12-21 | Applied Materials Inc | Method and apparatus for depositing tungsten after surface treatment to improve film characteristics |
TW200710968A (en) * | 2005-07-01 | 2007-03-16 | Tokyo Electron Ltd | Method for depositing tungsten film, film deposition system, storage medium, and semiconductor device |
TW201409697A (zh) * | 2012-08-31 | 2014-03-01 | Sk Hynix Inc | 具有鎢閘極電極的半導體裝置及其製造方法 |
TW201519317A (zh) * | 2013-07-25 | 2015-05-16 | Lam Res Corp | 於不同尺寸特徵部內之無空穴鎢塡充物 |
Family Cites Families (240)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
FI117944B (fi) | 1999-10-15 | 2007-04-30 | Asm Int | Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi |
JPS5629648A (en) | 1979-08-16 | 1981-03-25 | Toshiba Tungaloy Co Ltd | High hardness sintered body |
JPS62216224A (ja) | 1986-03-17 | 1987-09-22 | Fujitsu Ltd | タングステンの選択成長方法 |
JPS62260340A (ja) | 1986-05-06 | 1987-11-12 | Toshiba Corp | 半導体装置の製造方法 |
US4746375A (en) | 1987-05-08 | 1988-05-24 | General Electric Company | Activation of refractory metal surfaces for electroless plating |
US4962063A (en) | 1988-11-10 | 1990-10-09 | Applied Materials, Inc. | Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing |
JPH02187031A (ja) | 1989-01-14 | 1990-07-23 | Sharp Corp | 半導体装置 |
US5250329A (en) | 1989-04-06 | 1993-10-05 | Microelectronics And Computer Technology Corporation | Method of depositing conductive lines on a dielectric |
GB8907898D0 (en) | 1989-04-07 | 1989-05-24 | Inmos Ltd | Semiconductor devices and fabrication thereof |
US5028565A (en) | 1989-08-25 | 1991-07-02 | Applied Materials, Inc. | Process for CVD deposition of tungsten layer on semiconductor wafer |
EP1069611A2 (en) | 1990-01-08 | 2001-01-17 | Lsi Logic Corporation | Method and apparatus for forming a conductive via comprising a refractory metal |
KR100209856B1 (ko) | 1990-08-31 | 1999-07-15 | 가나이 쓰도무 | 반도체장치의 제조방법 |
JPH04142061A (ja) | 1990-10-02 | 1992-05-15 | Sony Corp | タングステンプラグの形成方法 |
US5250467A (en) | 1991-03-29 | 1993-10-05 | Applied Materials, Inc. | Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer |
US5308655A (en) | 1991-08-16 | 1994-05-03 | Materials Research Corporation | Processing for forming low resistivity titanium nitride films |
US5567583A (en) | 1991-12-16 | 1996-10-22 | Biotronics Corporation | Methods for reducing non-specific priming in DNA detection |
JPH05226280A (ja) | 1992-02-14 | 1993-09-03 | Matsushita Electric Ind Co Ltd | 半導体装置の製造方法 |
CA2067565C (en) | 1992-04-29 | 1999-02-16 | Ismail T. Emesh | Deposition of tungsten |
US5370739A (en) | 1992-06-15 | 1994-12-06 | Materials Research Corporation | Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD |
US5326723A (en) | 1992-09-09 | 1994-07-05 | Intel Corporation | Method for improving stability of tungsten chemical vapor deposition |
KR950012738B1 (ko) | 1992-12-10 | 1995-10-20 | 현대전자산업주식회사 | 반도체소자의 텅스텐 콘택 플러그 제조방법 |
JP3014019B2 (ja) | 1993-11-26 | 2000-02-28 | 日本電気株式会社 | 半導体装置の製造方法 |
KR970009867B1 (ko) | 1993-12-17 | 1997-06-18 | 현대전자산업 주식회사 | 반도체 소자의 텅스텐 실리사이드 형성방법 |
JP3291889B2 (ja) | 1994-02-15 | 2002-06-17 | ソニー株式会社 | ドライエッチング方法 |
DE69518710T2 (de) | 1994-09-27 | 2001-05-23 | Applied Materials Inc | Verfahren zum Behandeln eines Substrats in einer Vakuumbehandlungskammer |
JPH08115984A (ja) | 1994-10-17 | 1996-05-07 | Hitachi Ltd | 半導体装置及びその製造方法 |
US6001729A (en) | 1995-01-10 | 1999-12-14 | Kawasaki Steel Corporation | Method of forming wiring structure for semiconductor device |
JP2737764B2 (ja) | 1995-03-03 | 1998-04-08 | 日本電気株式会社 | 半導体装置及びその製造方法 |
JPH0922896A (ja) | 1995-07-07 | 1997-01-21 | Toshiba Corp | 金属膜の選択的形成方法 |
JPH0927596A (ja) | 1995-07-11 | 1997-01-28 | Sanyo Electric Co Ltd | 半導体装置の製造方法 |
US5863819A (en) | 1995-10-25 | 1999-01-26 | Micron Technology, Inc. | Method of fabricating a DRAM access transistor with dual gate oxide technique |
TW310461B (zh) | 1995-11-10 | 1997-07-11 | Matsushita Electric Ind Co Ltd | |
US6017818A (en) | 1996-01-22 | 2000-01-25 | Texas Instruments Incorporated | Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density |
US5833817A (en) | 1996-04-22 | 1998-11-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for improving conformity and contact bottom coverage of sputtered titanium nitride barrier layers |
US5633200A (en) | 1996-05-24 | 1997-05-27 | Micron Technology, Inc. | Process for manufacturing a large grain tungsten nitride film and process for manufacturing a lightly nitrided titanium salicide diffusion barrier with a large grain tungsten nitride cover layer |
US5963833A (en) | 1996-07-03 | 1999-10-05 | Micron Technology, Inc. | Method for cleaning semiconductor wafers and |
US5916365A (en) | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
US5916634A (en) | 1996-10-01 | 1999-06-29 | Sandia Corporation | Chemical vapor deposition of W-Si-N and W-B-N |
KR100214852B1 (ko) | 1996-11-02 | 1999-08-02 | 김영환 | 반도체 디바이스의 금속 배선 형성 방법 |
US6310300B1 (en) | 1996-11-08 | 2001-10-30 | International Business Machines Corporation | Fluorine-free barrier layer between conductor and insulator for degradation prevention |
KR100255516B1 (ko) | 1996-11-28 | 2000-05-01 | 김영환 | 반도체 장치의 금속배선 및 그 형성방법 |
US6297152B1 (en) | 1996-12-12 | 2001-10-02 | Applied Materials, Inc. | CVD process for DCS-based tungsten silicide |
JP3090074B2 (ja) | 1997-01-20 | 2000-09-18 | 日本電気株式会社 | 半導体装置及びその製造方法 |
US5804249A (en) | 1997-02-07 | 1998-09-08 | Lsi Logic Corporation | Multistep tungsten CVD process with amorphization step |
US6156382A (en) | 1997-05-16 | 2000-12-05 | Applied Materials, Inc. | Chemical vapor deposition process for depositing tungsten |
US6037248A (en) | 1997-06-13 | 2000-03-14 | Micron Technology, Inc. | Method of fabricating integrated circuit wiring with low RC time delay |
US6287965B1 (en) | 1997-07-28 | 2001-09-11 | Samsung Electronics Co, Ltd. | Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor |
US5956609A (en) | 1997-08-11 | 1999-09-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for reducing stress and improving step-coverage of tungsten interconnects and plugs |
US5795824A (en) | 1997-08-28 | 1998-08-18 | Novellus Systems, Inc. | Method for nucleation of CVD tungsten films |
US5913145A (en) | 1997-08-28 | 1999-06-15 | Texas Instruments Incorporated | Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures |
US5926720A (en) | 1997-09-08 | 1999-07-20 | Lsi Logic Corporation | Consistent alignment mark profiles on semiconductor wafers using PVD shadowing |
US7829144B2 (en) | 1997-11-05 | 2010-11-09 | Tokyo Electron Limited | Method of forming a metal film for electrode |
US6861356B2 (en) | 1997-11-05 | 2005-03-01 | Tokyo Electron Limited | Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film |
US6099904A (en) | 1997-12-02 | 2000-08-08 | Applied Materials, Inc. | Low resistivity W using B2 H6 nucleation step |
US6284316B1 (en) | 1998-02-25 | 2001-09-04 | Micron Technology, Inc. | Chemical vapor deposition of titanium |
JPH11260759A (ja) | 1998-03-12 | 1999-09-24 | Fujitsu Ltd | 半導体装置の製造方法 |
US6452276B1 (en) | 1998-04-30 | 2002-09-17 | International Business Machines Corporation | Ultra thin, single phase, diffusion barrier for metal conductors |
US6066366A (en) | 1998-07-22 | 2000-05-23 | Applied Materials, Inc. | Method for depositing uniform tungsten layers by CVD |
US6143082A (en) | 1998-10-08 | 2000-11-07 | Novellus Systems, Inc. | Isolation of incompatible processes in a multi-station processing chamber |
KR100273767B1 (ko) | 1998-10-28 | 2001-01-15 | 윤종용 | 반도체소자의 텅스텐막 제조방법 및 그에 따라 제조되는 반도체소자 |
US6037263A (en) | 1998-11-05 | 2000-03-14 | Vanguard International Semiconductor Corporation | Plasma enhanced CVD deposition of tungsten and tungsten compounds |
US6331483B1 (en) | 1998-12-18 | 2001-12-18 | Tokyo Electron Limited | Method of film-forming of tungsten |
KR100296126B1 (ko) | 1998-12-22 | 2001-08-07 | 박종섭 | 고집적 메모리 소자의 게이트전극 형성방법 |
US20010014533A1 (en) | 1999-01-08 | 2001-08-16 | Shih-Wei Sun | Method of fabricating salicide |
JP3206578B2 (ja) | 1999-01-11 | 2001-09-10 | 日本電気株式会社 | 多層配線構造をもつ半導体装置の製造方法 |
JP4570704B2 (ja) | 1999-02-17 | 2010-10-27 | 株式会社アルバック | バリア膜製造方法 |
US6306211B1 (en) | 1999-03-23 | 2001-10-23 | Matsushita Electric Industrial Co., Ltd. | Method for growing semiconductor film and method for fabricating semiconductor device |
TW452607B (en) | 1999-03-26 | 2001-09-01 | Nat Science Council | Production of a refractory metal by chemical vapor deposition of a bilayer-stacked tungsten metal |
US6245654B1 (en) | 1999-03-31 | 2001-06-12 | Taiwan Semiconductor Manufacturing Company, Ltd | Method for preventing tungsten contact/via plug loss after a backside pressure fault |
US6294468B1 (en) | 1999-05-24 | 2001-09-25 | Agere Systems Guardian Corp. | Method of chemical vapor depositing tungsten films |
US6720261B1 (en) | 1999-06-02 | 2004-04-13 | Agere Systems Inc. | Method and system for eliminating extrusions in semiconductor vias |
US6174812B1 (en) | 1999-06-08 | 2001-01-16 | United Microelectronics Corp. | Copper damascene technology for ultra large scale integration circuits |
US6355558B1 (en) | 1999-06-10 | 2002-03-12 | Texas Instruments Incorporated | Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films |
US6309964B1 (en) | 1999-07-08 | 2001-10-30 | Taiwan Semiconductor Manufacturing Company | Method for forming a copper damascene structure over tungsten plugs with improved adhesion, oxidation resistance, and diffusion barrier properties using nitridation of the tungsten plug |
US6265312B1 (en) | 1999-08-02 | 2001-07-24 | Stmicroelectronics, Inc. | Method for depositing an integrated circuit tungsten film stack that includes a post-nucleation pump down step |
US6391785B1 (en) | 1999-08-24 | 2002-05-21 | Interuniversitair Microelektronica Centrum (Imec) | Method for bottomless deposition of barrier layers in integrated circuit metallization schemes |
US6309966B1 (en) | 1999-09-03 | 2001-10-30 | Motorola, Inc. | Apparatus and method of a low pressure, two-step nucleation tungsten deposition |
US6303480B1 (en) | 1999-09-13 | 2001-10-16 | Applied Materials, Inc. | Silicon layer to improve plug filling by CVD |
US6610151B1 (en) | 1999-10-02 | 2003-08-26 | Uri Cohen | Seed layers for interconnects and methods and apparatus for their fabrication |
US6924226B2 (en) | 1999-10-02 | 2005-08-02 | Uri Cohen | Methods for making multiple seed layers for metallic interconnects |
WO2001029893A1 (en) | 1999-10-15 | 2001-04-26 | Asm America, Inc. | Method for depositing nanolaminate thin films on sensitive surfaces |
KR100330163B1 (ko) | 2000-01-06 | 2002-03-28 | 윤종용 | 반도체 장치의 텅스텐 콘택 플러그 형성 방법 |
US6277744B1 (en) | 2000-01-21 | 2001-08-21 | Advanced Micro Devices, Inc. | Two-level silane nucleation for blanket tungsten deposition |
US6777331B2 (en) | 2000-03-07 | 2004-08-17 | Simplus Systems Corporation | Multilayered copper structure for improving adhesion property |
US6429126B1 (en) | 2000-03-29 | 2002-08-06 | Applied Materials, Inc. | Reduced fluorine contamination for tungsten CVD |
WO2001089017A1 (en) | 2000-05-18 | 2001-11-22 | Corning Incorporated | High performance solid electrolyte fuel cells |
JP3651360B2 (ja) | 2000-05-19 | 2005-05-25 | 株式会社村田製作所 | 電極膜の形成方法 |
US7253076B1 (en) | 2000-06-08 | 2007-08-07 | Micron Technologies, Inc. | Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers |
JP2002016066A (ja) | 2000-06-27 | 2002-01-18 | Mitsubishi Electric Corp | 半導体装置およびその製造方法 |
US6620723B1 (en) | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US7405158B2 (en) | 2000-06-28 | 2008-07-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US7101795B1 (en) | 2000-06-28 | 2006-09-05 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US7964505B2 (en) | 2005-01-19 | 2011-06-21 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
US7732327B2 (en) | 2000-06-28 | 2010-06-08 | Applied Materials, Inc. | Vapor deposition of tungsten materials |
US6551929B1 (en) | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
US6491978B1 (en) | 2000-07-10 | 2002-12-10 | Applied Materials, Inc. | Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors |
US6218301B1 (en) | 2000-07-31 | 2001-04-17 | Applied Materials, Inc. | Deposition of tungsten films from W(CO)6 |
US6740591B1 (en) | 2000-11-16 | 2004-05-25 | Intel Corporation | Slurry and method for chemical mechanical polishing of copper |
CN100446218C (zh) | 2000-11-17 | 2008-12-24 | 东京毅力科创株式会社 | 金属膜的形成方法和钨膜的形成方法 |
KR100375230B1 (ko) | 2000-12-20 | 2003-03-08 | 삼성전자주식회사 | 매끄러운 텅스텐 표면을 갖는 반도체 장치의 배선 제조방법 |
US6908848B2 (en) | 2000-12-20 | 2005-06-21 | Samsung Electronics, Co., Ltd. | Method for forming an electrical interconnection providing improved surface morphology of tungsten |
KR100399417B1 (ko) | 2001-01-08 | 2003-09-26 | 삼성전자주식회사 | 반도체 집적 회로의 제조 방법 |
US20020117399A1 (en) | 2001-02-23 | 2002-08-29 | Applied Materials, Inc. | Atomically thin highly resistive barrier layer in a copper via |
KR20020072996A (ko) | 2001-03-14 | 2002-09-19 | 주성엔지니어링(주) | 금속 플러그 형성방법 |
US20020190379A1 (en) | 2001-03-28 | 2002-12-19 | Applied Materials, Inc. | W-CVD with fluorine-free tungsten nucleation |
US20020168840A1 (en) | 2001-05-11 | 2002-11-14 | Applied Materials, Inc. | Deposition of tungsten silicide films |
US7262125B2 (en) | 2001-05-22 | 2007-08-28 | Novellus Systems, Inc. | Method of forming low-resistivity tungsten interconnects |
US9076843B2 (en) | 2001-05-22 | 2015-07-07 | Novellus Systems, Inc. | Method for producing ultra-thin tungsten layers with improved step coverage |
US7955972B2 (en) | 2001-05-22 | 2011-06-07 | Novellus Systems, Inc. | Methods for growing low-resistivity tungsten for high aspect ratio and small features |
US6635965B1 (en) | 2001-05-22 | 2003-10-21 | Novellus Systems, Inc. | Method for producing ultra-thin tungsten layers with improved step coverage |
US7005372B2 (en) | 2003-01-21 | 2006-02-28 | Novellus Systems, Inc. | Deposition of tungsten nitride |
US7141494B2 (en) | 2001-05-22 | 2006-11-28 | Novellus Systems, Inc. | Method for reducing tungsten film roughness and improving step coverage |
US7589017B2 (en) | 2001-05-22 | 2009-09-15 | Novellus Systems, Inc. | Methods for growing low-resistivity tungsten film |
US6686278B2 (en) | 2001-06-19 | 2004-02-03 | United Microelectronics Corp. | Method for forming a plug metal layer |
US7211144B2 (en) | 2001-07-13 | 2007-05-01 | Applied Materials, Inc. | Pulsed nucleation deposition of tungsten layers |
JP2005518088A (ja) | 2001-07-16 | 2005-06-16 | アプライド マテリアルズ インコーポレイテッド | タングステン複合膜の形成 |
JP2005504885A (ja) | 2001-07-25 | 2005-02-17 | アプライド マテリアルズ インコーポレイテッド | 新規なスパッタ堆積方法を使用したバリア形成 |
US20030029715A1 (en) | 2001-07-25 | 2003-02-13 | Applied Materials, Inc. | An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems |
JP4032872B2 (ja) | 2001-08-14 | 2008-01-16 | 東京エレクトロン株式会社 | タングステン膜の形成方法 |
JP4595989B2 (ja) | 2001-08-24 | 2010-12-08 | 東京エレクトロン株式会社 | 成膜方法 |
US6607976B2 (en) | 2001-09-25 | 2003-08-19 | Applied Materials, Inc. | Copper interconnect barrier layer structure and formation method |
TW589684B (en) | 2001-10-10 | 2004-06-01 | Applied Materials Inc | Method for depositing refractory metal layers employing sequential deposition techniques |
JP2003142484A (ja) | 2001-10-31 | 2003-05-16 | Mitsubishi Electric Corp | 半導体装置の製造方法 |
US6566262B1 (en) | 2001-11-01 | 2003-05-20 | Lsi Logic Corporation | Method for creating self-aligned alloy capping layers for copper interconnect structures |
US20030091739A1 (en) | 2001-11-14 | 2003-05-15 | Hitoshi Sakamoto | Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus |
US20030091870A1 (en) | 2001-11-15 | 2003-05-15 | Siddhartha Bhowmik | Method of forming a liner for tungsten plugs |
US20030123216A1 (en) | 2001-12-27 | 2003-07-03 | Yoon Hyungsuk A. | Deposition of tungsten for the formation of conformal tungsten silicide |
US6998014B2 (en) | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US6833161B2 (en) | 2002-02-26 | 2004-12-21 | Applied Materials, Inc. | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US6566250B1 (en) | 2002-03-18 | 2003-05-20 | Taiwant Semiconductor Manufacturing Co., Ltd | Method for forming a self aligned capping layer |
US6797620B2 (en) | 2002-04-16 | 2004-09-28 | Applied Materials, Inc. | Method and apparatus for improved electroplating fill of an aperture |
KR100446300B1 (ko) | 2002-05-30 | 2004-08-30 | 삼성전자주식회사 | 반도체 소자의 금속 배선 형성 방법 |
US20030224217A1 (en) | 2002-05-31 | 2003-12-04 | Applied Materials, Inc. | Metal nitride formation |
US6905543B1 (en) | 2002-06-19 | 2005-06-14 | Novellus Systems, Inc | Methods of forming tungsten nucleation layer |
TWI287559B (en) | 2002-08-22 | 2007-10-01 | Konica Corp | Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film |
US6706625B1 (en) | 2002-12-06 | 2004-03-16 | Chartered Semiconductor Manufacturing Ltd. | Copper recess formation using chemical process for fabricating barrier cap for lines and vias |
US6962873B1 (en) | 2002-12-10 | 2005-11-08 | Novellus Systems, Inc. | Nitridation of electrolessly deposited cobalt |
AU2003304283B2 (en) | 2002-12-23 | 2009-10-22 | Applied Thin Films, Inc. | Aluminum phosphate coatings |
CN1732287A (zh) | 2002-12-27 | 2006-02-08 | 株式会社爱发科 | 氮化钨膜的成膜方法 |
JP2004235456A (ja) | 2003-01-30 | 2004-08-19 | Seiko Epson Corp | 成膜装置、成膜方法および半導体装置の製造方法 |
US7713592B2 (en) | 2003-02-04 | 2010-05-11 | Tegal Corporation | Nanolayer deposition process |
JP3956049B2 (ja) | 2003-03-07 | 2007-08-08 | 東京エレクトロン株式会社 | タングステン膜の形成方法 |
US6844258B1 (en) | 2003-05-09 | 2005-01-18 | Novellus Systems, Inc. | Selective refractory metal and nitride capping |
WO2004113585A2 (en) | 2003-06-18 | 2004-12-29 | Applied Materials, Inc. | Atomic layer deposition of barrier materials |
JP2005029821A (ja) | 2003-07-09 | 2005-02-03 | Tokyo Electron Ltd | 成膜方法 |
US7754604B2 (en) | 2003-08-26 | 2010-07-13 | Novellus Systems, Inc. | Reducing silicon attack and improving resistivity of tungsten nitride film |
JP4606006B2 (ja) | 2003-09-11 | 2011-01-05 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US7078341B2 (en) | 2003-09-30 | 2006-07-18 | Tokyo Electron Limited | Method of depositing metal layers from metal-carbonyl precursors |
US6924223B2 (en) | 2003-09-30 | 2005-08-02 | Tokyo Electron Limited | Method of forming a metal layer using an intermittent precursor gas flow process |
KR100557626B1 (ko) | 2003-12-23 | 2006-03-10 | 주식회사 하이닉스반도체 | 반도체 소자의 비트라인 형성 방법 |
US20050139838A1 (en) | 2003-12-26 | 2005-06-30 | Matsushita Electric Industrial Co., Ltd. | Semiconductor device and method for manufacturing semiconductor device |
KR101108304B1 (ko) | 2004-02-26 | 2012-01-25 | 노벨러스 시스템즈, 인코포레이티드 | 질화 텅스텐의 증착 |
KR101178743B1 (ko) | 2004-04-12 | 2012-09-07 | 가부시키가이샤 알박 | 배리어막의 형성 방법, 및 전극막의 형성 방법 |
EP1741119B1 (en) | 2004-04-21 | 2019-04-03 | Lumileds Holding B.V. | Method for the thermal treatment of tungsten electrodes free from thorium oxide for high-pressure discharge lamps |
US7605469B2 (en) | 2004-06-30 | 2009-10-20 | Intel Corporation | Atomic layer deposited tantalum containing adhesion layer |
US7429402B2 (en) | 2004-12-10 | 2008-09-30 | Applied Materials, Inc. | Ruthenium as an underlayer for tungsten film deposition |
US20060145190A1 (en) | 2004-12-31 | 2006-07-06 | Salzman David B | Surface passivation for III-V compound semiconductors |
KR100642750B1 (ko) | 2005-01-31 | 2006-11-10 | 삼성전자주식회사 | 반도체 소자 및 그 제조 방법 |
US7344983B2 (en) | 2005-03-18 | 2008-03-18 | International Business Machines Corporation | Clustered surface preparation for silicide and metal contacts |
US7220671B2 (en) | 2005-03-31 | 2007-05-22 | Intel Corporation | Organometallic precursors for the chemical phase deposition of metal films in interconnect applications |
JP4738178B2 (ja) | 2005-06-17 | 2011-08-03 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
JP4864368B2 (ja) | 2005-07-21 | 2012-02-01 | シャープ株式会社 | 気相堆積方法 |
US7517798B2 (en) | 2005-09-01 | 2009-04-14 | Micron Technology, Inc. | Methods for forming through-wafer interconnects and structures resulting therefrom |
US7235485B2 (en) | 2005-10-14 | 2007-06-26 | Samsung Electronics Co., Ltd. | Method of manufacturing semiconductor device |
US8993055B2 (en) | 2005-10-27 | 2015-03-31 | Asm International N.V. | Enhanced thin film deposition |
US7524765B2 (en) | 2005-11-02 | 2009-04-28 | Intel Corporation | Direct tailoring of the composition and density of ALD films |
US7276796B1 (en) | 2006-03-15 | 2007-10-02 | International Business Machines Corporation | Formation of oxidation-resistant seed layer for interconnect applications |
JP2007250907A (ja) | 2006-03-16 | 2007-09-27 | Renesas Technology Corp | 半導体装置およびその製造方法 |
US8258057B2 (en) | 2006-03-30 | 2012-09-04 | Intel Corporation | Copper-filled trench contact for transistor performance improvement |
TW200746268A (en) | 2006-04-11 | 2007-12-16 | Applied Materials Inc | Process for forming cobalt-containing materials |
US7557047B2 (en) | 2006-06-09 | 2009-07-07 | Micron Technology, Inc. | Method of forming a layer of material using an atomic layer deposition process |
KR100884339B1 (ko) | 2006-06-29 | 2009-02-18 | 주식회사 하이닉스반도체 | 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법 |
US7355254B2 (en) | 2006-06-30 | 2008-04-08 | Intel Corporation | Pinning layer for low resistivity N-type source drain ohmic contacts |
KR100705936B1 (ko) | 2006-06-30 | 2007-04-13 | 주식회사 하이닉스반도체 | 반도체 소자의 비트라인 형성방법 |
US8153831B2 (en) | 2006-09-28 | 2012-04-10 | Praxair Technology, Inc. | Organometallic compounds, processes for the preparation thereof and methods of use thereof |
KR100894769B1 (ko) | 2006-09-29 | 2009-04-24 | 주식회사 하이닉스반도체 | 반도체 소자의 금속 배선 형성방법 |
KR100881391B1 (ko) * | 2006-09-29 | 2009-02-05 | 주식회사 하이닉스반도체 | 반도체 소자의 게이트 형성방법 |
KR20080036679A (ko) | 2006-10-24 | 2008-04-29 | 삼성전자주식회사 | 불 휘발성 메모리 소자의 형성 방법 |
US7675119B2 (en) | 2006-12-25 | 2010-03-09 | Elpida Memory, Inc. | Semiconductor device and manufacturing method thereof |
US20080174021A1 (en) | 2007-01-18 | 2008-07-24 | Samsung Electronics Co., Ltd. | Semiconductor devices having metal interconnections, semiconductor cluster tools used in fabrication thereof and methods of fabricating the same |
US20080254619A1 (en) | 2007-04-14 | 2008-10-16 | Tsang-Jung Lin | Method of fabricating a semiconductor device |
CN101308794B (zh) | 2007-05-15 | 2010-09-15 | 应用材料股份有限公司 | 钨材料的原子层沉积 |
JP2008288289A (ja) | 2007-05-16 | 2008-11-27 | Oki Electric Ind Co Ltd | 電界効果トランジスタとその製造方法 |
US7655567B1 (en) | 2007-07-24 | 2010-02-02 | Novellus Systems, Inc. | Methods for improving uniformity and resistivity of thin tungsten films |
KR101225642B1 (ko) | 2007-11-15 | 2013-01-24 | 삼성전자주식회사 | H2 원격 플라즈마 처리를 이용한 반도체 소자의 콘택플러그 형성방법 |
WO2009067381A1 (en) | 2007-11-21 | 2009-05-28 | Lam Research Corporation | Method of controlling etch microloading for a tungsten-containing layer |
US8080324B2 (en) | 2007-12-03 | 2011-12-20 | Kobe Steel, Ltd. | Hard coating excellent in sliding property and method for forming same |
US7772114B2 (en) | 2007-12-05 | 2010-08-10 | Novellus Systems, Inc. | Method for improving uniformity and adhesion of low resistivity tungsten film |
US8053365B2 (en) | 2007-12-21 | 2011-11-08 | Novellus Systems, Inc. | Methods for forming all tungsten contacts and lines |
US8062977B1 (en) | 2008-01-31 | 2011-11-22 | Novellus Systems, Inc. | Ternary tungsten-containing resistive thin films |
KR101163825B1 (ko) | 2008-03-28 | 2012-07-09 | 도쿄엘렉트론가부시키가이샤 | 정전척 및 그 제조 방법 |
US8058170B2 (en) | 2008-06-12 | 2011-11-15 | Novellus Systems, Inc. | Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics |
US8385644B2 (en) | 2008-07-08 | 2013-02-26 | Zeitera, Llc | Digital video fingerprinting based on resultant weighted gradient orientation computation |
US7968460B2 (en) | 2008-06-19 | 2011-06-28 | Micron Technology, Inc. | Semiconductor with through-substrate interconnect |
US8551885B2 (en) | 2008-08-29 | 2013-10-08 | Novellus Systems, Inc. | Method for reducing tungsten roughness and improving reflectivity |
US20100062149A1 (en) | 2008-09-08 | 2010-03-11 | Applied Materials, Inc. | Method for tuning a deposition rate during an atomic layer deposition process |
US20100072623A1 (en) | 2008-09-19 | 2010-03-25 | Advanced Micro Devices, Inc. | Semiconductor device with improved contact plugs, and related fabrication methods |
JP2010093116A (ja) | 2008-10-09 | 2010-04-22 | Panasonic Corp | 半導体装置及び半導体装置の製造方法 |
US7964502B2 (en) | 2008-11-25 | 2011-06-21 | Freescale Semiconductor, Inc. | Multilayered through via |
US7825024B2 (en) | 2008-11-25 | 2010-11-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming through-silicon vias |
US8129270B1 (en) | 2008-12-10 | 2012-03-06 | Novellus Systems, Inc. | Method for depositing tungsten film having low resistivity, low roughness and high reflectivity |
US20100144140A1 (en) | 2008-12-10 | 2010-06-10 | Novellus Systems, Inc. | Methods for depositing tungsten films having low resistivity for gapfill applications |
US8110877B2 (en) | 2008-12-19 | 2012-02-07 | Intel Corporation | Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions |
US8071478B2 (en) | 2008-12-31 | 2011-12-06 | Applied Materials, Inc. | Method of depositing tungsten film with reduced resistivity and improved surface morphology |
DE102009015747B4 (de) | 2009-03-31 | 2013-08-08 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht |
US9159571B2 (en) | 2009-04-16 | 2015-10-13 | Lam Research Corporation | Tungsten deposition process using germanium-containing reducing agent |
US20100267230A1 (en) | 2009-04-16 | 2010-10-21 | Anand Chandrashekar | Method for forming tungsten contacts and interconnects with small critical dimensions |
US8039394B2 (en) | 2009-06-26 | 2011-10-18 | Seagate Technology Llc | Methods of forming layers of alpha-tantalum |
US9034768B2 (en) | 2010-07-09 | 2015-05-19 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US8119527B1 (en) | 2009-08-04 | 2012-02-21 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US10256142B2 (en) | 2009-08-04 | 2019-04-09 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
US8207062B2 (en) | 2009-09-09 | 2012-06-26 | Novellus Systems, Inc. | Method for improving adhesion of low resistivity tungsten/tungsten nitride layers |
SG10201407519TA (en) | 2009-11-19 | 2015-01-29 | Univ Singapore | Method For Producing T Cell Receptor-Like Monoclonal Antibodies And Uses Thereof |
DE102009055392B4 (de) | 2009-12-30 | 2014-05-22 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements |
JP5729911B2 (ja) * | 2010-03-11 | 2015-06-03 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | タングステン膜の製造方法およびタングステン膜を堆積させる装置 |
US8709948B2 (en) | 2010-03-12 | 2014-04-29 | Novellus Systems, Inc. | Tungsten barrier and seed for copper filled TSV |
US9129945B2 (en) | 2010-03-24 | 2015-09-08 | Applied Materials, Inc. | Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance |
US8741394B2 (en) | 2010-03-25 | 2014-06-03 | Novellus Systems, Inc. | In-situ deposition of film stacks |
US8778797B2 (en) | 2010-09-27 | 2014-07-15 | Novellus Systems, Inc. | Systems and methods for selective tungsten deposition in vias |
US20120199887A1 (en) | 2011-02-03 | 2012-08-09 | Lana Chan | Methods of controlling tungsten film properties |
US20120225191A1 (en) | 2011-03-01 | 2012-09-06 | Applied Materials, Inc. | Apparatus and Process for Atomic Layer Deposition |
US8865594B2 (en) | 2011-03-10 | 2014-10-21 | Applied Materials, Inc. | Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance |
US8546250B2 (en) | 2011-08-18 | 2013-10-01 | Wafertech Llc | Method of fabricating vertical integrated semiconductor device with multiple continuous single crystal silicon layers vertically separated from one another |
US8916435B2 (en) | 2011-09-09 | 2014-12-23 | International Business Machines Corporation | Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory |
US8617985B2 (en) | 2011-10-28 | 2013-12-31 | Applied Materials, Inc. | High temperature tungsten metallization process |
WO2013148444A1 (en) | 2012-03-27 | 2013-10-03 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
TWI602283B (zh) | 2012-03-27 | 2017-10-11 | 諾發系統有限公司 | 鎢特徵部塡充 |
US9034760B2 (en) | 2012-06-29 | 2015-05-19 | Novellus Systems, Inc. | Methods of forming tensile tungsten films and compressive tungsten films |
US9969622B2 (en) | 2012-07-26 | 2018-05-15 | Lam Research Corporation | Ternary tungsten boride nitride films and methods for forming same |
US8975184B2 (en) | 2012-07-27 | 2015-03-10 | Novellus Systems, Inc. | Methods of improving tungsten contact resistance in small critical dimension features |
KR101990051B1 (ko) | 2012-08-31 | 2019-10-01 | 에스케이하이닉스 주식회사 | 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법 |
US8853080B2 (en) | 2012-09-09 | 2014-10-07 | Novellus Systems, Inc. | Method for depositing tungsten film with low roughness and low resistivity |
US9169556B2 (en) | 2012-10-11 | 2015-10-27 | Applied Materials, Inc. | Tungsten growth modulation by controlling surface composition |
US9153486B2 (en) | 2013-04-12 | 2015-10-06 | Lam Research Corporation | CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications |
US8975142B2 (en) | 2013-04-25 | 2015-03-10 | Globalfoundries Inc. | FinFET channel stress using tungsten contacts in raised epitaxial source and drain |
US9362163B2 (en) | 2013-07-30 | 2016-06-07 | Lam Research Corporation | Methods and apparatuses for atomic layer cleaning of contacts and vias |
US9589808B2 (en) | 2013-12-19 | 2017-03-07 | Lam Research Corporation | Method for depositing extremely low resistivity tungsten |
US9953984B2 (en) | 2015-02-11 | 2018-04-24 | Lam Research Corporation | Tungsten for wordline applications |
US9754824B2 (en) | 2015-05-27 | 2017-09-05 | Lam Research Corporation | Tungsten films having low fluorine content |
US9613818B2 (en) | 2015-05-27 | 2017-04-04 | Lam Research Corporation | Deposition of low fluorine tungsten by sequential CVD process |
-
2015
- 2015-05-27 US US14/723,275 patent/US9754824B2/en active Active
-
2016
- 2016-05-26 JP JP2016105216A patent/JP6971539B2/ja active Active
- 2016-05-26 TW TW105116363A patent/TWI709656B/zh active
- 2016-05-26 KR KR1020160064757A patent/KR102678471B1/ko active IP Right Grant
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW567544B (en) * | 2001-07-16 | 2003-12-21 | Applied Materials Inc | Method and apparatus for depositing tungsten after surface treatment to improve film characteristics |
TW200710968A (en) * | 2005-07-01 | 2007-03-16 | Tokyo Electron Ltd | Method for depositing tungsten film, film deposition system, storage medium, and semiconductor device |
TW201409697A (zh) * | 2012-08-31 | 2014-03-01 | Sk Hynix Inc | 具有鎢閘極電極的半導體裝置及其製造方法 |
TW201519317A (zh) * | 2013-07-25 | 2015-05-16 | Lam Res Corp | 於不同尺寸特徵部內之無空穴鎢塡充物 |
Also Published As
Publication number | Publication date |
---|---|
KR102678471B1 (ko) | 2024-06-25 |
TW201710543A (zh) | 2017-03-16 |
JP6971539B2 (ja) | 2021-11-24 |
JP2017014615A (ja) | 2017-01-19 |
US20160351444A1 (en) | 2016-12-01 |
US9754824B2 (en) | 2017-09-05 |
KR20160140458A (ko) | 2016-12-07 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI709656B (zh) | 具有低氟含量之鎢膜 | |
US20230290680A1 (en) | Self-limiting growth | |
US11355345B2 (en) | Method for preventing line bending during metal fill process | |
US20220389579A1 (en) | Deposition of pure metal films | |
US10546751B2 (en) | Forming low resistivity fluorine free tungsten film without nucleation | |
TWI747825B (zh) | 藉由順序化學汽相沉積製程所進行的低氟含量之鎢的沉積 | |
US9613818B2 (en) | Deposition of low fluorine tungsten by sequential CVD process | |
WO2019036292A1 (en) | METHOD FOR METAL CASTING FOR THREE-DIMENSIONAL NAND AND VERTICAL WORDS LINE | |
JP2017008412A5 (zh) | ||
US11972952B2 (en) | Atomic layer deposition on 3D NAND structures | |
US12002679B2 (en) | High step coverage tungsten deposition | |
JP2022180422A (ja) | 金属膜の蒸着 | |
CN115836380A (zh) | 低电阻脉冲式cvd钨 | |
WO2023038905A1 (en) | Process gas ramp during semiconductor processing | |
TW201903847A (zh) | 含有鉬之低電阻係數膜 |