CN100446218C - 金属膜的形成方法和钨膜的形成方法 - Google Patents

金属膜的形成方法和钨膜的形成方法 Download PDF

Info

Publication number
CN100446218C
CN100446218C CNB2006101494697A CN200610149469A CN100446218C CN 100446218 C CN100446218 C CN 100446218C CN B2006101494697 A CNB2006101494697 A CN B2006101494697A CN 200610149469 A CN200610149469 A CN 200610149469A CN 100446218 C CN100446218 C CN 100446218C
Authority
CN
China
Prior art keywords
gas
film
tungsten
temperature
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB2006101494697A
Other languages
English (en)
Other versions
CN1956166A (zh
Inventor
山崎英亮
立花光博
大久保和哉
铃木健二
河野有美子
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1956166A publication Critical patent/CN1956166A/zh
Application granted granted Critical
Publication of CN100446218C publication Critical patent/CN100446218C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一种金属膜形成方法,其特征在于,包括以下工序:将硅基板载置于处理容器内的工序;将含有金属的气体和反应气体交替地多次供给到所述处理容器,并且在交替地供给所述含有金属的气体和所述反应气体之间对所述处理容器进行真空排气或者气体置换,在所述硅基板上形成第一金属膜的工序;和将所述含有金属的气体和还原气体同时供给到所述处理容器,在所述第一金属膜上形成第二金属膜的工序。

Description

金属膜的形成方法和钨膜的形成方法
本申请是申请日为2001年11月13日、申请号为01803734.8、发明名称为“形成金属布线的方法和用于形成金属布线的半导体制造设备”的专利申请的分案申请。
技术领域
本发明一般涉及形成金属布线的方法和用于形成金属布线的半导体制造设备,特别是,涉及将金属布线掩埋到形成在半导体集成电路(诸如半导体晶片等)制造工艺加工对象物体的凹部中的方法和用于形成金属布线的半导体制造设备。
背景技术
通常,半导体集成电路的制造工艺中的各个步骤,如形成膜步骤、氧化扩散步骤、刻蚀步骤等,在作为上述工艺的对象物体的半导体晶片的表面上重复进行。在半导体集成电路的制造工艺期间形成凹部,如接触孔或通路孔。有一种趋势是主要将以化学气相沉积法(CVD法)形成的金属钨膜掩埋到该凹部中。通过CVD法形成的金属钨膜具有高覆盖能力、线紧压强度和低电阻率,并且相对容易形成。
作为形成金属钨膜的方法,一般输送WF6(六氟化钨)气体作为原料气体,其包含钨和作为还原气体的H2(氢)气体,以便在400-450℃范围内的温度状态下用H2还原WF6,并在主要作为基底膜的TiN(氮化钛)上形成金属钨膜。
通过物理气相沉积法(PVD法)或CVD法形成的TiN膜一般用做基底膜,原因如下。首先,需要在晶片的整个表面上均匀地形成钨膜。这是因为通过H2还原法形成的金属钨具有在金属表面和绝缘体表面上形成膜的不同速率。其次,需要基底膜作为阻挡层,防止作为钨原料的WF6进入下层。
下面介绍形成金属钨的常规工艺。
在形成金属钨膜的工艺期间,如果在工艺开始时企图用CVD法形成该膜,则很难将该膜粘接于晶片表面上,并且有潜伏(Incubation)时间变长的趋势。为了防止上述情况,首先流入少量的作为原料气体的WF6、作为还原气体的H2气、SiH4(单硅烷)气体等,以便在晶片表面上生长作为晶籽的钨的晶核形成层。接下来,流入大量的上述原料气体或还原气体,由此以高生长速率在作为晶籽的晶核形成层上生长钨膜。这样,可实现具有指定厚度的钨膜。
图1表示在上述膜形成工艺中工艺时间和膜厚之间的关系的曲线。在预处理之后,进行晶核形成层形成步骤。在晶核形成层形成步骤的第一部分开始时,在一定时间周期即潜伏时间T1内膜没有粘接。而且,在晶核形成层步骤之后主钨膜形成步骤的第一部分开始时,在一定时间即潜伏时间T2内该膜不粘接。潜伏时间T2之后,形成大量钨膜。
同时,近来将金属膜掩埋到接触孔或通孔如通路孔2中变得愈加困难,这是因为半导体集成电路变得更精密和高度集成了。如图2所示,一个主要方式是利用通过等离子体步骤而利用有机Ti(钛)源由CVD膜精制而成的MOCVD-TiN(金属有机CVD-TiN)膜3,作为特别用于上层布线的通路孔2的基底阻挡膜。利用PVD法不可能得到基底阻挡膜的足够的覆盖能力。由于用有机材料作为CVD膜的原料,因此碳是杂质并存在于膜内部。通过等离子体步骤,可以在形成膜之后除去碳,因而可提高膜的纯度和密度。
CVD-W膜形成法通常施加到利用TiCl4的PVD-TiN膜或有机CVD-TiN膜上。如图3所示,由于孔的上部平表面和基底部分为到达其上的等离子体所充分精制,因此利用这种CVD-W膜形成法可在基底阻挡膜上形成具有高质量的钨膜。然而,作为关于等离子体的基本特性,对于等离子体来说很难到达孔的侧壁。因此,由等离子体进行的精制是不够的,并且可能在其上难以形成钨膜。由此,即使形成钨膜,该钨膜可能具有低质量并且该钨膜可能没有被充分掩埋到孔中。
发明内容
因而,本发明的一般目的是提供一种将金属布线形成于半导体集成电路制造工艺的对象物体中所形成的凹部中的新的和有用的方法以及用于将金属布线形成于半导体集成电路制造工艺的对象物体中形成的凹部中的半导体制造设备。
本发明的其它和更特殊的目的是提供一种形成金属布线的方法和用于形成金属布线的半导体制造设备,其中,即使在基底阻挡膜包括含杂质的Mo-TiN的情况下,也可以令人满意地向孔中掩埋钨。
本发明的又一目的是提供金属膜形成方法,包括以下步骤:(a)给基底阻挡膜依次输送多种原料气体,其中至少一种气体包括金属,和(b)在分别输送步骤(a)的原料气体之后,将步骤(a)的原料气体抽真空-排放或利用其它种类的气体替换步骤(a)的原料气体,由此在基底阻挡膜上形成超薄金属膜。步骤(a)和(b)可重复。
该金属膜形成方法还包括步骤(c):在超薄金属膜用做晶核形成层的状态下,在超薄金属膜上形成主金属膜。
在该金属膜形成方法中,主金属膜可形成在设置于衬底中并包括基底阻挡膜的孔中,由此可在其中形成金属布线部件。
该金属可包括钨,并且形成在基底阻挡膜上的超薄金属膜的厚度在0.2nm-20.0nm之间的范围内。
基底阻挡膜可由包含有机钛化合物的TiN构成,包含金属的原料气体包括WF6气体,其它原料气体包括SiH4气体。在这种情况下,可以在5帕·秒-10k帕·秒范围内输送WF6气体。
该金属膜形成方法还可以包括输送SiH4的步骤(d),作为在基底阻挡膜上形成超薄金属膜的预处理。在这种情况下,可以在1k帕·秒和25k帕·秒之间的范围内输送SiH4
主金属膜可形成在设置于衬底中并包括基底阻挡膜的孔中,由此可在其中形成金属布线部件,并且衬底的温度在150-350℃范围内。在这种情况下,主金属膜可形成在设置于衬底中并包括基底阻挡膜的孔中,由此可在其中形成金属布线部件,并且在13帕·秒和10k帕·秒之间的范围内输送SiH4气体。
主金属膜可形成在设置于衬底中并包括基底阻挡膜的孔中,由此可在其中形成金属布线部件,并且衬底的温度在355-500℃范围内。在这种情况下,主金属膜可形成在设置于衬底中并包括基底阻挡膜的孔中,由此可在其中形成金属布线部件,并且在40帕·秒和10k帕·秒之间的范围内输送SiH4气体。
根据本发明,当在基底阻挡膜上形成钨超薄膜时,多次且每次一种地输送用于形成钨的多种原料气体。相应地,可以准确地在满意条件下在衬底的孔中形成钨超薄膜。因此,由于主钨膜形成在作为晶核形成层的钨超薄膜上,因而在准确地将钨膜掩埋到甚至微小的孔中时,也能准确地形成主钨膜。
本发明的又一目的是提供用于向孔中形成金属布线部件的半导体制造设备,其中该孔形成在衬底中并在其侧壁上具有基底阻挡膜,该设备包括用于依次向基底阻挡膜输送多种原料气体的装置,其中至少一种气体包括金属;用于在分别输送原料气体之后,对原料气体抽真空-排放或用其它种类的气体替换该原料气体由此在基底阻挡膜上形成超薄金属膜的装置;以及用于在超薄金属膜用做晶核形成层的状态下,在超薄金属膜上形成主金属膜的装置。
附图简述
图1是表示时间图和膜厚之间的关系的曲线,以便说明形成钨布线部件的常规方法;
图2是表示形成膜的现有状态的通路孔的结构剖面图,用于说明根据常规技术和本发明的形成钨布线部件的方法;
图3是表示形成膜的下一状态中通路孔的结构剖面图,用于说明根据常规技术的形成钨布线部件的方法;
图4是表示在形成膜期间的通路孔的结构剖面图,用于说明根据本发明的形成钨布线部件的方法;
图5是表示在形成膜的接下来的状态中的通路孔的结构剖面图,用于说明根据本发明的形成钨布线部件的方法;
图6是表示用于进行根据本发明的形成钨布线部件的方法的真空处理设备的示意结构图;
图7是进行根据本发明的形成钨布线部件的方法的流程图;
图8是表示在根据本发明的形成钨布线部件的方法中,在关于作为晶核形成层的钨超薄膜的一个循环中膜厚和晶片温度之间的关系的曲线;
图9是表示在根据本发明的形成钨布线部件的方法中,在关于作为晶核形成层的钨超薄膜的一个循环中膜厚和输送SiH4的量之间的关系的曲线;和
图10是表示在根据本发明的形成钨布线部件的方法中,在关于作为晶核形成层的钨超薄膜的一个循环中膜厚和输送WF6的量之间的关系的曲线。
本发明最佳实施方式
下面将参照附图介绍本发明的实施例。在各个图中,与其它图中相同或基本相同的部件用相同的参考标记表示。
本发明人通过实验得到一种方法,即使在通路孔2内部形成作为基底阻挡膜的包含杂质的TiN膜,也可以将钨满意地掩埋到通路孔2中。实验的结果是,本发明人认识到在钨被掩埋到孔中之前利用CVD法形成具有满意覆盖能力的超薄钨膜5的情况下,可完全形成具有充分掩埋能力的钨布线部件,如图4所示。本发明人还实现了在作为晶核形成层的超薄膜5上形成主钨膜6,如图5所示。
本发明人还认识到具有高覆盖能力的钨层可以通过用于形成钨超薄膜5的下列步骤实现。首先,依次提供原料气体,如WF6气体、SiH4气体等。依次提供可以互相反应的原料气体之后,即在依次输送WF6气体或SiH4气体之后,分别进行对原料气体抽真空-排放或用其它气体如Ar(氩)、N2(氮化物)或H2(氢)替换原料气体。
就是说,WF6气体充分到达孔的基部。该气体被吸收在形成膜的物体的表面上。然后,通过抽真空-排放或替换工艺只形成具有由温度和压力决定的相等厚度的吸收层。去掉余量的WF6气体之后,输送SiH4气体,由此只有被吸收的WF6与SiH4反应,因而形成钨层。根据本发明,原料气体不仅消耗在孔的上部,而且消耗在孔的基部。因此,钨层可具有高度满意的覆盖能力。
图6是表示用于进行根据本发明的形成钨布线部件的方法的真空处理设备的示意结构图。下面将介绍用于实施本发明的真空处理设备。
真空处理设备50包括处理室60。处理室60例如由铝构成并具有圆筒形状。具有圆筒形状并从处理室60的基部垂直延伸的反射器7设置于处理室60内部。具有L形状的支撑部件8安装在反射器7上。用于承载作为处理物体的半导体晶片W的载物台10安装在支撑部件8的上表面上。载物台10由高导热材料如具有几mm厚的碳材料、AlN(氮化铝)等构成。由石英构成的渗透窗12坚固地设置在处理室60的基部上,并恰好位于载物台10下面。具有盒子形状的加热室14围绕渗透窗12安置,并恰好位于渗透窗12下面。加热室14包括设置于还用做反射镜的旋转台18上的多个加热块16。旋转台18由旋转电机20旋转。因此,从加热块16辐射的热射线通过渗透窗12辐射到载物台10的底表面。于是,载物台10上的晶片W直接被加热。
在处理室的基部附近提供排气口部件22。排气口部件22与排气通道24连接,而排气通道24与图6中未示出的真空泵相连。于是,可以对处理室60的内部抽真空。阀门26设置在处理室60侧壁上。当晶片被载出和载入处理室60时阀门26打开和关闭。
将原料气体引入处理室60的指示器头部28设置于处理室的面对载物台10的顶板部分。指示器头部28具有在那里提供大量气体喷射孔30的注入表面28A。指示器头部28还具有气体引导部件32。气体引导部件32与为形成膜的步骤输送所需要的原料气体的气体输送系统连接。各个气体源如WF6、SiH4、Ar、N2、H2等的源与气体引导部件32连接。
各个气体源输送管道包括作为流量控制器的质流控制器34和两个开关阀36和38。质流控制器34置于两个开关阀36和38之间。于是,可以选择需要或不需要气流控制和气体输送。具有指定量的各个气体之一或混合物从气体源输送到指示器头部28。之后,基本上均匀地从喷射孔30向处理室60内输送气体。同时,通过对处理室60的内部气氛以指定排气率吸收和排放,可以保持关于处理室50的指定真空度。
下面参照图2、4、5、和7介绍在该设备具有上述结构的状态下根据本发明的一个实施例。图7是实施根据本发明的形成钨布线部件的方法的流程图。图2是表示形成膜的现有状态的通路孔的结构的剖面图,用于说明根据常规技术和本发明的形成钨布线部件的方法。图4是表示在形成膜期间通路孔的结构的剖面图,用于说明根据本发明的钨布线部件的方法。图5是表示在形成膜的下一状态的通路孔的结构的剖面图,用于说明根据本发明的钨布线部件的方法。
在步骤10中,通过打开设置在处理室60侧壁上的阀门26并利用图6中未示出的运载臂,将晶片W运载到处理室60中。此时,预先设置加热块16并具有指定温度,例如晶片W具有300℃的温度,并且晶片W被装载在被加热到指定温度的载物台10上。通路孔2和由Mo-TiN构成的基底阻挡膜3预先形成在晶片W的表面上,如图2所示。
在步骤10之后的步骤11中,Ar或N2从气体源输送到指示器头部28。处理室60保持指定压力,例如400帕,并且处理室60内部被抽真空。于是,来自载物台10的热量被传导到晶片W,由此晶片W的温度上升。
在步骤11之后的步骤12中,停止输送气体。处理室60的内部被抽真空,直到处理室60的内部压力变为例如10帕和以下为止。
在步骤12之后的步骤13中,通过混合WF6气体及少量Ar和N2气体而形成的气体被输送到指示器头部28,并且处理室60内部保持在指定压力下并被抽空。由此,WF6气体以150帕·秒基本均匀地输送到晶片W上。
在步骤13之后的步骤14中,停止输送气体,并对处理室60内部抽真空,直到处理室60的内部变为例如10帕和以下为止。在这个步骤期间,只有具有基于温度和压力的均匀厚度的WF6的吸收层在晶片W的通路孔的上表面、侧表面和底表面的后面停止。
在步骤14之后的步骤15中,通过混合WF6气体及少量Ar和N2气体而形成的气体被输送到指示器头部28,并且处理室60内部保持在指定压力下并被抽空。由此,SiH4气体以70帕·秒基本均匀地输送到晶片W上。在这个步骤期间,在步骤14中后面停止并具有基本均匀厚度的WF6的吸收层被SiH4还原,因而形原料子层水平的钨膜(W膜)。
在步骤15之后的步骤16中,停止输送气体,并对处理室60内部抽真空和排放,直到处理室60内部变为例如10帕和以下为止。
如步骤17中所示,重复步骤13-16以进行指定数量的循环,如17个循环,直到得到具有指定厚度如1nm的超薄钨膜5为止,如图4所示。
如上所述,形成具有指定厚度的钨超薄膜5之后,在步骤18中,形成主钨膜6。主钨膜6是通过如图5所示的标准CVD法在作为晶核形成层的钨超薄膜上形成的。完成主钨膜6之后,在步骤19中,打开设置在处理室60侧壁上的阀门26,然后利用图6中未示出的运载臂将晶片W载出处理室60。
根据操作,作为本发明的实验结果,通过在步骤19中完成的关于晶片W的横截面的扫描电子显微镜,可实现充分掩埋到具有0.2μm的直径和1.0μm的深度的通路孔中,而不会产生任何缺陷。
用于形成钨超薄膜5的上述实施例示出了在输送WF6和SiH4的间隔期间排气和抽真空的例子。停止输送WF6或SiH4之后,可进行净化。在净化时,输送大量如200sccm和更高的惰性气体流,如Ar或N2或H2,由此排出处理室60中的WF6或SiH4
例如,根据本发明的实验,净化是在处理室60具有1000帕的内部压力和晶片具有350℃的温度的状态下通过下列步骤实现的。即,在步骤13中,以38帕·秒输送WF6;以3816帕·秒输送N2气体;和以1145帕·秒输送N2气体。接下来,在步骤14中,以2308帕·秒输送Ar气体;和以692帕·秒输送N2气体。此外,在步骤15中,以112帕输送SiH4气体;以3759帕·秒输送Ar气体;和以1127输送N2气体。接着在步骤6中,以2308帕·秒输送Ar气体,以692帕·秒输送N2气体。在步骤17中,重复步骤13-16,以便进行6次循环,由此得到具有7.0nm的超薄钨膜。
当钨超薄膜不被氧化时,输送H2气体是有效的。在“抽真空-净化-抽真空”作为一组采用的情况下,虽然用于形成膜的整个时间变长了,但是这样的优点是可大大除去WF6或SiH4的残余气体。
在本实施例中,在形成钨超薄膜5的情况下,在晶片W被装载到处理室60中之后,首先在不进行预处理的情况下输送WF6。图1中所示的预处理可以在晶片W被装载在处理室60中之后和在形成钨超薄膜5之前进行。
作为预处理,例如,SiH4气体可流到晶片的表面上。例如,可通过以7000帕·秒输送SiH4气体而缩短形成钨超薄膜5的潜伏时间T1。根据不包括预处理的上述实施例,输送WF6气体和SiH4气体的循环重复17次,用于形成1nm厚的钨超薄膜5。在17次循环中的13次循环对应于潜伏时间T1,并且在其中没有形成钨超薄膜5。形成膜是从第14次循环开始的,并且在4次循环中形成1nm厚的钨超薄膜,直到完成第17次循环为止。
另一方面,在预处理中,通过以7000帕·秒输送SiH4气体,对应于潜伏时间T1的循环次数从13次减少到3次。这样,形成膜是从第4次循环开始的,因而用于形成1nm厚的钨超薄膜的输送WF6和SiH4的总循环次数减少到7次循环。这样,由于大大减少了用于形成膜的总时间,因此优选进行预处理。
在以1k帕·秒和更高输送SiH4气体的情况下,减少了潜伏时间。如果以高于25k帕·秒输送SiH4气体,则由于处理时间变长而使生产率减低,并且由于SiH4的压力变高而产生颗粒。因此,优选以小于和等于25k帕·秒输送SiH4气流。
作为用于形成钨薄膜的要求,在上述实施例中示出了晶片温度为300℃、以150帕·秒输送WF6、以70帕·秒输送SiH4气体、和在一次循环中形成膜的量为0.25nm。然而,本发明人在考虑到形成各种钨超薄膜的方法之后认识到了在图8、9和10中所示的下列关系。
图8是表示在一次循环中的晶片温度和膜厚的关系的示意图。在晶片具有150-350℃范围内的温度的情况下,在某些条件下,不管晶片的温度怎样,在一次循环中膜具有在0.23-0.28nm范围内的基本上恒定的厚度。在晶片具有380-500℃的范围内的温度的情况下,在某些条件下,不管晶片的温度怎样,在一次循环中该膜具有在0.55-0.6nm范围内的基本上恒定的厚度。
在这些范围内,作为原料气体的吸收的速率-确定步骤改进了反应。在这些范围内,可实现具有满意控制能力和覆盖能力的钨超薄膜。
根据本发明人所做的实验,发现如果晶片具有355-500℃的范围内的温度,与晶片具有380-500℃的范围内的温度一样,可实现具有满意控制能力和覆盖能力的钨超薄膜。
图9是表示在一次循环中输送SiH4的量和膜厚的关系的示意图。实验的结果示于图9中,其中作为晶片温度的150-350℃之间范围内的代表,晶片温度为300℃,和作为晶片温度的380-500℃之间范围的代表,晶片纬度为410℃。
根据图9,在晶片具有在150-350℃之间范围内的温度的情况下,当以66帕·秒和更高输送SiH4时,在一次循环中的膜厚饱和了。而且,在晶片具有在380-500℃之间范围内的温度的情况下,当以333帕·秒和更高输送SiH4时,在一次循环中的膜厚饱和了。在这些饱和范围中,作为原料气体的吸收的速率-确定步骤,提高了反应。在这些范围内,实现了具有满意控制能力和覆盖能力的钨超薄膜。
根据由本发明人所做的实验,发现,如果在晶片具有在150-350℃之间范围内的温度的情况下以13帕·秒和以上输送SiH4,并且在晶片具有在380-500℃之间范围内的温度的情况下以40帕·秒和以上输送SiH4,则可实现具有具有满意控制能力和覆盖能力的钨超薄膜。
图10是表示在一次循环中的晶片温度和膜厚的关系的示意图。实验的结果示于图10中,其中作为晶片温度的150-350℃之间范围内的代表,晶片温度为300℃,和作为晶片温度的380-500℃之间范围的代表,晶片温度为410℃。
在这些饱和范围内,在以133帕·秒和更高输送WF6的情况下,膜厚饱和了,并且作为原料气体的吸收的额定步骤,反应提高了。在这些范围内,可实现具有满意控制能力和覆盖能力的钨超薄膜。
根据由本发明人所进行的实验,发现,如果以5帕·秒和更高输送WF6,与以133帕·秒和更高输送WF6一样,可实现具有满意控制能力和覆盖能力的钨超薄膜。
如果以高于10k帕·秒输送SiH4和WF6,则一次循环所花费的时间太长,因而生产率降低。此外,在这种情况下,由于SiH4和WF6输送得太多,可能终止SiH4和WF6,因而很难替换气体。于是,优选输送SiH4和WF6的上限分别为10k帕·秒或以下。
本发明不限于上述钨超薄膜具有1nm膜厚的情况。而是,优选该膜具有在0.2-20.0nm范围内的厚度。厚度小于0.2nm的膜不是优选的,因为该膜厚部分地太薄了并且该膜不可能用作晶核形成层。厚度大于20.0nm的膜在晶核形成层的功能上没有问题。但是,厚度大于20.0nm的膜不是优选的,因为用于形成整个膜的时间增加了,因而生产率降低。另一方面,如果该膜具有在0.2-20.0nm范围内的厚度,则可有效地用作晶核形成层。并且生产率不会大大降低。
上述实施例示出了在通路孔2中形成钨布线部件的例子。但是,本发明不限于布线材料是钨的情况,在不脱离本发明的范围的情况下可做出各种改变和修改,如采用其它材料。
本专利申请是以在2000年11月17日提交的日本在先专利申请No.2000-351716为基础的,在这里引入该申请的全部内容供参考。

Claims (7)

1.一种金属膜形成方法,其特征在于,包括以下工序:
将硅基板载置于处理容器内的工序;
将含有金属的气体和反应气体交替地多次供给到所述处理容器,并且在交替地供给所述含有金属的气体和所述反应气体之间对所述处理容器进行真空排气或者气体置换,在所述硅基板上形成第一金属膜的工序;和
将所述含有金属的气体和还原气体同时供给到所述处理容器,以所述第一金属膜作为晶核形成层形成第二金属膜的工序。
2.如权利要求1所述的金属膜形成方法,其特征在于,所述硅基板的温度,在形成所述第一金属膜的工序中加热到第一温度,在形成所述第二金属膜的工序中,加热到与所述第一温度不同的第二温度。
3.一种钨膜的形成方法,其特征在于,包括以下工序:
将硅基板载置于处理容器内的工序;
将所述基板加热到第一温度,
将WF6气体和反应气体交替地多次供给到所述处理容器,并且在供给所述WF6气体或所述反应气体之后对所述处理容器进行真空排气或者气体置换,在所述硅基板上形成第一钨膜的工序;和
将所述硅基板加热到第二温度,将所述WF6气体和还原气体同时供给到所述处理容器,以所述第一钨膜作为晶核形成层形成第二钨膜的工序。
4.如权利要求3所述的钨膜的形成方法,其特征在于,所述反应气体是SiH4气体,所述还原气体是H2气。
5.如权利要求4所述的钨膜的形成方法,其特征在于,所述第一温度是150℃以上350℃以下,所述第二温度是400℃以上450℃以下。
6.如权利要求3所述的钨膜的形成方法,其特征在于,所述气体置换是利用包括H2的气体的清洗。
7.如权利要求3所述的钨膜的形成方法,其特征在于,所述第一钨膜是在氮化钛膜上形成的、具有0.2nm~2nm的膜厚的晶核层。
CNB2006101494697A 2000-11-17 2001-11-13 金属膜的形成方法和钨膜的形成方法 Expired - Lifetime CN100446218C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2000351716 2000-11-17
JP2000351716 2000-11-17

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB018037348A Division CN1295756C (zh) 2000-11-17 2001-11-13 在阻挡膜上形成钨膜的方法

Publications (2)

Publication Number Publication Date
CN1956166A CN1956166A (zh) 2007-05-02
CN100446218C true CN100446218C (zh) 2008-12-24

Family

ID=18824726

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB018037348A Expired - Fee Related CN1295756C (zh) 2000-11-17 2001-11-13 在阻挡膜上形成钨膜的方法
CNB2006101494697A Expired - Lifetime CN100446218C (zh) 2000-11-17 2001-11-13 金属膜的形成方法和钨膜的形成方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNB018037348A Expired - Fee Related CN1295756C (zh) 2000-11-17 2001-11-13 在阻挡膜上形成钨膜的方法

Country Status (7)

Country Link
US (1) US6913996B2 (zh)
JP (2) JP2004514289A (zh)
KR (1) KR100479283B1 (zh)
CN (2) CN1295756C (zh)
AU (1) AU2002214283A1 (zh)
TW (1) TWI281208B (zh)
WO (1) WO2002041379A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI788771B (zh) * 2020-01-31 2023-01-01 日商國際電氣股份有限公司 基板處理方法、半導體裝置之製造方法、程式及基板處理裝置

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
JP4074461B2 (ja) * 2002-02-06 2008-04-09 東京エレクトロン株式会社 成膜方法および成膜装置、半導体装置の製造方法
JP4007822B2 (ja) * 2002-02-14 2007-11-14 富士通株式会社 配線構造の形成方法
JP4540939B2 (ja) * 2003-03-24 2010-09-08 東京エレクトロン株式会社 処理装置
JP4770145B2 (ja) * 2003-10-07 2011-09-14 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5135710B2 (ja) * 2006-05-16 2013-02-06 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5384291B2 (ja) 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
TWI602283B (zh) 2012-03-27 2017-10-11 諾發系統有限公司 鎢特徵部塡充
JP5887201B2 (ja) * 2012-05-14 2016-03-16 東京エレクトロン株式会社 基板処理方法、基板処理装置、基板処理プログラム、及び記憶媒体
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
JP6437324B2 (ja) * 2014-03-25 2018-12-12 東京エレクトロン株式会社 タングステン膜の成膜方法および半導体装置の製造方法
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
WO2019036292A1 (en) 2017-08-14 2019-02-21 Lam Research Corporation METHOD FOR METAL CASTING FOR THREE-DIMENSIONAL NAND AND VERTICAL WORDS LINE
JP7009615B2 (ja) * 2018-03-26 2022-01-25 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
CN112262457A (zh) 2018-05-03 2021-01-22 朗姆研究公司 在3d nand结构中沉积钨和其他金属的方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5407698A (en) * 1992-04-29 1995-04-18 Northern Telecom Limited Deposition of tungsten
CN1150325A (zh) * 1995-09-27 1997-05-21 摩托罗拉公司 半导体器件中cvd铝膜的制造方法
JPH1154459A (ja) * 1997-08-07 1999-02-26 Ulvac Japan Ltd バリア膜形成方法
JPH11307480A (ja) * 1998-04-10 1999-11-05 Applied Materials Inc 化学気相堆積法によるブランケットタングステン膜の応力を低減する方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2684960B2 (ja) 1993-07-01 1997-12-03 日本電気株式会社 半導体装置の製造方法
JPH0794727A (ja) 1993-09-21 1995-04-07 Toshiba Corp 半導体装置の製造方法
JPH10303149A (ja) * 1997-04-23 1998-11-13 Fuji Electric Co Ltd 半導体装置用配線の形成方法
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
TW367606B (en) * 1997-11-24 1999-08-21 United Microelectronics Corp Manufacturing method for metal plugs
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6309966B1 (en) * 1999-09-03 2001-10-30 Motorola, Inc. Apparatus and method of a low pressure, two-step nucleation tungsten deposition
JP3628570B2 (ja) * 1999-12-08 2005-03-16 旭化成マイクロシステム株式会社 タングステン薄膜の形成方法、半導体装置の製造方法
US6277744B1 (en) * 2000-01-21 2001-08-21 Advanced Micro Devices, Inc. Two-level silane nucleation for blanket tungsten deposition
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5407698A (en) * 1992-04-29 1995-04-18 Northern Telecom Limited Deposition of tungsten
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
CN1150325A (zh) * 1995-09-27 1997-05-21 摩托罗拉公司 半导体器件中cvd铝膜的制造方法
JPH1154459A (ja) * 1997-08-07 1999-02-26 Ulvac Japan Ltd バリア膜形成方法
JPH11307480A (ja) * 1998-04-10 1999-11-05 Applied Materials Inc 化学気相堆積法によるブランケットタングステン膜の応力を低減する方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI788771B (zh) * 2020-01-31 2023-01-01 日商國際電氣股份有限公司 基板處理方法、半導體裝置之製造方法、程式及基板處理裝置

Also Published As

Publication number Publication date
TWI281208B (en) 2007-05-11
JP5068713B2 (ja) 2012-11-07
US6913996B2 (en) 2005-07-05
CN1395743A (zh) 2003-02-05
US20030003729A1 (en) 2003-01-02
WO2002041379A1 (en) 2002-05-23
JP2008283220A (ja) 2008-11-20
CN1295756C (zh) 2007-01-17
JP2004514289A (ja) 2004-05-13
KR20020079783A (ko) 2002-10-19
CN1956166A (zh) 2007-05-02
KR100479283B1 (ko) 2005-03-28
AU2002214283A1 (en) 2002-05-27

Similar Documents

Publication Publication Date Title
CN100446218C (zh) 金属膜的形成方法和钨膜的形成方法
US6835417B2 (en) Method and device for depositing thin layers via ALD/CVD processes in combination with rapid thermal processes
KR101107096B1 (ko) 반도체 디바이스의 제조 방법 및 기판 처리 장치
US6599367B1 (en) Vacuum processing apparatus
CN100483637C (zh) 利用间歇前驱气流工艺形成金属层的方法
US6559039B2 (en) Doped silicon deposition process in resistively heated single wafer chamber
JP3956049B2 (ja) タングステン膜の形成方法
US20060130761A1 (en) Thin film processing system and method
CN1906325A (zh) 用于形成金属层的方法和设备
CN101027426A (zh) 在热化学气相沉积工艺中沉积钌金属层的方法
JP2000178735A (ja) タングステン膜の成膜方法
CN113518836B (zh) 半导体装置的制造方法、记录介质、基板处理装置和基板处理方法
US20050069641A1 (en) Method for depositing metal layers using sequential flow deposition
JP2021015947A (ja) RuSi膜の形成方法及び基板処理システム
US6387445B1 (en) Tungsten layer forming method and laminate structure of tungsten layer
CN110923659B (zh) 成膜方法及基板处理系统
US10546742B2 (en) Method to reduce trap-induced capacitance in interconnect dielectric barrier stack
CN111560601B (zh) 基板处理方法和基板处理装置
US5076204A (en) Apparatus for producing semiconductor device
US20100203243A1 (en) Method for forming a polysilicon film
JP2000178734A (ja) タングステン膜の成膜方法
JP2004095940A (ja) 半導体装置の製造方法
JPS63179077A (ja) 薄膜形成装置
JPH10303146A (ja) タングステン膜の成膜方法及び半導体デバイス
JPH10321557A (ja) 成膜方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20081224

CX01 Expiry of patent term