TW578212B - Atomic layer deposition reactor - Google Patents

Atomic layer deposition reactor Download PDF

Info

Publication number
TW578212B
TW578212B TW091118370A TW91118370A TW578212B TW 578212 B TW578212 B TW 578212B TW 091118370 A TW091118370 A TW 091118370A TW 91118370 A TW91118370 A TW 91118370A TW 578212 B TW578212 B TW 578212B
Authority
TW
Taiwan
Prior art keywords
reaction chamber
precursor
substrate
atomic layer
layer deposition
Prior art date
Application number
TW091118370A
Other languages
English (en)
Inventor
Olli Kilpela
Ville Saanila
Wei-Min Li
Kai-Erik Elers
Juhana Kostamo
Original Assignee
Asm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Inc filed Critical Asm Inc
Application granted granted Critical
Publication of TW578212B publication Critical patent/TW578212B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)

Description

578212 五、發明說明α) 本發明是有關於一種在基底表面成長薄膜層的裝置, 且特別是有關於一種用氣相反應劑在表面上交替重複進行 表面反應,以在基底的表面上製作薄膜。 有幾種方法會被用來在基底表面上成長薄膜,這些方 法包括真空氣相沈積、分子束磊晶(ΜΒΕ )、各種化學氣相 沈積法(C V D )(包括低壓以及有機金屬C V D以及電漿誘導 CVD),以及原子層磊晶(ALE),這些在半導體沈積以及電 致發光分散應用上已被廣泛的研究,但是最近研究較多的 是用原子層沈積(ALD)法進行各種材料的沈積。 A L D是一種沈積方法,係將一連串的前驅物(比如第一 前驅物與第二前驅物)依序導入到一個基底,將此基底放 置於一個反應室中,此成長機制有賴於基底活性位置上第 一前驅物的吸附,沈積條件是不會形成超過一層的原子 層,所以此過程是會自我終止或是飽和,舉例來說,第一 前驅物可以包括滯留在吸附片段的離子根,這可以避免進 一步的吸附,因此溫度可以維持在前驅物的凝結溫度之 上,而低於前驅物的熱分解溫度。吸附的起始步驟進行之 後通常都會進行一道第一清潔步驟,其中藉以將過多的第 一前驅物以及反應可能產生的副產物自反應室中移除,然 後將第二前驅物導入反應室中,第一與第二前驅物通常會 互相反應,因此一開始吸附的第一前驅物之單層會與後來 導入的第二前驅物反應成預期的薄膜,此反應會在吸附的 第一前驅物被消耗完以後終止,然後用第二道清潔步驟將 過多的第二前驅物以及可能的反應副產物移除,此循環可
9896pif.ptd 第7頁 8212 五、發明說明(2) 以重複進行藉以將薄膜成長到預定的厚度,反應循環也可 . 以變化得更複雜,比如包括分別用清潔或真空步驟間隔, 個別加入三或多種反應物。 在芬蘭專利公開號第5 2,3 5 9號以及第5 7,9 7 5號中以及 美國專利第4, 0 5 8, 43 0號以及第4, 38 9, 9 7 3號專利中均有提 到ALD的技術;另外在美國專利第5, 8 5 5, 6 8 0號、芬蘭專利 第100, 409號、1989年的材料科學報導4 (7)第261頁、以及 _ T y h j i 〇 t e k n i i k k a (真空技術的芬蘭刊物)、 ISBN951-794-422-5第253-261頁中均有提到適用於改善這 、 些方式的裝置,這些資料在本發明中均被結合引用以作為 參考。 ⑱ 理論上在A L D中,因為此反應是表面特性,反應室之設 計應該不會在基底上成長的薄膜之組成、均勻度或是特性 上扮演任何角色’但是只有少部分的前驅物會表現出這樣 理想或近乎理想的特性,可能阻礙這些理想成長模式的因 素可能包括··與時間有關的吸附-釋出現象、第一次反應 的副產物對第一次反應的阻礙(比如當副產物在製程中直 接被移除時,在下游的反應速度會降低且接著可能會造成 不均勻,比如是在TiCl4 + NH3 -> TiN的反應過程中)、在反 應器的上游部分第二前驅物的消耗(比如破壞)(比如在熱 帶中臭氧的分解)、以及在反應室中不均勻的流程狀況導 致第一前驅物不平均的吸附/釋出。 _ 電漿ALD是一種典型的ALD,可以以有效的方式沈積導 · 電、半導或絕緣薄膜’在此方法中,透過產生離子可以順
9896pif.ptd 第8頁 578212 五、發明說明(3) 利的進行ALD反應,在一些習知的方法中,會在基底上引 發一個直電容電漿(比如臨場產生離子),但是此方法的電 漿會造成飛濺,像是自反應室與基底接觸的部分濺出的材 質會污染薄膜;再另外一個缺點是當沈積導電材料時,可 能會因為被用來將R F與接地隔離的絕緣體也會被鍍上沈積 的導電材料,因而在反應室中產生電弧。 另一個習知的電漿ALD方法包括微量的導入微波電荷來 產生電漿(請參考美國專利第5,9 1 6,3 6 5號專利),其缺點 在於基底與離子源之間需要有一段大距離,這會使得離子 在到達基底之前就重新結合在一起,另外在此方法中離子 的分布通常會不均勻且氣體在反應器中流動方式可能會不 明確。 因此需要一種改善的ALD裝置以及/或方式,至少可以 解決上述問題中的某些部分。 有鑑於此,本發明的目的之一在於提供一種反應器, 使基底可以交替重複氣相反應物的表面反應。此反應器包 括一個定義出反應空間的反應室;一個沖洗頭平板被放置 在反應空間中,將反應空間隔成第一部份與第二部分;此 沖洗頭平板至少在自反應室的第一部份延伸到第二部份中 定義出複數個通道,此反應器進一步包括一第一前驅物供 應源,會與反應室中的第一部份溝通,以及反應室的第二 部分溝通的第二前驅物供應源,此基底會放置在反應空間 中的第一部份。 在一種設計中,沖洗頭平板可以是一種一體成形的板
9896pif.ptd 第9頁 578212 五、發明說明(4) 子;在另一個實施例中,反應室包括一個第一部分以及一 個第二部分,透過機械力量彼此連接在一起,且沖洗頭平 板透過這些機械力量被支撐在反應室的第一與第二部分之 間。另外在再另一個實施例中,沖洗頭平板會調整結合在 於表面上進行表面反應之方向水平之位置上;在另一個設 計中,沖洗頭平板具有可變化的厚度;在再另一個設計 中,沖洗頭平板包括一個百葉窗似的板子可以相對於第二 板子移動,其中在每個板子中的開口之間重疊的部分可以 改變以裁製使得氣體流可以越過基底。 本發明之另一目的在於提供一種反應器,使基底可以 交替重複氣相反應物的表面反應。此反應器包括一個被定 義為反應空間的反應室;此反應器進一步包括一第一前驅 物供應源,會與反應空間溝通,基底就被放置在反應空間 中;此反應器更進一步包括一個誘導搞合電漿產生電源裝 置,係放置於反應室中,並設置用來在基底上方直接產生 電漿。 本發明之再另一目的在於提供一種反應器,使基底可 以交替重複氣相反應物的表面反應。此反應器包括定義出 一反應空間的反應室,基底會放置在反應室中,有一個電 漿產生裝置放置於反應室中,其具有一上表面與一下表 面,電漿會產生在電漿產生裝置的上表面以及反應室的一 個上表面之間,第一前驅物供應源會透過一個注入口與反 應空間溝通,有一個流動引導物會直接連接到電漿產生裝 置上表面上的第一前驅物供應源上,沿著電漿產生裝置的
9896pif.ptd 第10頁 578212 五、發明說明(5) 一側到達電漿產生裝置的下表面與基底之間的一個空間, 第一前驅物會延著大致與基底平行的一第一方向流動。 本發明之再另一目的在於提供一種反應器,使基底可 以交替重複氣相反應物的表面反應。此反應器包括一個被 定義為反應空間的反應室,此反應空間包括一第一區域與 一第二區域,彼此之間透過機械力固著在一起;有一個沖 洗頭平板放置在反應空間中,把反應空間分隔成用來放置 基底的第一部分以及一第二部分。沖洗頭平板至少定義出 複數個通道,自反應室的第二部分延伸至第一部分;此沖 洗頭平板係支撐在一個凹槽之中,此凹槽至少有一部分是 由反應室的第一與第二部分構成;另外有一第二前驅物供 應源與反應室的第二部分溝通。 本發明之再在另一目的在於提供一種原子層沈積的方 法,包括複數個循環,每一個循環包括供應一第一前驅物 到放置有基底的反應空間内,讓第一前驅物可以被吸附到 基底的表面上,藉以形成一層吸附部分;自反應空間中移 除第一前驅物;提供一第二前驅物,使第二前驅物幾乎無 損的通過一個沖洗頭平板延伸到基底上方,此第二前驅物 會與吸附部份反應;之後將第二前驅物自反應空間中移 除。 此外,本發明之其他目的、特徵與優點會在接下來的 實施例中作更進一步的描述。 為讓本發明之上述目的、特徵、和優點能更明顯易 懂,下文特舉一較佳實施例,並配合所附圖式,作詳細說
9896pi f.ptd 第11頁 578212 五、發明說明(6) 明如下: 器 圖示 標記 說明: 10, 50, 100, 150, 160, 200, 250, 12, 52, 163, 260 反應 室 14, 54, 164, 322 反應 空間 16, 56, 166, 268, 324 基底 18, 58 支柱A,B 則驅 物 20, 22, 60, 62, 172, 176 供應管 23, 66, 174, 266, 347 排氣管路 24 隔離版2 6, 2 8 隔離板側邊 67, 122, 180 沖洗 頭平 板 68 上室70 下室 72, 104, 124, 126, 182 通道 t 厚度s 流動空間 102, 120 百葉窗板1 0 6 調節單元 110 分流通道1 5 2, 162 電漿穴 153, 202, 346 電極155 RF電源 170, 2 7 0,3 2 6 磁化器1 7 5 電漿室 177, 274 R F線圈1 7 9 R F隔板 178 石英窗1 8 4 清 潔注 入管 203 RF供應迴路254 上 部元件 256 下部元件2 5 8, 278 穴室 2 6 2, 264, 304, 330, 338 注入管 300,320 反應 «
1H
9896pif.ptd 第12頁 578212 五、發明說明(7) 2 7 2 磁化器輔助機械2 7 6 密封器 3 0 2 室壁3 06 氣體出入管 3 0 8 導引器31 0 導電板 2 8 2, 3 4 8 電漿303 電容器板 3 2 8 負載鎖332 三向閥 334, 336, 344 供應元340 上壁 342 中間壁 實施例 第1圖繪示為一種習知ALD反應器1 0之側視圖。反應器 1 0包括一個反應室1 2,此反應室1 2至少定義出一反應空間 14,晶圓或基底16會被放置在反應室12中並用一支柱18, 此支柱1 8係用來將晶圓1 6移出移入反應室1 2。在其他的設 計上,反應器可以包括一個入口 /出口埠以及一個具有機 械手臂的外部機器人,此機械手臂可以用來(i )移動基底 通過入口 /出口到反應器中,(ii)將基底放置在支柱上, (i i i )把基底移開支柱,以及/或(i v )自反應器穿過入口 / 出口把基底移出。 Λ 在介紹的反應器1 0中,會供應兩種前驅物A與B至反應 空間1 4,第一前驅物A是透過第一供應管2 0供應到反應室 1 2中;利用相似的方法,透過第二供應管2 2將第二前驅物 B供應到反應空間1 4中。第一供應管2 0會與第一前驅物供 應源(未顯示)以及一個清潔氣體供應源(未顯示)相連通; 而同樣的,第二供應管2 2會與第二前驅物供應源(未顯示) 以及一個清潔氣體供應源(未顯示)相連通,其中清潔氣體
9896pif.ptd 第13頁 578212 五、發明說明(8) 較佳是鈍氣,其中兩個例子比如為氮氣或氬氣。清潔氣體 也可以用來將第一以及/或第二前驅物自供應源處攜帶到 反應室1 2,當沒有供應第一或第二前驅物時,清潔氣體也 可以用來清潔反應室以及/或是供應管2 0, 2 2,此功能會 在下列作詳細的描述。在改良的裝置中,反應器可以包括 一個獨立、分開的清潔氣體供應管,用以將清潔氣體供應 到反應室1 2中,另外有一偃排氣管路2 3提供用以自反應空 間1 4中將氣體移出。 通常會有一個隔離板2 4放置在反應室1 2中,此隔離板 2 4具有第一側2 6與第二側2 8,此隔離板2 4通常會放置在第 一與第二供應管2 0, 2 2,也就是說第一側2 6會因為第一前 驅物供應管2 0而暴露,而第二側2 8會因為第二前驅物供應 管2 2而暴露;隔離板2 4係用以使第一與第二前驅物可以均 勻的導到反應室1 2中,而不會讓這些前驅物因與供應管路 2 0, 2 2的表面反應而浪費掉;也就是說隔離板2 4可以讓反 應空間1 4只是一個輪流暴露在第一與第二前驅物下的共同 空間,這樣這些前驅物便只會以特定的方式與基底1 6反 應。因為第一與第二前驅物可能會被第一與第二供應管路 的側壁吸附,將第一與第二供應管路結合成一個單一管路 放置在反應空間的上游可能會延續供應管路側閉的反應與 沈積,這是不希望看到的。 介紹到的反應器1 0可以用於各種I C晶圓製程應用上, 這些製程應用包括(但不限於):用於後段製程的阻障與金 屬;作為閘極、堆疊結構、電容器的高-與低-介電材料;
9896pif.ptd 第14頁 578212 五、發明說明(9) 以及薄膜氧化物或内層。 接著敘述反應器1 0的一般操作過程。在第一步驟中, 第一前驅物A會被供應到反應室1 2中,特別的是第一前驅 物供應源會開啟,這樣可以讓第一前驅物A通過第一供應 管2 0而進入到反應室1 2,此時第二供應源是處於關閉的狀 態。第二前驅物供應的關閉比如可以用一個振動閥或是利 用鈍氣調節閥的設置來達成,比如像是在2 0 0 2年1月2 1曰 刊載的International Publication N 0. WO 02/08488 第8 頁提到的設計。清潔氣體較佳是流經過第一與第二供應管 2 0, 2 2,在此步驟中,第一前驅物A會被吸附在基底1 6的 活性位置上,以形成一層吸附的單層。在第二步驟期間, 過多的第一前驅物A以及副產物會從反應器1 0中移走,此 過程的進行是截斷第一前驅物的流動,然後持續將清潔氣 體通入第一與第二供應管20, 22。在第三步驟中,將第二 前驅物B提供到反應室1 2,特別是在第一前驅物供應源仍 舊關閉時,將第二前驅物供應源開啟,清潔氣體最好仍通 過第一與第二管路20, 22,第一與第二前驅物彼此有很高 的反應性,因此吸附的第一前驅物A單層會直接與導入到 反應室12中的第二前驅物B反應,而在基底16上產生預定 的薄膜,當被吸附的第一前驅物的量完全被消耗完以後反 應就會終止。在第四步驟中,過多的第二前驅物以及副產 物會被移出反應室1 2,此過程的進行是截斷第二前驅物的 流動,然後持續將清潔氣體通入第一與第二供應管2 0, 2 2。上述步驟的循環可以視需要重複直到薄膜到達預定厚
9896pi f.ptd 第15頁 578212 五、發明說明(ίο) 度為止,當然清潔狀態可以用幫浦抽取狀態來代替,以下 將就上述的第一與第二供應管2 0, 2 2之一般操作過程與設 計,以及其改良作更進一步的描述。 如上所述,因為反應是自我終止,反應室1 2的設計應 該不會影響到基底1 6上薄膜成長的組成、均勻度或特性, 但是可以發現只有少數的前驅物會呈現這樣理想或近乎理 想的反應模式,阻礙理想成長模式的因素包括:與時間有 關的吸附-釋出現象;起始反應副產物對起始反應的影響 (比如當副產物直接流動移出時,比如在1^(:14 +關3->1^\的 製程中,可能會造成下游與上游的成長速度不同);第二 前驅物會在反應室的上游區域就完全消耗(破壞)完(比如 被熱區的臭氧分解);以及在反應室中不均勻的流動狀況 造成第一前驅物吸附的不均勻。 第2圖繪示為依照本發明一實施例中的一種具有特徵與 優點的A L D反應器5 0之側視圖。此反應器5 0係用以減少上 述不理想的狀況,與上述的反應器一樣,提到的實施例中 包括定義出反應空間5 4的一個反應室5 2 ,有一個晶圓或基 底56放置在反應室52中,且被一個支柱58支撐著,此支柱 5 8係用來將基底移進或移出反應室5 2。在一個改良的設計 中,反應器5 0可以包括一個入口 /出口谭以及一個具有機 械手臂的外部機械人(未顯示),此機械手臂可以用來(i ) 移動基底通過入口 /出口到反應器中,(ii)將基底放置在 支柱上,(i i i )把基底移開支柱,以及/或(i v )自反應器穿 過入口 /出口把基底移出。
9896pif.ptd 第16頁 578212 五、發明說明(π) 在介紹的實施例中,會供應兩種前驅物A與B至反應室 5 2,第一前驅物A是透過第一供應管6 0供應到反應室5 2 中;利用相似的方法,透過第二供應管6 2將第二前驅物B 供應到反應室5 2中。每一供應管路會連接到一個前驅物供 應源(未顯示)以及一個清潔氣體供應源(未顯示)相連通; 其中清潔氣體較佳是鈍氣,其中兩個例子比如為氮氣或氬 氣。清潔氣體也可以用來攜帶第一以及/或第二前驅物, 反應器也可以包括一個排氣管路6 6提供用以自反應室5 2中 將氣體移出。 有一塊沖洗頭平板6 7會放置在反應室5 2中,此沖洗頭 平板6 7是一個單一結構單元,沖洗頭平板6 7最好遍佈整個 反應空間5 4,並將反應空間5 4分隔成一個上室6 8與一個下 室7 0。在改良的實施例中,沖洗頭平板6 7僅會將反應空間 5 4的一部分區域分成上與下室6 8, 7 0,此區域最好位在基 底56上方,並延伸到在第一與第二供應管60, 62的出口之 間的一個空間上。 此沖洗頭平板6 7至少有一部分定義出複數個通道7 2, 由上室6 8連接到下室7 0,在介紹的實施例中,這樣的通道 7 2係透過在沖洗頭平板6 7中有很多小洞的形式呈現,這些 小洞係位於基底5 6上方,在此型態中,沖洗頭平板6 7幾乎 可以阻擋前驅物B進入到下室7 0,直到第二管路6 2的流體 到達基底5 6上方為止。 如上所述,沖洗頭平板6 7較佳是由單一單元構成,此 單元遍佈整個反應空間5 4,在這樣的實施例中,沖洗頭平
9896pif.ptd 第17頁 578212 五、發明說明(12) 板67可以透過在反應室52上下部分之間的一個緊密固定的 機械空間來加以支撐,此沖洗頭平板6 7可以因此在上下部 分的相對邊用一個正向的機械力來維持。也就是說,沖洗 頭平板6 7會被鉗持在反應室5 2可相對移動的上下部分之 間,且不需要額外的固定裝置來固定沖洗頭平板。在其他 實施例中,沖洗頭平板6 7可以由許多種材料製成,且/或 由其他元件來支撐,像是比如用固定在反應室52内的支 架。 通常,通道7 2係用來將第二前驅物B均勻的散佈到基底 5 6上,在介紹的實施例中,通道7 2會被均句的散佈在基底 5 6上,但是在其他設計中,通道7 2的形狀、大小與分布都 可以改變,藉以使前驅物B在基底上的分佈可以達到最大 的均勻度。在再另一個實施例中,假如有需要的話,可以 調整形狀、大小與分布,藉以使得在基底上的第二前驅物 B有不均勻的分布。因為沖洗頭平板6 7可以很容易的被替 換與取代,因此上述單一單元的沖洗頭平板6 7特別有用, 舉例來說,在一實施例中在一實施例中,當在操作時進行 正常裝載與卸載時,沖洗頭平板6 7是被钳持在反應室5 2的 上與下部分,將反應室5 2的上下部分分開就可以移除此沖 洗頭平板6 7,因此如果有必要的話,可以替換具有不同圖 案、分布以及/或尺寸的沖洗頭平板6 7。因此可以輕易的 進行正常例行的實驗,以選定理想之通道圖案、分布以及 /大小,此外這樣的沖洗頭平板在製作上相對較為容易, 成本也較為划算。
9896pif.ptd 第18頁 578212
五、發明說明(13) 依照本發明一種具有特徵與優點的一實施例中,其中 的沖洗頭平板玎以用來改善反應室5 2内的流體圖案,在 第3A圖中提到了一個例子,在此實施例中,沖洗頭平板67 具有一個可變動的厚度t,也就是說沖洗頭平板6 7的厚产士 會隨著下游的方向變厚# ’像這樣在基底5 6與沖洗頭平板^ 7 之間的流體空間s會卩过著下游方向而減少,當流體空間s 變,在基底5 6上控制流體的條件也會隨著基底5 6的各種 置而改變成長速度’這樣的設計以及/或因此所作的改_ 可以減少基底表面上任何不均勻成長速度的情況, ^ 說,因為第一前驅物的水平流動導致的不均勻可 1 = 法加以避免。 用此方 在另一實施例中,沖洗頭平板的設計在於沖洗 與基底之間的距離是以與第3 A圖所示的實施例不—頌平板 式排列’舉例來說,如第3B圖所示,流動空間8合一樣的方 游方向逐漸增加;在另一個實施例中,跨越反鹿者六下 空間s也可以有變化(比如在基底56以及沖洗頭$二$流動 的距離可以隨著接近反應室5 2側壁而變大)。在 之間 實施例中,在沖洗頭平板與基底之間的距離可以姆另一個 減少,反之亦然。在再另一個實施例中,沖洗頭‘ σ ^ f 應室頂端的距離也可以另外有變化或與上述各敬反 替。 !、史化作交 在另一個實施例中,一個ALD反應器1〇0包括— ^ 窗板1 0 2 ’係設計來控制流過沖洗頭平板6 7上之渴百葉 流體。第4A圖繪示為依照本發明之一實施例中,2的 興第2圖 五、發明說明(14) W ί ^ ίιΙΓΛ同的標號來表示,在提到的實施例 平板以内相通道104 ’最好是與在沖洗頭 例中m道72具有相同的圖案,在改良的實施 此Λ Λ 可以放置在沖洗頭平板67的下方。 以相對著二2會與一調節器單元1〇6接合在-起,可 到的i二頭平板67移動’較佳是沿著χ-y平面。在提 壓dt:節器m可以是很多種形式,比如像是 苹ί板二,、以及/或有電力…第4b圖所示,百 ^ i ^ ^^ ^ t 〇2 ^ 窗板m Λ 關閉沖洗頭平板67上的通道72以及百葉 =下 1:端通提道rj:”在百葉窗板102與沖洗頭 板102在關W 或多的分流通道n〇,這樣當百葉窗 氣體可以透Λ位*置時(第4B圖)’在反應室上部分68中的 如第4A H π k排虱裝置6 6洩漏,當百葉窗板1 0 2開啟時, H 分流通道102最好是關閉。 優點之沖哗與5第5 B圖繪示係為本發明實施例中具有特徵與 圖)。/二^碩平板1 2 2 (第5 A圖)以及百葉窗板1 2 0 (第5 B 11 ? 4 ]貫,施例中,百葉窗板1 2 0與沖洗頭平板1 2 2的通 ^的乂右^皮此之間互相分流,所以可以在基底上改變氣 位詈:笛:ί像這樣,透過控制X-y平面的百葉窗板120的 你鈐彳卜·:則驅物的供應速度在Χ — Υ平面的基底上可以逐 游)交到穩’Pi特別的是,在沖洗頭平板122的前段部分(上 變化到ioH5(下游、〉的供應速度變化範圍可以由0 —100〇/〇 〇〜〇 (比如沿著X -方向或是流體方向),相似的控
578212 五、發明說明(15) 制方式也可以用於側邊方向(比如y —方向六 方向)。當然熟習此技藝者可以了解百葉㊁2 &動 板上的孔洞之幾何圖案可以加以變化/且徊、/ ;頭平 伸到每個平板有多或少於四個通道。且沒個原則可以延 百Λ6ΐρ各m為第5AI與第5b圖中的沖洗頭平板以及 :设計成通道124是開啟! 〇〇% ;在第6B圖中葉:板二0 的通道I24會開啟100%,而板子⑴後段的 5口20刚& 而板子120後段的通道124開啟1〇〇% ;在第⑽圖中板子 手邊的通道124會開啟50%,而板子12〇右手邊的通道 二θ開啟1 0 〇 % ;在第6 E圖中’前左通道丨2 4會開啟5 〇 %, 5 0% ^右通道124會開啟25%,後左通道124會開啟1〇〇%,而後 右通道124會開啟50% ;在第6F圖中,前左通道124會開啟 前右通道會開啟1 0 0 %,後左通道丨2 4會開啟2 5 % 而 後右通道1 2 4會開啟5 0 % ^ 根據上面敘述的設計,在反應器1 〇 〇内的流體(請參照 第4 A〜B )可以修改用來消除在反應過程内的不均勻現象, 4寺別的是,透過將百葉窗板1 2 0的位置調整成幾種不同的 流動圖案,就可以達到消除在反應過程内不均勻的現象。 在改良的設計中,百葉窗板可以被設計成沿著垂直方 向移動(比如沿著z -方向),在這樣的設計中’百葉窗板不 需要具有孔洞,可以用來交替的開啟沖洗頦板子上的通 道。
578212 五、發明說明(16) 更進一步可以將上述的百葉窗板設計與第2A-3B圖中提 到的實施例作結合,並在下列的實施例中作詳細的敘述。 第7 A圖繪示為依照本發明介紹另一實施例中的一種具 有特徵與優點的A L D反應器1 5 0。在此實施例中,反應室5 2 定義出一個分開的電漿穴1 5 2,用以產生臨場離子基或是 激發的片段。如上所述,臨場的離子基或是激發的片段可 以用來使在基底表面上的反應順利進行。為了產生臨場的 離子基或是激發的片段,可以以各種方式在電漿穴1 5 2中 產生電漿,像是比如利用一個放置在電漿穴1 5 2内部或外 部的電容電極(電容耦合電漿)、R F線圈(誘導耦合電漿)、 光源、微波、離子輻射、加熱(比如熱的鎢絲可以將氫分 子轉變成氫離子)、以及/或化學反應來產生電漿。 在第7 A圖中提到的實施例,電容器電極1 5 3可以被連接 到一個RF電源1 5 5,並被放置在反應室5 2與電漿穴1 5 2的外 部,在實施例中位於電漿穴1 5 2與基底5 6之間的沖洗頭平 板6 7也可以用來作為電容偶合的其他電極。此實施例具有 幾個優點,比如即使當離子基的生命週期很短時,到成長 表面(比如在基底5 6上)的路徑也夠短,足以確保這些分布 可以供應成長反應;另外電漿穴1 5 2也可以製作大到足以 提供必要的空間,以讓電漿引發並可以自成長表面處把電 漿分開,因此可以保護其不會被電漿中帶有能量的粒子與 電荷破壞;另一個優點比如電漿穴1 5 2僅暴露在一種前驅 物底下,因此不會在電漿穴152的内表面生成薄膜,因此 電漿穴1 5 2的乾淨可以維持一段較長的時間。
1111
9896pif.ptd 第22頁 578212 五、發明說明(17) 在一個實施例中,吸附到基底5 6的表面上的第一前驅 物A不會直接與第二前驅物B反應,相反的第一前驅物A會 與在電漿穴1 5 2内產生之第二前驅物B被激發的部分反應 (比如N 2不會與吸附的部分反應,而N離子會與吸附的部分 反應)。在一個改良的實施例中,第一前驅物A會與一種可 能產生在電漿穴152内或是電漿穴152下游的再組合離子反 應;在另一實施例中,流經過第二供應管路6 2的第二前驅 物B流體會維持固定,而在電漿穴中產生電漿會進行開與 關的循環;在一個改良的實施例中,讓電漿穴開啟與關閉 的循環進行的方法也可以用在一個使用有可分離的電漿穴 之改良反應器上;在再另一個實施例中,上述的反應器 1 5 0可以以一種方式操作,就是在用於產生電漿的電源始 終維持在開啟的狀態時,第二前驅物輪流開與關(或在一 個有效的狀態下)。 第7 B圖提到一種也用到電漿穴1 6 2的改良反應器1 6 0。 在此實施例中,反應器1 6 0包括一個定義出一個反應空間 164的反應室163,有一個基底166會放置在反應空間164 中,並用一個磁化器(susceptor)170加以支撐,此基底可 以被加熱,透過一個第一供應管路1 7 2將第一前驅物導入 反應空間内,其中較佳的是第一供應管路1 7 2與反應室1 6 3 是被設計成可以讓第一前驅物在反應室中的流動與基底 1 6 6的一個反應表面大致平行;有一排氣裝置1 7 4與一幫浦 (未顯示)會被用來幫忙將反應室1 6 3内的材料移除。 在提到的實施例中,反應器1 6 0也包括一個電漿室
9896pif.ptd 第23頁 578212 、發明說明(18) 7 5^’大致位於反應空間164上方,此電漿室175定義出用 =場產生激發片段或離子的電漿穴丨6 2,為了產生離子 ’會透過第二供應管路1 7 6將第二前驅物導入到電漿穴1 6 2 ^ 離子基或其他激發的片段會自產生於電聚室175内的 電裝流出。為了產生電漿,揭露的實施例利用到一個RF線 圈1 77與RF隔板1 79,此結構會被一個比如用石英製成的窗 子1 7 8與電聚穴1 6 2分隔。在另一個實施例中,電衆較佳是 用一個平面誘導線圈來產生,使用這樣的平面誘導線圈的
例子可見於Journal of Applied Physics, Volume 88, Number 7, 3889 (2000)與j〇urnai Science Technology A 19(3), 718 (2001) ’在本發明中也可參考結合使用。 電漿穴1 6 2與反應室1 6 4會被一個離子或沖洗頭平板1 § 〇 分開,此沖洗頭平板1 8 0較佳至少有一部分定義出複數個 通道182 ’透過這些通道可以讓在電漿穴中產生的離子流 到反應空間内1 6 4,較佳的是經過通道丨8 2的流體一般會直 接朝向基底1 6 6的反應表面。在一些實施例中,在沖洗頭 平板1 8 0與基底1 6 6之間的空間可以小到幾毫米,這樣的設 計即使是對生命期短的離子基來說,仍可以在晶圓表面上 提供足夠的離子基濃度。
在提到的實施例中’清潔氣體可以透過清潔注入管丨8 4 持續供應到電漿穴内,在這樣的設計中,電漿室1 7 5可以 在一個壓力大致固定的狀態下操作。 在提到的實施例中,沖洗頭平板丨8 〇與周圍與反應室
9896pif.ptd 578212 五、發明說明(19) -- 163相鄰的元件可以被加熱,結果可以加熱沖洗頭平板18〇 一側的電漿以及/或另一側的加熱磁化器 (susceptor)170,或是個別加熱沖洗頭平板18〇。 在一些實施例中’ RF電源可以用來輪流轉換流體中的 離子基濃度’在其他實施例中,供應到電漿穴的前驅物可 以輪流轉換’較佳是有持續的流體自電漿穴丨62流到反應 室1 6 4 ;氣體持續的流動比如是鈍氣輪流產生的離子基會 比較好’因為這樣可以避免在反應空間丨64内的第一前^ 物污染到電漿穴1 6 2 ’這樣可以順利的進行導電成份的沈 積而不會有電弧產生;較佳的是電漿穴丨6 2與反應空間丨6 4 之間的正壓力差,也就是電漿穴162内的壓力較大,這樣 的設計也可以增進電漿室1 7 5内的電漿反應。 第7 C圖繪示為依照本發明再另一實施例中也使用到電 漿穴的A L D反應器2 0 0。同樣的編號(比如丨6 2,丨6 3,丨6 6, 170, 174, 176, 184等)會用於與第^圖相似的元件部 份,在此實施例中,電漿穴162内的電漿是電容耦合的, 這樣提到的實施例包括一電容器電極2 〇 2,會透過一個R F 供應迴路2 0 3與一個RF電源相連接(未顯示),且放置在電 漿穴1 6 2内的沖洗頭平板1 8 0上方,這樣的設計與第7 A圖繪 示的設計相似,除了電極的位置是位在反應室1 6 3裡面以 外。 上述參考第7A-7C圖提到的實施例之一些方面也可以與 一種C V D反應器(比如一個反應器用以交替的沈積與壓縮以 產生薄膜),CVD以及/或是脈衝電漿CVd用於導電薄膜上會
9896pif.ptd 第25頁 578212 五、發明說明(20) 有一種已知的問題就是電弧,將電漿產生空間(比如電漿 穴)從CVD環境中加以分隔開的沖洗頭平板的使用會減少這 樣的電弧現象,不像習知極少的電漿前驅物,但是分開的 電漿穴仍舊直接與反應空間相鄰,這樣離子基重新組合的 現象會因為到基底的距離縮短而減少,此實施例也可以用 來產生新的C V D反應,這可以與離子基暫時的活耀,這樣 的反應會在氣相中取代,假如施以R F產生離子基的時間夠 短的話,這樣的反應不會在大量的離子中產生,這樣的方 法可以產生一個新的薄膜特性。 對第7 A - C圖中提到的實施例來說,線圈的形狀與局部 電流的密度,以及石英窗的形狀可以依照反應過程,像是 比如均句的、根據沈積的速度、以及電漿的反應等被修改 成各種形狀,在一些實施例中,可以用一個磁場來塑造與 限制電漿,以抑制反應壁的侵蝕並增進薄膜的均勻度,在 沖洗頭平板中通道的大小、形狀、放置的位置以及方向也 可以比如依照薄膜特性、沈積速度以及電漿的反應等被調 整到最理想的狀態;在一個相似的方法中,沖洗頭平板與 基底之間的距離是可以選擇在反應中帶有離子基的,舉例 來說,假如選擇一個較大的距離,生命期短的離子基就不 能提供長的擴散或流動路徑,此外在較高的壓力下會有較 少的離子基從沖洗頭平板傳到基底上。 在第7 A - C圖提到的各點也可以用於在反應室内引入離 子基以清潔側壁,以及/或調整反應室,像是那些來自於 N F 3電漿的離子基。
9896pif.ptd 第26頁 578212 五、發明說明(21) 在第7 A - C圖中提到的實施例有幾個優點,比如即使是 生命週期短的成分,也可以對整個基底提供具有均勻濃度 的離子基,在反應器内的形狀與流體可以選擇性的自RF源 處獨立出來,在反應器的短脈衝與清潔時間的設計上可以 有更大的彈性,電漿的電位是低的,此時在離子源内可以 提供一個比反應室為高的壓力,且此電漿為誘導耦合的。 因此,側壁的濺鍍影響會較小,誘導耦合的電荷會非常有 效率;當使用金屬、類金屬或其他具有良好導電特性的材 料時,會有像是金屬氮化物與碳化物沈積出現,電漿空間 與反應空間的分隔不會造成電弧問題。這些實施例也可以 提供一個清潔以及/調整反應室的簡便方法。 在第7 A - C圖中提到的實施例有幾個特點也可以進一步 與第3 A - β F圖中提到的實施例之特點作結合。 第8圖繪示為另一種改良的電漿誘導ALD反應器250。此 反應器2 5 0較佳是位在一個密封的環境中,且包括一個上 部元件254與一個下部元件256,這些元件254, 256較佳是 以絕緣材料構成(比如陶究材料)。 此下部元件256定義出一個穴室258,這是構成反應室 2 6 0的一部分,最好有一個前驅物注入管2 6 2延伸經過上下 部元件2 5 4, 2 5 6,以使反應室2 6 0可以與前驅物供應源(未 顯示)溝通。在一個相近的方法中,清潔氣體的注入管2 6 4 會延伸經過上下部元件2 5 4, 2 5 6,以使清潔氣體源可以與 反應室2 6 0溝通,也會有一個排氣管路2 6 6用以自反應室 2 6 0處移除材料。雖然之前的說明書中未提到,但是反應
9896pif.ptd 第27頁 578212 五、發明說明(22) 器2 5 0可以包括一或多的額外的前驅物注入管2 6 2,以將額 外的前驅物供應到反應室2 6 0,此外清潔氣體也可以用來 經過前驅物注入管之一進入到反應室。 基底268會被放置在位於反應室260内的一個磁化器270 上,在提到的實施例中,此磁化器2 7 0係位於一個磁化器 輔助機械2 7 2上,此結構也可以包括一個用以加熱磁化器 2 7 0的加熱器,此磁化器辅助機械2 7 2可以用來將基底2 6 8 « 移入與移出反應室2 6 0,並可以使下部元件2 5 6在製程進行 中將反應室260密封起來。 · 最好在一個石英或是陶兗密封器276内放置一個RF線圈 2 7 4,在提到的實施例中,R F密封器2 7 6與線圈2 7 4會被放 # 置在形成於下部元件256内的一個第二穴室278中(在第一 穴室2 5 8内),此穴室2 7 8會設計成使RF線圈2 7 4位於基底 268上方,線圈274會與一個RF產生器以及匹配電路相連 接,如此就可以在反應室260内的基底268上方產生誘導耦 合電漿2 8 2,在這樣的設計中,基底會隨著電漿電位的自 我調整而處於浮置或是接地狀態,假如所有其他反應器的 元件是絕緣的,這樣電子與離子到基底2 6 8的流動率會相 等。 這種設計有幾個優點,比如因為電漿是誘導耦合的, 電漿電位低,這會減少濺鍍的現象,此外因為電漿是直接 β 位於基底2 6 8上方,即使是生命期短的離子基或是激發片 段也會在基底表面有均勻的濃度分布。 < 第9圖繪示為另一種的改良電漿誘導ALD反應器3 0 0。與
9896pif.ptd 第28頁 ^8212 五、發明說明(23) 弟8圖相似的元件會以一樣的編號來表示,在此實施例 中’基底2 6 8會被放置在一個室壁30 2上,在之前的實施例 中’基底268是被放置在反應室260内位於磁化辅助機械 2 7 2内的磁化器2 7 0上,此磁化器輔助機械2 7 2係用以將基 底2 6 8移出與移入反應室2 6 0,並用以在製程進行期間將&反 應室260密封起來。 有一個前驅物注入管3 0 4會被用來將反應室2 6 〇連接到 前驅物供應源(未顯示),雖然沒有提到,但是反應器3 〇 〇 也可以包括一個分開的清潔注入管以及/或一或多個前驅 物注入管’以提供一種清春氣體或疋額外的前驅物到反應 室268内,另外最好有一個氣體出入管306被用來自反應室 260内將材料移除。 在提到的實施例中,R F線圈2 7 4與密封器2 7 6會被放置 在反應室2 6 0内,這樣來自注入管3 0 4的前驅物一定會流經 過RF線圈2 74的上下周圍’以流經過基底2 6 8上方。像這 樣,有一個流體的導引器3 0 8會被放置在反應室2 6 0内,藉 以將前驅物往一個方向導引到R F線圈周圍,雖然這邊沒有 提到,可以想到提到的設計中流體導引器3 0 8會在RF線圈 2 7 4上方產生一個通道,以將前驅物沿著水平的方向導引 到RF線圈2 74上方,此前驅物接著會沿著RF線圈2 74的部分 垂直的流動,在此提到流體會被水平的導引與擴散,這樣 前驅物會沿著大致平行的方向在基底2 6 8上流動,在基底 2 6 8的下游處,流體會被導引到垂直向上的方向,然後被 水平的導引到R F線圈2 7 4上方到達出口管3 0 6 ,在改良的實
9896pif.ptd 第29頁 578212 五、發明說明(24) 施例中,出入管306可以放置在RF線圈274的下方。 提到的實施例中有幾個優點,比如與第7 A - 7 B圖中提到 的實施例相較,前驅物的流動路徑較少限制,這樣會使激 發片段到基底之間重組成的狀況較少,此外這樣會比較容 易在前驅物添加之間清潔水平的流動路徑。 將一個導電板310放置在RF密封器2 7 6的底部,這樣電 漿2 8 2就只會產生在RF線圈2 74上方,另外因為在導電板 3 1 0與基底2 6 8之間的空間最好要小於一般普遍條件下電漿 存在所需的隱藏空間,電漿只會產生在RF線圈2 74上方的 較大空間内。 提到的實施例有幾個優點,比如因為電漿不會直接到 達基底2 6 8上方,濺鍍的現象會降低,且因此此實施例對 基底上有敏感元件(比如堆疊閘極)以及/或電漿損害會特 別有影響的前端應用之相關製程特別有用。 在提到的實施例中,電漿2 8 2也會產生在反應器的出口 管端,但是也可以在一個改良的實施例中在出口管端的電 漿2 8 2也可以省略。 第1 0圖繪示為另一種使用電漿的反應器。此實施例與 第9圖相近,因此會使用的相同的編號,在此實施例中, 電漿是誘導耦合的,這樣電容器板303會被放置在反應室 2 6 0内,上室壁3 0 2會接地並導電,所以會在電容器板303 與上室302上方的空間内產生電漿282 ,在第10圖内的實施 例中,流體導引器3 0 8會將在電容器板3 0 3周圍的前驅物導 引到基底2 6 8上方的空間,所以前驅物會以大致水平的方
9896pif.ptd 第30頁 578212
向流到基底上方。 第1 1圖繪示為依照本發明之再另一種改良電漿誘導A乙d 反應器3 2 0。在此實施例中,反應器3 2 〇定義出一反應空間 322 ’其中基底324會被放置在一個磁化器326上,有一個 負載鎖328會用來將基底324移出與移入反應空間。 反應器包括一個第一注入管3 3 0,在提到的實施例中, 第一注入管3 3 0會與一個三向閥3 3 2相連接,以輪流連接到 第一前驅物供應源3 34與一個清潔氣體供應源3 3 6,更進_ 步詳細說明,第一前驅物最好是金屬前驅物。
反應器320也包括一個第二注入管338,在提到的實施 例中,第二注入管338會置於反應器320的上壁340與一個 中間壁3 4 2之間,此第二注入管3 3 8會與一個第二前驅物供 應源3 4 4相連接,此前驅物最好是非金屬前驅物。第二注 入管也可以選擇性的與清潔氣體供應源(未顯示)相連接, 此第二注入管338包括一對電極346,用以在反應空間322 上的第二注入管338内產生電漿348,反應器也可以包括一 個排氣管路3 4 7,用以自反應空間32 2將材料移除。
在第一個步驟中,第一前驅物會被供應到反應室3 2 2 中’特別是會將三向閥3 3 2開啟,藉以讓第一金屬前驅物 可以自第一前驅物供應源3 3 4流到反應室3 2 2内,而此時第 二供應源3 4 4會維持關閉。在此步驟中,第一金屬前驅物 會被吸附在基底3 2 4上的活性位置上,以形成一吸附單 層。在第二步驟中,過多的第一前驅物與副產物會被移除 反應器3 2 0,此動作可以透過關閉第一前驅物的供應而繼
9896pi f.ptd 第31頁 578212 五、發明說明(26) 續透過三向閥332輸入清潔氣體來達成。在第三步驟中, 第二前驅物會被供應到反應室3 2 2内,也就是將第二前驅 物供應源344開啟然後將電極3 4 6啟動以在第二注入管338 内產生電漿3 4 8 ,透過電漿3 4 8產生的反應劑會更有反應 性,這樣被吸附的第一前驅物單層會直接與導入到反應室 3 2 2内的第二前驅物的反應劑反應,這會在基底3 2 4上形成 預期的薄膜,當在基底上吸附的第一前驅物的所有量被消 耗完以後反應就會停止。在第四步驟中,過多的第二前驅 物與副產物會自反應室3 2 2處移除,此動作可以透過關閉 第二前驅物供應源然後開啟清潔氣體源3 3 6以提供清潔氣 體,在一個改良的設計中,與第二注入管3 3 8相連接的清 潔氣體源(未顯示)會被開啟,而清潔氣體會將任何殘餘的 第二前驅物氣體由電極3 4 6之間的空間往反應室3 2 2排出, 直到留在所有過多的第二前驅物與任何反應副產物被清除 完為止,上述的循環步驟可以視必要重複進行,使薄膜長 到預定的厚度,當然清潔氣體這部分可以用抽真空的方式 來代替。 提到的實施例具有幾個優點,比如因為電極3 4 6會被放 置在第二注入管338内,所以電極不會暴露在金屬前驅物 下,這樣電極3 4 6就不會出現短路,此狀況可能會出現在 假如導電薄膜沈積到電極3 4 6上時。 雖然本發明已以一較佳實施例揭露如上,然其並非用 以限定本發明,任何熟習此技藝者,在不脫離本發明之精 神和範圍内,當可作各種之更動與潤飾,因此本發明之保
9896pif.ptd 第32頁 578212 五、發明說明(27) 護範圍當視後附之申請專利範圍所界定者為準。 9896pif.ptd 第33頁 578212 圖式簡單說明 圖式之簡單說明: 第1圖繪示為一種習知ALD反應器之側視圖; 第2圖繪示為依照本發明一實施例中的一種具有特徵與 優點的A L D反應器之側視圖; 第3 A圖繪示為依照本發明一實施例中的一種具有特徵 與優點的沖洗頭平板之側視圖; 第3 B圖繪示為依照本發明另一實施例中的一種具有特 徵與優點的沖洗頭平板之側視圖; 第4 A - B圖繪示為依照本發明另一實施例中的一種具有 特徵與優點的A L D反應器之側視圖,在第4 A圖中百葉窗板 是呈現開啟的狀態,而在第4 B圖中百葉窗板是關閉的狀 態; 第5 A圖繪示係依照本發明一實施例中的一種具有特徵 與優點的沖洗頭平板之上視圖; 第5 B圖繪示係依照本發明一實施例中的一種具有特徵 與優點的百葉窗板的上視圖; 第6A-F圖繪示為第5A圖與第5B圖中的沖洗頭平板以及 百葉窗板各種狀態之上視圖; 第7 A圖繪示為依照本發明另一實施例中的一種具有特 徵與優點的ALD反應器之側視圖; 第7 B圖繪示為依照本發明再另一實施例中的一種具有 特徵與優點的A L D反應器之側視圖; 第7 C圖繪示為依照本發明再另一實施例中的一種具有 特徵與優點的ALD反應器之側視圖;
9896pif.ptd 第34頁 578212 圖式簡單說明 第8圖繪示為依照本發明之一種具有特徵與優點的電漿 誘導ALD反應器之側視圖; 第9圖繪示為依照本發明之一種具有特徵與優點的改良 電漿誘導ALD反應器之側視圖; 第1 0圖繪示為依照本發明之另一種具有特徵與優點的 改良電漿誘導ALD反應器之側視圖;以及 第1 1圖繪示為依照本發明之再另一種具有特徵與優點 的改良電漿誘導ALD反應器之側視圖。
9896pif.ptd 第35頁

Claims (1)

  1. ^78212
    一反應寘,定義出一反應空間; 曰、一沖洗頭平板,置於該反應空間内並將該反應空間區 隔成放置有該基底的一第一部份與一第二部分; 第一前驅物供應源,與該反應空間之該第一部份相 溝通; 一第二前驅物供應源,與該反應空間之該第二部分相 溝通;以及 該沖洗頭平板係結合用以在水平方向調整該基底上的 該表面反應。 2 ·如申請專利範圍第1項所述之原子層沈積反應器,其 中該沖洗頭平板包括一第一平板,由該反應室之該第二部 分延伸至該第一部份至少定義出複數個通道。 3·如申請專利範圍第2項所述之原子層沈積反應器,其 中該第一平板具有一可變動之厚度。 4 ·如申請專利範圍第2項所述之原子層沈積反應器,包 括一百葉窗,係用以選擇性的開關該第一平板的該些通 道。 5 *如申請專利範圍第4項所述之原子層沈積反應器,其 中該百葉窗包括複數個通道,係為該第一平板之該些通道 之分支。 6 ·如申請專利範圍第4項戶斤述之原子層沈積反應器,其 中該沖洗頭平板具有一可變動之厚度。
    578212 修正 MM 六、申請專利範圍 /·如申請專利範圍第1項所述之原子層沈積反應器,其 中該沖洗頭平板為一體成形之平板。 /·、如申請專利範圍第1項所述之原子層沈積反應器,其 中5亥沖洗頭平板係用以改善該基底上之一反應表面的流動 狀況。 9 ·如申請專利範圍第1項所述之原子層沈積反應器,其 中該原子層沈積反應器包括一電漿產生源,而該原子層沈 積反應器之該第二部分會形成一電漿穴用以產生臨場電 漿0
    I 0 ·如申請專利範圍第9項所述之原子層沈積反應器, 其中該電漿產生源係用以產生一誘導耦合電漿。 II ·如申請專利範圍第9項所述之原子層沈積反應器, 其中該電漿產生源係用以產生一電容耦合電漿。 1 2 ·如申請專利範圍第11項所述之原子層沈積反應器, 其中該電漿產生源包括一第一電極與一第二電極。 1 3 ·如申請專利範圍第1 2項所述之原子層沈積反應器, 其中該第一電極係位於該反應室之外面,而該沖洗頭平板 即為該第二電極。 〜
    1 4·如申請專利範圍第丨2項所述之原子層沈積反應器, 其中該第一電極係位於該反應室之内部,而該沖洗頭平板 為該第二電極。 I5·—種原子層沈積反應器,係用以在一基底上交替重 複進行氣相反應物的表面反應,包括: 一反應室,定義出一反應空間;
    9896pifl.ptc 第37頁 578212 —__案號 91118370 年月 U 9_ί±^__ 六、申請專利範圍 一基底,放置於該反應室内; 一誘導耦合電漿產生裝置,係位於該反應室内,且用 以將電漿直接產生在該基底上;以及 一第一前驅物供應源,與該反應空間相溝通。 1 6 ·如申請專利範圍第1 5項所述之原子層沈積反應器, 其中該誘導耦合電漿產生裝置包括一 RF線圈。 1 7 ·如申請專利範圍第1 5項所述之原子層沈積反應器, 其中該誘導耦合電漿產生裝置包括一平面誘導線圈。 18· —種原子層沈積反應器,係用以在一基底上交替重 複進行氣相反應物的表面反應,包括: 一反應室,定義出一反應空間; 一基底,放置於該反應室内; 一電漿產生裝置,具有一上表面與一下表面,該電漿 產生裝置係位於該反應室内,因此一電漿會產生在該電漿 產生裝置之該上表面以及該反應室之一上壁之間; 一第一前驅物供應源,透過一入口與該反應空間相溝 通;以及 一流體導引,係用以將該第一前驅物引到該電漿產生 裝置之該上表面上,沿著該電漿產生裝置之一侧,並到達 該電漿產生裝置之下表面與該基底之間之一空間’該第一 前驅物會依照一第一方向流動,該第一方向係與該基底平 行。 1 9 ·如申請專利範圍第1 8項所述之原子層沈積反應器’ 其中該電漿產生裝置係用以產生一誘導耦合電漿。
    9896pifl.ptc 第38頁 578212 ___案號 91118370 >oc^年月 V 日 條& _ 六、申請專利範圍 2 0 ·如申請專利範圍第1 9項所述之原子層沈積反應器, 其中該電漿產生裝置包括一導電板。 21·如申請專利範圍第18項所述之原子層沈積反應器, 其中該電漿產生裝置係用以產生一電容耦合電漿。 2 2 · —種原子層沈積反應器,係用以在一基底上交替重 複進行氣相反應物的表面反應,包括: 一反應室,定義出一反應空間,該反應空間包括一第 一區域與一第二區域,彼此透過機械力固定; 一沖洗頭平板,放置於該反應空間内,將該反應空間 分隔成放置有該基底的一第一部份以及一第二部分,該沖 洗頭平板自該反應空間之該第二部分延伸自該第一部份至 少定義出複數個通道,其中該沖洗頭平板係被支撐在一凹 槽中’該凹槽至少有一部分是由該反應室之該第一與第二 部分形成; " 一第一前驅物供應源,與該反應空間之該第一部份相 溝通;以及 一第一前驅物供應源,與該反應空間之該第二部分相 溝通。 2 3 · —種原子層沈積方法,包括複數個循環,每一循環 包括: 供應一第一前驅物到一反應空間,其中放置有一基 底; 讓該第一 t驅物吸附到該基底之一表面上,藉以形成 一吸附層;
    9896pifl.ptc 第39頁 578212 修正 案號 91118370 六、申請專利範圍 自該反應空間中移除該第一前驅物; 供應一第二前驅物; 完整的讓該第二前驅物通過延伸到該基底上之一沖洗 頭平板,該第二前驅物會與該吸附層反應;以及 自該反應空間移除該第二前驅物。
    9896pifl.ptc 第40頁
TW091118370A 2001-08-15 2002-08-15 Atomic layer deposition reactor TW578212B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US31262801P 2001-08-15 2001-08-15

Publications (1)

Publication Number Publication Date
TW578212B true TW578212B (en) 2004-03-01

Family

ID=23212311

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091118370A TW578212B (en) 2001-08-15 2002-08-15 Atomic layer deposition reactor

Country Status (5)

Country Link
US (2) US6820570B2 (zh)
JP (1) JP2004538374A (zh)
KR (1) KR100943695B1 (zh)
TW (1) TW578212B (zh)
WO (1) WO2003016587A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8097083B2 (en) 2005-07-29 2012-01-17 China Star Optoelectronics International (Hk) Limited Operating method for a large dimension plasma enhanced atomic layer deposition cavity and an apparatus thereof
CN106544646A (zh) * 2015-09-18 2017-03-29 沈阳拓荆科技有限公司 一种原子层沉积设备

Families Citing this family (532)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6671223B2 (en) * 1996-12-20 2003-12-30 Westerngeco, L.L.C. Control devices for controlling the position of a marine seismic streamer
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
KR101050377B1 (ko) * 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
JP2005504885A (ja) * 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
WO2003038145A2 (en) * 2001-10-29 2003-05-08 Genus, Inc. Chemical vapor deposition system
KR100782529B1 (ko) * 2001-11-08 2007-12-06 에이에스엠지니텍코리아 주식회사 증착 장치
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
AU2003242099A1 (en) * 2002-06-10 2003-12-22 Tokyo Electron Limited Processing device and processing method
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US7342984B1 (en) 2003-04-03 2008-03-11 Zilog, Inc. Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character
US20050178336A1 (en) * 2003-07-15 2005-08-18 Heng Liu Chemical vapor deposition reactor having multiple inlets
US7470329B2 (en) * 2003-08-12 2008-12-30 University Of Maryland Method and system for nanoscale plasma processing of objects
US7282244B2 (en) 2003-09-05 2007-10-16 General Electric Company Replaceable plate expanded thermal plasma apparatus and method
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050183824A1 (en) * 2004-02-25 2005-08-25 Advanced Display Process Engineering Co., Ltd. Apparatus for manufacturing flat-panel display
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
KR100590554B1 (ko) * 2004-05-28 2006-06-19 삼성전자주식회사 반응용기 및 시편홀더의 구조가 개선된 단원자층 증착장치
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
JP2006019414A (ja) * 2004-06-30 2006-01-19 Canon Inc プラズマ処理装置
US7381291B2 (en) * 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
DE102004056170A1 (de) * 2004-08-06 2006-03-16 Aixtron Ag Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung mit hohem Durchsatz
JP2006093557A (ja) * 2004-09-27 2006-04-06 Sharp Corp 気相成長装置
WO2006078666A2 (en) * 2005-01-18 2006-07-27 Asm America, Inc. Reaction system for growing a thin film
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP4807960B2 (ja) * 2005-03-17 2011-11-02 株式会社アルバック 成膜装置及び成膜方法
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
JP3984639B2 (ja) * 2005-03-30 2007-10-03 松下電器産業株式会社 伝送線路
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
KR100721576B1 (ko) * 2005-04-06 2007-05-23 삼성에스디아이 주식회사 유기 전계 발광 소자 제조 방법
CN101171365B (zh) * 2005-05-09 2010-05-19 Asm吉尼泰克韩国株式会社 多入口原子层沉积反应器
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7464917B2 (en) * 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US20070084406A1 (en) * 2005-10-13 2007-04-19 Joseph Yudovsky Reaction chamber with opposing pockets for gas injection and exhaust
US20070084408A1 (en) * 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
US7432513B2 (en) * 2005-10-21 2008-10-07 Asml Netherlands B.V. Gas shower, lithographic apparatus and use of a gas shower
CN101448977B (zh) 2005-11-04 2010-12-15 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺
US20070215036A1 (en) * 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
KR20090005374A (ko) * 2006-04-18 2009-01-13 울박, 인크 성막 장치, 배리어막 제조 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
KR100799735B1 (ko) * 2006-07-10 2008-02-01 삼성전자주식회사 금속 산화물 형성 방법 및 이를 수행하기 위한 장치
WO2008016836A2 (en) * 2006-07-29 2008-02-07 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
KR20080027009A (ko) * 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US8158526B2 (en) 2006-10-30 2012-04-17 Applied Materials, Inc. Endpoint detection for photomask etching
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7692222B2 (en) * 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
KR101355638B1 (ko) * 2006-11-09 2014-01-29 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US20080131979A1 (en) * 2006-12-04 2008-06-05 Sumitomo Electric Industries, Ltd. Vapor-Phase Growth System and Vapor-Phase Growth Method
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US20080241384A1 (en) * 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
US20090096349A1 (en) * 2007-04-26 2009-04-16 Moshtagh Vahid S Cross flow cvd reactor
US8030212B2 (en) * 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
US7939447B2 (en) 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US8282735B2 (en) 2007-11-27 2012-10-09 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US8628616B2 (en) * 2007-12-11 2014-01-14 Sumitomo Electric Industries, Ltd. Vapor-phase process apparatus, vapor-phase process method, and substrate
FI123322B (fi) * 2007-12-17 2013-02-28 Beneq Oy Menetelmä ja laitteisto plasman muodostamiseksi
US20090155488A1 (en) * 2007-12-18 2009-06-18 Asm Japan K.K. Shower plate electrode for plasma cvd reactor
DE102007063380A1 (de) * 2007-12-20 2009-06-25 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Beschichtungsvorrichtung zur Beschichtung eines Substrates bei Atmosphärenbedingungen
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
JP5060324B2 (ja) * 2008-01-31 2012-10-31 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び処理容器
US8273178B2 (en) 2008-02-28 2012-09-25 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
US20100101491A1 (en) * 2008-10-29 2010-04-29 Asm Japan K.K. Wafer lift pins suspended and supported at underside of susceptor
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
KR100940770B1 (ko) * 2008-11-06 2010-02-10 주식회사 시스넥스 화학기상증착 반응기의 가스공급장치
KR101714660B1 (ko) * 2008-11-07 2017-03-22 에이에스엠 아메리카, 인코포레이티드 반응 챔버
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR20110100618A (ko) * 2008-12-05 2011-09-14 로터스 어플라이드 테크놀로지, 엘엘씨 향상된 장벽 층 특성을 갖는 얇은 막의 고속 증착
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
US9394608B2 (en) * 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US20100266765A1 (en) * 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102239544A (zh) * 2009-09-17 2011-11-09 东京毅力科创株式会社 等离子体处理装置以及等离子体处理装置用气体供给机构
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
US8637123B2 (en) * 2009-12-29 2014-01-28 Lotus Applied Technology, Llc Oxygen radical generation for radical-enhanced thin film deposition
JP2011144412A (ja) * 2010-01-13 2011-07-28 Honda Motor Co Ltd プラズマ成膜装置
WO2011121507A1 (en) * 2010-03-29 2011-10-06 Koolerheadz Gas injection device with uniform gas velocity
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US8801858B2 (en) * 2010-12-23 2014-08-12 First Solar, Inc. Non-wear shutter apparatus for a vapor deposition apparatus
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
DE102011104132B3 (de) * 2011-06-14 2012-11-29 Oliver Feddersen-Clausen Plasmaunterstütztes ALD-Verfahren sowie Vorrichtung zur Bildung einer dünnen Schicht auf einem Substrat
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
KR101288129B1 (ko) 2011-07-13 2013-07-19 삼성디스플레이 주식회사 기상 증착 장치, 기상 증착 방법 및 유기 발광 표시 장치 제조 방법
KR101328980B1 (ko) 2011-07-13 2013-11-13 삼성디스플레이 주식회사 기상 증착 장치, 기상 증착 방법 및 유기 발광 표시 장치 제조 방법
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
WO2014064779A1 (ja) * 2012-10-24 2014-05-01 株式会社Jcu プラズマ処理装置及び方法
US20140116336A1 (en) * 2012-10-26 2014-05-01 Applied Materials, Inc. Substrate process chamber exhaust
US8944003B2 (en) * 2012-11-16 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Remote plasma system and method
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US10316409B2 (en) * 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9399228B2 (en) 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) * 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9617637B2 (en) * 2014-07-15 2017-04-11 Lam Research Corporation Systems and methods for improving deposition rate uniformity and reducing defects in substrate processing systems
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP5938491B1 (ja) * 2015-03-20 2016-06-22 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
KR102638572B1 (ko) 2015-06-17 2024-02-21 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버 내의 가스 제어
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
FI127769B (en) * 2016-03-11 2019-02-15 Beneq Oy Apparatus and method
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
FI127503B (en) * 2016-06-30 2018-07-31 Beneq Oy Method of coating a substrate and device
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10752991B2 (en) 2017-02-06 2020-08-25 Applied Materials, Inc. Half-angle nozzle
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
FI129700B (en) * 2017-10-18 2022-07-15 Beneq Oy Nozzle head
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
CN112368802A (zh) * 2018-07-31 2021-02-12 应用材料公司 用于ald工艺的方法和设备
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
WO2020040915A1 (en) * 2018-08-22 2020-02-27 Applied Materials, Inc. High density plasma enhanced chemical vapor deposition chamber
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
FI129502B (en) * 2019-04-25 2022-03-31 Beneq Oy Feedstock supply cabinet
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US11032945B2 (en) * 2019-07-12 2021-06-08 Applied Materials, Inc. Heat shield assembly for an epitaxy chamber
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20220035192A (ko) 2019-07-17 2022-03-21 램 리써치 코포레이션 기판 프로세싱을 위한 산화 프로파일의 변조
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210027601A (ko) * 2019-08-29 2021-03-11 삼성전자주식회사 플라즈마 표면처리 장치 및 이를 구비하는 기판 처리 시스템과 이를 이용한 플라즈마 표면처리 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11004703B1 (en) * 2019-10-25 2021-05-11 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Gas flow guiding device for semiconductor processing apparatus and method of using the same
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
JP7550859B2 (ja) * 2019-12-17 2024-09-13 アプライド マテリアルズ インコーポレイテッド 高密度プラズマ化学気相堆積チャンバ
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
US20210355580A1 (en) * 2020-05-13 2021-11-18 Tokyo Electron Limited Systems and Methods for Depositing a Layer on a Substrate Using Atomic Oxygen
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN114686853B (zh) * 2020-12-31 2023-09-01 拓荆科技股份有限公司 可控气流分布的气体喷头
US12027426B2 (en) 2021-01-29 2024-07-02 Applied Materials, Inc. Image-based digital control of plasma processing
US12068134B2 (en) * 2021-01-29 2024-08-20 Applied Materials, Inc. Digital control of plasma processing
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2610556C2 (de) * 1976-03-12 1978-02-02 Siemens AG, 1000 Berlin und 8000 München Vorrichtung zum Verteilen strömender Medien über einen Strömungsquerschnitt
US4612432A (en) * 1984-09-14 1986-09-16 Monolithic Memories, Inc. Etching plasma generator diffusor and cap
JPS62146268A (ja) * 1985-12-20 1987-06-30 Anelva Corp 薄膜製造装置
US5244501A (en) * 1986-07-26 1993-09-14 Nihon Shinku Gijutsu Kabushiki Kaisha Apparatus for chemical vapor deposition
DE3721636A1 (de) * 1987-06-30 1989-01-12 Aixtron Gmbh Quarzglasreaktor fuer mocvd-anlagen
JPH01246365A (ja) * 1987-11-21 1989-10-02 Kureha Chem Ind Co Ltd 反応ガスの比重差を利用した固体膜の製造方法および装置
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US5304279A (en) * 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5356673A (en) 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
JPH05198512A (ja) * 1991-10-04 1993-08-06 Ulvac Japan Ltd 光cvd装置
US5279669A (en) * 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
JP3131005B2 (ja) * 1992-03-06 2001-01-31 パイオニア株式会社 化合物半導体気相成長装置
US5292370A (en) * 1992-08-14 1994-03-08 Martin Marietta Energy Systems, Inc. Coupled microwave ECR and radio-frequency plasma source for plasma processing
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JPH09508466A (ja) * 1994-01-31 1997-08-26 フラウンホーファー−ゲゼルシャフト・ツール・フェルデルング・デア・アンゲヴァンテン・フォルシュング・エー・ファウ 強磁性材料を検出する平坦化技術で作製された超小型コイル装置
US5811022A (en) 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5724015A (en) * 1995-06-01 1998-03-03 California Institute Of Technology Bulk micromachined inductive transducers on silicon
US5767628A (en) * 1995-12-20 1998-06-16 International Business Machines Corporation Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US5669975A (en) 1996-03-27 1997-09-23 Sony Corporation Plasma producing method and apparatus including an inductively-coupled plasma source
DE69719108D1 (de) * 1996-05-02 2003-03-27 Tokyo Electron Ltd Plasmabehandlungsgerät
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
EP0821395A3 (en) * 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JPH1060673A (ja) * 1996-08-21 1998-03-03 Sony Corp エッチング装置
US5942855A (en) * 1996-08-28 1999-08-24 Northeastern University Monolithic miniaturized inductively coupled plasma source
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US20020011215A1 (en) * 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
US6112696A (en) * 1998-02-17 2000-09-05 Dry Plasma Systems, Inc. Downstream plasma using oxygen gas mixture
US6074953A (en) * 1998-08-28 2000-06-13 Micron Technology, Inc. Dual-source plasma etchers, dual-source plasma etching methods, and methods of forming planar coil dual-source plasma etchers
WO2000012964A1 (fr) 1998-08-28 2000-03-09 Mitutoyo Corporation Dispositif et procede d'analyse et de generation d'un programme piece destine aux mesures de coordonnees et de proprietes de surface
US6117788A (en) * 1998-09-01 2000-09-12 Micron Technology, Inc. Semiconductor etching methods
JP4109809B2 (ja) 1998-11-10 2008-07-02 キヤノン株式会社 酸化チタンを含む細線の製造方法
US6113759A (en) * 1998-12-18 2000-09-05 International Business Machines Corporation Anode design for semiconductor deposition having novel electrical contact assembly
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP3595853B2 (ja) * 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
US6266712B1 (en) * 1999-03-27 2001-07-24 Joseph Reid Henrichs Optical data storage fixed hard disk drive using stationary magneto-optical microhead array chips in place of flying-heads and rotary voice-coil actuators
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
JP3668079B2 (ja) * 1999-05-31 2005-07-06 忠弘 大見 プラズマプロセス装置
KR100624030B1 (ko) * 1999-06-19 2006-09-19 에이에스엠지니텍코리아 주식회사 화학 증착 반응기 및 이를 이용한 박막 형성 방법
US6539891B1 (en) * 1999-06-19 2003-04-01 Genitech, Inc. Chemical deposition reactor and method of forming a thin film using the same
SE9903213D0 (sv) 1999-06-21 1999-09-10 Carl Fredrik Carlstroem Dry etching process of compound semiconductor materials
US6306333B1 (en) 1999-06-24 2001-10-23 The Dow Chemical Company Process for making cellulose ethers having reduced yellowing and discoloration
KR100319494B1 (ko) * 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
KR20020029743A (ko) * 1999-08-06 2002-04-19 로버트 엠. 포터 가스와 재료를 처리하기 위한 유도결합 링-플라즈마소스장치 및 그의 방법
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6391146B1 (en) * 2000-04-11 2002-05-21 Applied Materials, Inc. Erosion resistant gas energizer
JP2001284269A (ja) * 2000-04-03 2001-10-12 Shiro Sakai 気相成長装置及び方法
FI20001694A0 (fi) 2000-07-20 2000-07-20 Asm Microchemistry Oy Menetelmä ohutkalvon kasvattamiseksi substraatille
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020104481A1 (en) * 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) * 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US20020197402A1 (en) * 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6553934B2 (en) 2001-01-03 2003-04-29 Senseability, Inc. Method and apparatus for monitoring milking facility pulsation
US7348042B2 (en) * 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
JP2002371361A (ja) * 2001-06-18 2002-12-26 Japan Pionics Co Ltd 気相成長装置及び気相成長方法
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8097083B2 (en) 2005-07-29 2012-01-17 China Star Optoelectronics International (Hk) Limited Operating method for a large dimension plasma enhanced atomic layer deposition cavity and an apparatus thereof
CN106544646A (zh) * 2015-09-18 2017-03-29 沈阳拓荆科技有限公司 一种原子层沉积设备
CN106544646B (zh) * 2015-09-18 2019-02-01 沈阳拓荆科技有限公司 一种原子层沉积设备

Also Published As

Publication number Publication date
US6820570B2 (en) 2004-11-23
KR20040063893A (ko) 2004-07-14
WO2003016587A1 (en) 2003-02-27
US20050092249A1 (en) 2005-05-05
JP2004538374A (ja) 2004-12-24
KR100943695B1 (ko) 2010-02-22
US20030075273A1 (en) 2003-04-24

Similar Documents

Publication Publication Date Title
TW578212B (en) Atomic layer deposition reactor
US20130263783A1 (en) Atomic layer deposition reactor
TWI597378B (zh) 利用高頻電漿沉積金屬的方法
JP6359567B2 (ja) 空間分離原子層堆積のための装置およびプロセス閉じ込め
JP5909484B2 (ja) 短寿命種のためのプラズマ源を組み込んだプロセスチャンバ蓋の設計
US8168269B2 (en) Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
JP5734840B2 (ja) 組合わせプラズマ励起堆積技術
US7314835B2 (en) Plasma enhanced atomic layer deposition system and method
US6689220B1 (en) Plasma enhanced pulsed layer deposition
KR102197576B1 (ko) 재순환을 이용하는 공간적인 원자 층 증착을 위한 장치 및 사용 방법들
JP2020536393A (ja) 高エネルギー原子層エッチング
KR102221562B1 (ko) 급속 열 처리를 이용한 원자 층 증착
US20140023794A1 (en) Method And Apparatus For Low Temperature ALD Deposition
JP2014515790A (ja) ホットワイヤ原子層堆積装置及び使用方法
US20100037820A1 (en) Vapor Deposition Reactor
KR102109108B1 (ko) 독립형 가열 엘리먼트
WO2006101619A2 (en) A deposition system and method
WO2006101886A2 (en) A plasma enhanced atomic layer deposition system and method
US7442615B2 (en) Semiconductor processing system and method
KR100721504B1 (ko) 플라즈마 강화 원자층 증착 장치 및 이를 이용한 박막형성방법
US20070020898A1 (en) System and method for semiconductor processing
WO2014116520A1 (en) Cascaded plasma reactor
US20220145455A1 (en) Reactor and related methods
JPS62213118A (ja) 薄膜形成方法およびその装置
EP2032744A2 (en) System and method for semiconductor processing

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees