KR102109108B1 - 독립형 가열 엘리먼트 - Google Patents

독립형 가열 엘리먼트 Download PDF

Info

Publication number
KR102109108B1
KR102109108B1 KR1020147020422A KR20147020422A KR102109108B1 KR 102109108 B1 KR102109108 B1 KR 102109108B1 KR 1020147020422 A KR1020147020422 A KR 1020147020422A KR 20147020422 A KR20147020422 A KR 20147020422A KR 102109108 B1 KR102109108 B1 KR 102109108B1
Authority
KR
South Korea
Prior art keywords
gas
enclosure
heating element
assembly
heating
Prior art date
Application number
KR1020147020422A
Other languages
English (en)
Other versions
KR20140108564A (ko
Inventor
개리 케이. 광
조셉 유도브스키
스티븐 디. 마르쿠스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20140108564A publication Critical patent/KR20140108564A/ko
Application granted granted Critical
Publication of KR102109108B1 publication Critical patent/KR102109108B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

프로세싱 챔버 내에서 겪게 되는 온도들에서의 열 팽창에 대해 저항력이 있는(resistant) 재료를 포함하는 세장형 엔클로저(elongate enclosure)를 포함하는 어셈블리들이 제공된다. 적어도 하나의 가열 엘리먼트(heating element)는 개방된 내부 영역을 통해 세장형 엔클로저의 세로 축을 따라서 연장하며, 이에 의해 세로 축에 실질적으로 수직인 방향으로 가스들의 유동이 가열 엘리먼트를 통과하는 것을 허용한다. 가스 전구체 종(gaseous precursor species)을 여기시키기 위해 가열 엘리먼트를 이용하여 기판들을 프로세싱하는 방법들이 또한 설명된다.

Description

독립형 가열 엘리먼트{SELF-CONTAINED HEATING ELEMENT}
본 발명의 실시예들은 일반적으로 재료들을 증착하기 위한 장치 및 방법들에 관한 것이다. 보다 구체적으로, 본 발명의 실시예들은, 기판 표면과 접촉하기 전에 가스 종(gaseous species)을 여기시키기(exciting) 위한 가열 엘리먼트 어셈블리(heating element assembly), 및 가열 엘리먼트를 갖는 원자 층 증착 챔버들에 관한 것이다.
반도체 프로세싱, 평판-패널 디스플레이 프로세싱 또는 다른 전자 디바이스 프로세싱 분야에서, 기상 증착 프로세스(vapor deposition process)들이 기판들 상에 재료들을 증착하는데 있어서 중요한 역할을 하였다. 전자 디바이스들의 기하형상들이 계속적으로 축소되고 디바이스들의 밀도가 계속적으로 증가함에 따라, 피쳐들의 크기 및 종횡비가 보다 더 공격적(aggressive)이 되고 있고, 예를 들어 0.07 ㎛ 의 피쳐 크기들 및 10 또는 그 초과의 종횡비들이 되고 있다. 따라서, 이러한 디바이스들을 형성하기 위한 재료들의 등각적인(conformal) 증착이 점점 더 중요해지고 있다.
원자 층 증착(ALD) 프로세스 중에, 기판을 포함하는 프로세스 챔버 내로 반응물 가스(reactant gas)들이 순차적으로 도입된다. 일반적으로, 제1 반응물이 프로세스 챔버 내로 도입되고 그리고 기판 표면 상에 흡착된다. 그런 다음, 제2 반응물이 프로세스 챔버 내로 도입되고 그리고 상기 제1 반응물과 반응하여 증착(deposited) 재료를 형성한다. 각각의 반응물 가스의 전달 사이에서 퍼지 단계를 실시하여, 발생된 반응물(reaction)들 만이 기판 표면 상에 있도록 보장할 수 있다. 퍼지 단계는 캐리어 가스를 이용한 연속적인 퍼지일 수 있거나, 또는 반응물 가스들의 전달 사이의 펄스형 퍼지(pulse purge)일 수 있다.
원자 층 증착에 의해 기판들을 신속하게 그리고 효율적으로 프로세싱하기 위한 장치들 및 방법들에 대한 계속적인 요구가 당업계에 존재하고 있다.
본 발명의 실시예들은, 증착 프로세싱 챔버 내에서의 기상 증착 반응 동안 가스를 가열하기 위한 어셈블리들에 관한 것이며, 상기 어셈블리들은 세장형 엔클로저(elongate enclosure) 및 가열 엘리먼트를 포함한다. 세장형 엔클로저는 세로 축(longitudinal axis)을 가지며, 이러한 엔클로저는 프로세싱 챔버 내에서 겪게 되는(experienced) 온도들에서의 열 팽창에 대해 저항력이 있는(resistant) 재료를 포함한다. 엔클로저는, 가스들의 유동이 세로 축에 실질적으로 수직인 방향으로 엔클로저를 통과하는 것을 허용하기 위한 개방된 내부 영역(open interior region)을 갖는다. 가열 엘리먼트는 세장형 엔클로저의 제 1 단부로부터 세장형 엔클로저의 제 2 단부까지 세로 축을 따라서 연장한다. 가열 엘리먼트는, 기상 증착 반응 동안에 엔클로저를 통해 유동하는 가스를 가열하기 위해 전류(electrical current)에 의해 가열될 재료를 포함한다.
몇몇 실시예들에서, 가열 엘리먼트는, 세장형 엔클로저의 제 1 단부와 제 2 단부 중에서 하나 또는 그 초과를 넘어서 연장하는 부분을 포함하며, 연장된 부분은 전기적인 리드(electrical lead)의 역할을 한다. 하나 또는 그 초과의 실시예들에서, 엔클로저는 세장형 엔클로저의 제 1 단부와 제 2 단부 중 하나 또는 그 초과에서 적어도 하나의 연결부(connection)를 더 포함하며, 이러한 연결부는 와이어와 전기적으로 접촉하고, 전기적인 리드의 역할을 한다. 상세한 실시예들에서, 적어도 하나의 연결부는, 가열 엘리먼트에 대한 전류의 인가에 의해 실질적으로 온도가 증가되지 않는다. 특정 실시예들에서, 엔클로저는 석영을 포함하는 재료로 만들어진다. 구체적인 실시예들에서, 엔클로저는 세라믹을 포함하는 재료로 만들어진다.
구체적인 실시예들에서, 가열 엘리먼트는 텅스텐을 포함한다. 몇몇 실시예들에서, 가열 엘리먼트는 엔클로저의 제 1 단부로부터 엔클로저의 제 2 단부까지 실질적으로 직선의 경로로 연장한다. 상세한 실시예들에서, 가열 엘리먼트는 엔클로저의 제 1 단부로부터 엔클로저의 제 2 단부까지 나선형(helical) 경로로 연장한다. 상세한 실시예들에서, 가열 엘리먼트는 석영 내에 캡슐화된(encapsulated) 금속 와이어를 포함한다.
몇몇 실시예들은 세장형 엔클로저의 적어도 제 1 단부로부터 세장형 엔클로저의 적어도 제 2 단부까지 연장하는 적어도 하나의 부가적인 가열 엘리먼트를 더 포함하며, 적어도 하나의 부가적인 가열 엘리먼트는 전류에 의해 가열하기에 적합한 재료를 포함한다.
하나 또는 그 초과의 실시예들에서, 세장형 엔클로저는 프로세싱 챔버 내의 가스 분배 플레이트의 가스 포트 내에 맞춰지도록(fit) 크기가 정해진다(sized). 몇몇 실시예들에서, 세장형 엔클로저는 가스 분배 플레이트의 전방 면(front face)에 부착되며, 이에 따라 가스 분배 플레이트 내의 가스 포트로부터의 가스의 유동이 어셈블리의 개방된 내부 영역을 통과하게 되며, 어셈블리는 인접하는 가스 포트로부터의 가스의 유동을 실질적으로 방해하지 않는다.
본 발명의 부가적인 실시예들은 입력 면(input face) 및 출력 면(output face)을 포함하는 가스 분배 플레이트들에 관한 것이다. 입력 면은 제 1 전구체 가스의 유동을 수용(receive)하기 위한 제 1 전구체 가스 입력 및 제 2 전구체 가스의 유동을 수용하도록 구성된 제 2 전구체 가스 입력을 포함한다. 출력 면은, 출력 면에 인접하는 기판 쪽으로 가스들의 유동들을 지향시키도록 구성된 복수의 세장형 가스 포트들을 갖는다. 세장형 가스 포트들은 적어도 하나의 제 1 전구체 가스 포트 및 적어도 하나의 제 2 전구체 가스 포트를 포함한다. 적어도 하나의 제 1 전구체 가스 포트는 제 1 전구체 가스와 유동 통신(flow communication)하고, 적어도 하나의 제 2 전구체 가스 포트는 제 2 전구체 가스와 유동 통신한다. 설명되는 바와 같은 어셈블리는, 제 1 전구체 가스 포트와 제 2 전구체 가스 포트 중 적어도 하나 내에서의 가스의 유동이, 어셈블리의 세로 축에 실질적으로 수직인 방향으로, 개방된 내부 영역을 통과하도록 위치된다(positioned). 어셈블리는, 가열 엘리먼트에 전류를 제공하도록 구성된 전력 소스에 연결된다.
상세한 실시예들에서, 어셈블리는 적어도 하나의 가스 포트 내에 위치된다. 구체적인 실시예들에서, 어셈블리는 가스 분배 플레이트의 출력 면에 부착되며, 이에 따라, 가스 포트로부터 유동하는 가스는 어셈블리의 개방된 내부 영역을 통과하고, 세장형 엔클로저는 인접하는 가스 포트들로부터의 가스들의 유동을 실질적으로 방해하지 않는다. 특정 실시예들에서, 가열 엘리먼트에 전류를 제공하게 되면, 열 엘리먼트(thermal element)를 가로질러 유동하는 가스 내의 종을 여기시키기 위해 가열 엘리먼트를 가열하며, 세장형 엔클로저는 실질적으로 팽창(expand)하지 않는다.
본 발명의 다른 실시예들은 증착 프로세싱 챔버 내에서의 기상 증착 반응 동안 가스를 가열하기 위한 어셈블리들에 관한 것이며, 상기 어셈블리들은 세장형 엔클로저 및 적어도 하나의 가열 엘리먼트를 포함한다. 세장형 엔클로저는 프로세싱 챔버 내에서 겪게 되는 온도들에서의 열 팽창에 대해 저항력이 있는 재료를 포함한다. 세장형 엔클로저는 세로 축을 따라서 연장하고, 개방된 내부 영역(이러한 개방된 내부 영역은, 가스의 유동이 세로 축에 실질적으로 수직인 방향으로 이러한 개방된 내부 영역을 통과하는 것을 허용함)을 가지며, 세장형 엔클로저는 프로세싱 챔버 내의 가스 분배 플레이트의 채널 내에 맞춰지도록 크기가 정해진다. 적어도 하나의 가열 엘리먼트는, 세장형 엔클로저의 제 1 단부를 넘는 영역으로부터, 개방된 내부 영역을 통해, 세장형 엔클로저의 제 2 단부를 넘는 영역까지 세로축을 따라서 연장한다. 적어도 하나의 가열 엘리먼트는 전류에 의해 가열하기에 적합한 재료를 포함하며, 그리고 세장형 엔클로저의 제 1 단부를 넘는 그리고 세장형 엔클로저의 제 2 단부를 넘는 영역 내의, 가열 엘리먼트의 부분들은 전기적인 리드들의 역할을 한다. 상세한 실시예들에서, 가열 엘리먼트는 개방된 내부 영역을 통해 유동하는 가스 내의 종을 여기시키도록 가열될 수 있다.
본 발명의 부가적인 실시예들은 프로세싱 챔버 내에서 기판을 프로세싱하는 방법들에 관한 것이다. 표면을 갖는 기판은, 복수의 세장형 가스 포트들을 포함하는 가스 분배 플레이트 아래에서 측방향으로(laterally) 이동되며, 복수의 세장형 가스 포트들은 제 1 전구체 가스를 전달하기 위한 적어도 하나의 제 1 전구체 가스 포트 및 제 2 전구체 가스를 전달하기 위한 적어도 하나의 제 2 전구체 가스 포트를 포함한다. 제 1 전구체 가스가 기판 표면에 전달된다. 제 2 전구체 가스가 기판 표면에 전달된다. 세장형 엔클로저 내에 위치된 적어도 하나의 가열 엘리먼트에 전력이 인가된다. 적어도 하나의 세장형 엔클로저들은 프로세싱 챔버 내에서 겪게 되는 온도들에서의 열 팽창에 대해 저항력이 있는 재료를 포함한다. 적어도 하나의 세장형 엔클로저들은, 가스 포트로부터의 가스가 세장형 엔클로저의 세로 축에 실질적으로 수직인 방향으로 세장형 엔클로저의 개방된 내부 영역을 통과하도록 위치되며, 그리고 가스 종이 여기되며, 그에 따라, 여기된 종(excited species)은 기판의 표면과 반응한다.
본 발명의 상기 열거된 특징들이 달성되고 상세히 이해될 수 있는 방식으로 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 본 발명의 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들은 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1은 본 발명의 하나 또는 그 초과의 실시예들에 따른 원자 층 증착 챔버의 개략적인 측단면도를 도시한다.
도 2는 본 발명의 하나 또는 그 초과의 실시예들에 따른 서셉터의 사시도를 도시한다.
도 3은 본 발명의 하나 또는 그 초과의 실시예들에 따른 가스 분배 플레이트의 사시도를 도시한다.
도 4는 본 발명의 하나 또는 그 초과의 실시예들에 따른 가스 분배 플레이트의 정면도를 도시한다.
도 5는 본 발명의 하나 또는 그 초과의 실시예들에 따른 가스 분배 플레이트의 정면도를 도시한다.
도 6은 본 발명의 하나 또는 그 초과의 실시예들에 따른, 가스 분배 플레이트들과 함께 이용하기 위한 와이어 엔클로저(wire enclosure)의 사시도를 도시한다.
도 7은 본 발명의 하나 또는 그 초과의 실시예들에 따른 어셈블리의 도면(view)을 도시한다.
도 8은 본 발명의 하나 또는 그 초과의 실시예들에 따른 어셈블리의 도면을 도시한다.
도 9는 본 발명의 하나 또는 그 초과의 실시예들에 따른 어셈블리의 도면을 도시한다.
도 10은 본 발명의 하나 또는 그 초과의 실시예들에 따른 어셈블리의 도면을 도시한다.
도 11은 본 발명의 하나 또는 그 초과의 실시예들에 따른 가스 분배 플레이트의 단면도를 도시한다.
도 12는 본 발명의 하나 또는 그 초과의 실시예들에 따른 가스 분배 플레이트의 단면도를 도시한다.
본 발명의 실시예들은, 가열 엘리먼트들을 갖는 어셈블리들, 및 가열 엘리먼트 어셈블리들을 이용하여, 기판 표면과 반응하기 위한 여기된 가스 종을 제공하는 원자 층 증착 장치 및 방법들에 관한 것이다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 용어들 "가열 엘리먼트" 및 "핫 와이어(hot wire)"는 종종 교환가능하게 이용되며, 그리고 "핫 와이어"의 이용이 와이어로 제한되는 것으로서 취급되지 않아야 한다. 본 발명의 실시예들은 ALD 및 다른 증착 프로세스들로 구현될 수 있다. 몇몇 "핫 와이어" 적용예들에서는, 높은 온도들로 인해 매우 신뢰할 수 없게 될 수 있는 핫 와이어의 장력(tension)을 제어하기 위해 스프링이 이용된다. 본 발명의 다양한 실시예들은 가열 엘리먼트를 수용(contain) 및 지지하기 위한 온도 절연된 컨테이너(temperature insulated container)를 포함하며, 이에 따라 텐셔닝(tensioning)에 대한 필요성 및 공간 제약들(space constraints)을 없앤다.
본 발명의 하나 또는 그 초과의 실시예들은, 가열 엘리먼트로부터 인접 재료들까지 온도를 절연시키기 위해, 예를 들어 석영 또는 세라믹 재료들을 이용하는 온도 절연된 컨테이너들(또한, 엔클로저들이라고도 지칭됨)에 관한 것이다. 이는 또한, 높은 온도로 인한 새깅(sagging)을 막기 위해 가열 엘리먼트에 대한 지지부(support)를 제공한다. 가열 엘리먼트에 대한 전력이 컨테이너(엔클로저)의 양쪽 단부들을 통해 제공될 수 있으며, 그리고 가스가 엔클로저에 균등하게(evenly) 들어가서, 가열 엘리먼트 영역을 통과함으로써, ALD 프로세스들을 위해 요구되는 라디칼들을 생성하며, 그런 다음, 이러한 라디칼들은 완전히 개방된 또는 천공된(perforated) 홀(hole)들일 수 있는 바닥 개구를 통해 웨이퍼 표면 상으로 통과하여, 작업(work)을 수행한다. 이는 증착, 또는 증착물(deposition)을 식각하여 제거(etch off)하는 용도(use)를 강화한다. 본 발명의 실시예들에 대한 장점은, 높은 온도 및 온도 변화들로 인한 가열 엘리먼트의 새깅 및 장력 신뢰성 문제들을 없애는 것이다. 본 발명의 실시예들이 ALD 프로세스들과 관련하여 설명되지만, 본 발명의 다양한 실시예들은 또한 다른 프로세싱 방법들에도 적용가능할 수 있다는 것을 이해해야 한다.
본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 용어 "여기된 가스 종(excited gaseous species)"은 접지 전자 상태(ground electronic state)에 있지 않은 임의의 가스 종을 의미한다. 예를 들어, 분자 산소는 산소 라디칼들을 형성하기 위해 여기될 수 있으며, 이러한 산소 라디칼들이 여기된 종이다. 부가적으로, 용어들 "여기된 종", "라디칼 종" 등은 접지 상태에 있지 않은 종을 의미하는 것으로 의도된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 용어 "기판 표면"은 기판의 베어(bare) 표면 또는 베어 기판 표면 상의 층(예를 들어, 산화물 층)을 의미한다.
본 발명의 몇몇 실시예들은 공간적인(spatial) 원자 층 증착에 대한 가열 엘리먼트 기술의 구현에 관한 것이다. 전형적인 적용예들에서는, 전체적으로(globally) 높여진 온도(elevated temperature) 또는 플라즈마(예를 들어, DC, RF, 마이크로파) 기술들이 이용되었다. 하나 또는 그 초과의 실시예들에 따르면, 핫 와이어 기술의 구현은 ALD 프로세스 동안 국부화된(localized) 높은 온도를 생성한다. 공간적인 ALD 프로세스들에서 이러한 핫 와이어 기술을 이용하게 되면, 프로세스에 대해 요구되는 다른 가스들의 양, 전력 및 온도 중에서 하나 또는 그 초과가 감소될 수 있다. 이는 기판들을 프로세싱하는 비용을 감소시키며, 그리고 프로세스 챔버를 제조하고 더 높은 처리량 및 필름 품질을 달성하는 데에 있어서 더 신뢰성이 있다.
일반적으로, 본 발명의 실시예들은 기판 상의 특정 거리에, 호환성(compatible) 재료의 단일 가열 엘리먼트 또는 와이어, 또는 다수의 가열 엘리먼트들 또는 와이어들을 배치한다. 와이어를 통해 유동하는 전류는 국부화된 높은 온도를 생성하며, 이러한 국부화된 높은 온도는 반응물들을 직접적으로 또는 간접적으로 여기시킬 수 있다. 라디칼화된 종(radicalized species)이 전구체를 만날 때, 이들은 기판 상에 양질의 필름(quality film)을 증착한다. 가열 엘리먼트는, 전방(front)으로부터 삽입되는 관상 디바이스(tubular device) 또는 바닥(bottom)으로부터 장착되는 플랜지 장착 디바이스(flange mount device)와 같은 단일 디바이스일 수 있다. 이는, 가열 엘리먼트, 엘리먼트들, 와이어 또는 와이어들을 지지하고 이들에게(thereto) 전류를 제공하기 위해 필요한 모든 컴포넌트들을 수용한다.
도 1은 본 발명의 하나 또는 그 초과의 실시예들에 따른 공간적인 원자 층 증착 시스템(100) 또는 반응기의 개략적인 단면도이다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, ALD와 관련하여 이용되는 용어 "공간적인"은, ALD 반응을 위해 요구되는 다양한 가스 스트림들이 단지 시간에 의해서라기 보다는 공간에 의해 분리됨을 의미한다. 시스템(100)은 로드 록 챔버(10) 및 프로세싱 챔버(20)를 포함한다. 프로세싱 챔버(20)는 일반적으로, 진공하에서 또는 적어도 저압에서 동작되는 밀봉가능한 엔클로저(sealable enclosure)이다. 프로세싱 챔버(20)는 격리 밸브(15)에 의해 로드 록 챔버(10)로부터 격리된다. 격리 밸브(15)는 폐쇄 위치에서는 프로세싱 챔버(20)를 로드 록 챔버(10)로부터 밀봉하고, 그리고 개방 위치에서는 기판(60)이 로드 록 챔버(10)로부터 밸브를 통해 프로세싱 챔버(20)로 그리고 그 반대로 이송될 수 있게 허용한다.
시스템(100)은 기판(60)을 가로질러 하나 또는 그 초과의 가스들을 분배할 수 있는 가스 분배 플레이트(30)를 포함한다. 가스 분배 플레이트(30)는 당업자에게 공지된 임의의 적합한 분배 플레이트일 수 있으며, 그리고 설명되는 구체적인 가스 분배 플레이트들이 본 발명의 범위를 제한하는 것으로 취급되지 않아야 할 것이다. 가스 분배 플레이트(30)의 출력 면이 기판(60)의 제1 표면(61)과 대면한다.
본 발명의 실시예들과 함께 이용하기 위한 기판들은 임의의 적합한 기판일 수 있다. 상세한 실시예들에서, 기판은 강성의(rigid), 분리된(discrete), 일반적으로 평면의 기판이다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "분리된"이라는 용어는, 기판에 대해서 언급할 때, 그러한 기판이 고정된(fixed) 치수를 갖는다는 것을 의미한다. 구체적인 실시예들의 기판은, 200 mm 또는 300 mm 직경의 실리콘 웨이퍼와 같은 반도체 웨이퍼이다.
가스 분배 플레이트(30)는 하나 또는 그 초과의 가스 스트림들을 기판(60)에 전달하도록 구성된 복수의 가스 포트들, 및 각각의 가스 포트 사이에 배치되고 상기 프로세싱 챔버(20)의 외부로 가스 스트림들을 전달하도록 구성된 복수의 진공 포트들을 포함한다. 도 1의 상세한 실시예에서, 가스 분배 플레이트(30)는 제1 전구체 주입기(120), 제2 전구체 주입기(130), 및 퍼지 가스 주입기(140)를 포함한다. 주입기들(120, 130, 140)은 메인 프레임과 같은 시스템 컴퓨터(미도시)에 의해, 또는 프로그램이 가능한 논리 제어기와 같은 챔버 특정의(chamber-specific) 제어기에 의해 제어될 수 있다. 전구체 주입기(120)는 화합물(A)의 반응 전구체, 즉 제 1 전구체의 연속적인 (또는 펄스) 스트림을 복수의 가스 포트들(125)을 통해 프로세싱 챔버(20) 내로 주입하도록 구성된다. 전구체 주입기(130)는 화합물(B)의 반응 전구체, 즉 제 2 전구체의 연속적인 (또는 펄스) 스트림을 복수의 가스 포트들(135)을 통해 프로세싱 챔버(20) 내로 주입하도록 구성된다. 퍼지 가스 주입기(140)는 비-반응성 또는 퍼지 가스의 연속적인 (또는 펄스) 스트림을 복수의 가스 포트들(145)을 통해 프로세싱 챔버(20) 내로 주입하도록 구성된다. 퍼지 가스는 반응성 재료 및 반응성 부산물들을 프로세싱 챔버(20)로부터 제거하도록 구성된다. 퍼지 가스는 전형적으로, 질소, 아르곤 및 헬륨과 같은 비활성 가스이다. 가스 포트들(145)이 가스 포트들(125)과 가스 포트들(135) 사이에 배치되어, 화합물(A)의 전구체를 화합물(B)의 전구체로부터 분리시키며, 그에 따라 이러한 전구체들 사이의 교차-오염(cross-contamination)을 막는다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 용어들 "반응 가스", "반응 전구체", "제 1 전구체", "제 2 전구체" 등은, 기판 표면 또는 기판 표면 상의 층과 반응할 수 있는, 가스들 및 가스 종을 지칭한다.
다른 양상에서, 전구체들을 챔버(20) 내로 주입하기에 앞서서, 원격 플라즈마 소스(미도시)가 전구체 주입기(120) 및 전구체 주입기(130)에 연결될 수 있다. 원격 플라즈마 소스 내의 화합물에 전기장을 인가함으로써, 반응 종의 플라즈마가 발생될 수 있다. 의도된 화합물들을 활성화시킬 수 있는 임의의 전력 소스가 이용될 수 있다. 예를 들어, DC, 무선 주파수(RF), 및 마이크로파(MW) 기반의 방전 기술들을 이용하는 전력 소스들이 이용될 수 있다. 만약 RF 전력 소스가 이용된다면, 이는 용량적으로 결합되거나 유도적으로 결합될 수 있다. 또한, 열적 기반의 기술, 가스 파괴 기술, 높은 세기의 광 소스(예를 들어, UV 에너지), 또는 x-레이 소스에 대한 노출에 의해, 활성화가 발생될 수 있다. 예시적인 원격 플라즈마 소스들은, 이를 테면 MKS Instruments, Inc. 및 Advanced Energy Industries, Inc.와 같은 판매사들로부터 입수될 수 있다.
시스템(100)은 프로세싱 챔버(20)에 연결된 펌핑 시스템(150)을 더 포함한다. 펌핑 시스템(150)은 일반적으로, 하나 또는 그 초과의 진공 포트들(155)을 통해 프로세싱 챔버(20)의 외부로 가스 스트림들을 배기하도록 구성된다. 진공 포트들(155)은 각각의 가스 포트 사이에 배치되어, 가스 스트림들이 기판 표면과 반응한 후에 프로세싱 챔버(20)의 외부로 가스 스트림들을 배기하고 그리고 추가적으로 전구체들 사이의 교차-오염을 제한한다.
시스템(100)은 각각의 포트 사이에서 프로세싱 챔버(20) 상에 배치된 복수의 구획부들(partitions)(160)을 포함한다. 각각의 구획부의 하부 부분은 기판(60)의 제1 표면(61)에 근접하게 연장한다. 예를 들어, 제1 표면(61)으로부터 약 0.5 mm 또는 그 초과까지 연장한다. 이러한 방식으로, 구획부들(160)의 하부 부분들은, 가스 스트림들이 기판 표면과 반응한 후에 가스 스트림들이 하부 부분들 주위로 진공 포트들(155)을 향해서 유동하도록 허용할 수 있는 충분한 거리 만큼, 기판 표면으로부터 분리된다. 화살표들(198)은 가스 스트림들의 방향을 나타낸다. 구획부들(160)이 가스 스트림들에 대한 물리적 장벽으로서 동작하기 때문에, 그러한 구획부들은 또한 전구체들 사이의 교차-오염을 제한한다. 도시된 배열은 단지 예시적인 것이고 그리고 본 발명의 범위를 제한하는 것으로 취급되지 않아야 할 것이다. 당업자는, 도시된 가스 분배 시스템은 단지 하나의 가능한 분배 시스템이고 그리고 다른 타입들의 샤워헤드들이 채용될 수 있다는 것을 이해할 것이다.
동작 중에, 기판(60)이 (예를 들어, 로봇에 의해) 로드 록 챔버(10)로 전달되고 그리고 셔틀(shuttle)(65) 상에 배치된다. 격리 밸브(15)가 개방된 후에, 셔틀(65)이 트랙(70)을 따라서 이동된다. 일단 기판(60)이 프로세싱 챔버(20) 내로 진입(enter)하면, 격리 밸브(15)가 폐쇄되어, 프로세싱 챔버(20)를 밀봉한다. 이어서, 셔틀(65)이 프로세싱을 위해 프로세싱 챔버(20)를 통해 이동된다. 일 실시예에서, 셔틀(65)은 챔버를 통해 선형 경로를 따라 이동된다.
기판(60)이 프로세싱 챔버(20)를 통해 이동함에 따라, 기판(60)의 제1 표면(61)은 가스 포트들(125)로부터 방출되는 화합물(A)의 전구체 및 가스 포트들(135)로부터 방출되는 화합물(B)의 전구체, 그리고 그들 사이의 가스 포트들(145)로부터 방출되는 퍼지 가스에 반복적으로 노출된다. 퍼지 가스의 주입은, 기판 표면(61)을 다음 전구체에 노출하기 전에, 이전 전구체로부터의 미반응(unreacted) 재료를 제거하도록 디자인된다. 다양한 가스 스트림들(예를 들어, 전구체들 또는 퍼지 가스)에 대한 각각의 노출 후에, 가스 스트림들은 펌핑 시스템(150)에 의해 진공 포트들(155)을 통해 배기된다. 진공 포트가 각각의 가스 포트의 양 측부(side)들 상에 배치될 수 있기 때문에, 가스 스트림들은 양 측부들 상에서 진공 포트들(155)을 통해 배기된다. 그에 따라, 가스 스트림들은 각각의 가스 포트들로부터 기판(60)의 제1 표면(61)을 향해서 수직 하향으로, 기판 표면을 가로질러 그리고 구획부들(160)의 하부 부분들 주위로, 그리고 마지막으로 진공 포트들(155)을 향해서 위쪽으로 유동한다. 이러한 방식으로, 각각의 가스가 기판 표면(61)을 가로질러 균일하게 분배될 수 있다. 화살표(198)는 가스 유동의 방향을 나타낸다. 기판(60)은 또한, 다양한 가스 스트림들에 노출되는 동안 회전될 수 있다. 기판의 회전은 형성된 층들 내에 스트립(strip)들이 형성되는 것을 방지하는데 유용할 수 있다. 기판의 회전은 연속적이거나, 또는 분리된(discrete) 단계들로 이루어질 수 있다.
기판 표면(61)이 각각의 가스에 노출되는 정도(extent)는, 예를 들어, 가스 포트로부터 나오는 각각의 가스의 유량들 및 기판(60)의 이동 레이트(rate)에 의해 결정될 수 있다. 일 실시예에서, 흡착된 전구체들을 기판 표면(61)으로부터 제거하지 않도록, 각각의 가스의 유량들이 구성된다. 각각의 구획부 사이의 폭, 프로세싱 챔버(20) 상에 배치된 가스 포트들의 개수, 및 기판이 전후로(back and forth) 통과하는 횟수(number of times)가 또한, 기판 표면(61)이 다양한 가스들에 노출되는 정도를 결정할 수 있다. 결과적으로, 전술한 요인들을 변화시킴으로써, 증착되는 필름의 품질 및 양이 최적화될 수 있다.
다른 실시예에서, 시스템(100)은, 퍼지 가스 주입기(140) 없이, 전구체 주입기(120) 및 전구체 주입기(130)를 포함할 수 있다. 결과적으로, 기판(60)이 프로세싱 챔버(20)를 통해 이동함에 따라, 기판 표면(61)은, 중간에 퍼지 가스에 대한 노출이 없이, 화합물(A)의 전구체와 화합물(B)의 전구체에 교번적으로 노출될 것이다.
도 1에 도시된 실시예는 기판 위에 가스 분배 플레이트(30)를 구비한다. 이러한 수직 방위(upright orientation)와 관련하여 실시예들을 설명하고 도시하였지만, 반전된 방위(inverted orientation)가 또한 가능하다는 것이 이해될 것이다. 그러한 상황에서는, 기판(60)의 제1 표면(61)이 아래쪽으로 대면할 것인 한편, 기판을 향하는 가스 유동들은 위쪽으로 지향될 것이다. 하나 또는 그 초과의 실시예들에서, 기판의 제 2 측부를 가열하기 위해, 적어도 하나의 복사 열 소스(radiant heat source)(90)가 위치된다.
가스 분배 플레이트(30)는, 기판 표면(61) 상에 증착되고 있는 층들의 개수에 따라서 임의의 적합한 길이로 이루어질 수 있다. 가스 분배 플레이트의 몇몇 실시예들은, 기판이 가스 분배 플레이트의 제 1 단부로부터 가스 분배 플레이트의 제 2 단부까지 한 방향으로 이동하는 높은 처리량 동작에서 이용되도록 의도된다. 이러한 단일 패스(single pass) 동안, 가스 분배 플레이트 내의 가스 주입기들의 개수에 기초하여, 완전한 필름이 기판 표면 상에 형성된다. 몇몇 실시예들에서, 가스 분배 플레이트는 완전한 필름을 형성하는 데에 요구되는 것 보다 더 많은 주입기들을 갖는다. 개별적인 주입기들은, 그러한 주입기들 중 일부(some)가 비활성이도록 또는 퍼지 가스들 만을 배기하도록 제어될 수 있다. 예를 들어, 가스 분배 플레이트가 전구체 A와 전구체 B의 각각에 대해 100개의 주입기들을 갖지만, 단지 50개 만이 요구된다면, 50개의 주입기들은 디스에이블될(disabled) 수 있다. 이러한 디스에이블된 주입기들은 가스 분배 플레이트를 통해서 그룹화되거나(grouped) 분산될(dispersed) 수 있다.
부가적으로, 비록 도면들이 제 1 전구체 가스(A) 및 제 2 전구체 가스(B)를 도시하였지만, 본 발명의 실시예들은 단지 2개의 상이한 전구체들 만을 갖는 가스 분배 플레이트들로 제한되지 않는다는 것을 이해해야 한다. 예를 들어, 가스 분배 플레이트를 통해 분산되는 제 3 전구체(C) 및 제 4 전구체(D)가 있을 수 있다. 이는 혼합된 또는 적층된(stacked) 층들을 갖는 필름들의 생성을 가능하게 한다.
몇몇 실시예들에서, 셔틀(65)은 기판(60)을 운반하기 위한 서셉터(66)이다. 일반적으로, 서셉터(66)는, 기판에 걸쳐 균일한 온도를 형성하는 것을 돕는 캐리어이다. 서셉터(66)는 로드 록 챔버(10)와 프로세싱 챔버(20) 사이에서 양 방향들로(도 1의 배열에 대해서, 좌측으로부터 우측으로 그리고 우측으로부터 좌측으로) 이동할 수 있다. 서셉터(66)는 기판(60)을 운반하기 위한 상부 표면(67)을 갖는다. 서셉터(66)는 가열형 서셉터(heated susceptor)일 수 있고, 그에 따라 기판(60)은 프로세싱을 위해 가열될 수 있다. 예로서, 서셉터(66)는, 서셉터(66)의 아래에 배치된, 복사 열 소스(90), 가열 플레이트, 저항성 코일들, 또는 기타 가열 디바이스들에 의해 가열될 수 있다.
또 다른 실시예에서, 도 2에 도시된 바와 같이, 서셉터(66)의 상부 표면(67)은 기판(60)을 수용하도록 구성된 오목부(recess)(68)를 포함한다. 일반적으로, 서셉터(66)는 기판의 두께 보다 더 두꺼우며, 그에 따라 기판의 아래에 서셉터 재료가 존재하게 된다. 상세한 실시예들에서, 기판(60)이 오목부(68) 내에 배치될 때, 기판(60)의 제1 표면(61)이 서셉터(66)의 상부 표면(67)과 같은 높이가 되도록, 오목부(68)가 구성된다. 다르게 설명하면, 기판(60)이 내부에 배치될 때, 기판(60)의 제1 표면(61)이 서셉터(66)의 상부 표면(67) 위로 돌출하지 않도록, 몇몇 실시예들의 오목부(68)가 구성된다.
도 3-12는 본 발명의 다양한 실시예들에 따른 가스 분배 플레이트(30)를 도시한다. 가스 분배 플레이트(30)는 입력 면(301) 및 출력 면(303)을 포함한다. (도 3에 도시된) 입력 면(301)은 제 1 전구체 가스(A)의 유동을 수용하기 위한 제 1 전구체 가스 입력(305) 및 제 2 전구체 가스(B)의 유동을 수용하기 위한 제 2 전구체 가스 입력(307)을 갖는다. 입력 면(301)은 또한, 하나 또는 그 초과의 퍼지 가스들을 위한 입력들(309) 및 하나 또는 그 초과의 진공 포트들에 연결하기 위한 포트들(311)을 갖는다. 비록 도 3에 도시된 구성이, 가시적인, 2개의 제 1 전구체 가스 입력들(305), 1개의 제 2 전구체 가스 입력(307) 및 2개의 퍼지 가스 입력들(309)을 갖지만, 더 많거나 더 적은 이러한 컴포넌트들 각각이, 개별적으로 또는 조합하여 존재할 수 있다는 것을 당업자는 이해할 것이다.
도시된 구체적인 실시예들은, 다수의 층들을 증착하기 위해 기판이 가스 분배 플레이트에 인접하여 전후로 이동하는 왕복(reciprocal) 증착 시스템과 함께 이용될 수 있다. 하지만, 이는 단지 하나의 실시예이며, 본 발명은 왕복 증착 기술들로 제한되지 않는 다는 것을 이해해야 한다. 전구체 주입기들의 다수의 세트들을 갖는 단일의 큰 가스 분배 플레이트가 이용될 수 있다는 것을 당업자는 이해할 것이다.
다양한 실시예들의 출력 면(303)은 복수의 세장형 가스 포트들(313)을 갖는다. 가스 포트들(313)은 출력 면(303)에 인접하게 위치될 수 있는 기판 쪽으로 가스들의 유동을 지향시키도록 구성된다. 세장형 가스 포트들(313)은 적어도 하나의 제 1 전구체 가스 포트 및 적어도 하나의 제 2 전구체 가스 포트를 포함한다. 각각의 제 1 전구체 가스 포트는 제 1 전구체 가스 입력(305)과 유동 소통하여, 제 1 전구체가 가스 분배 플레이트(30)를 통해 유동할 수 있게 한다. 각각의 제 2 전구체 가스 포트는 제 2 전구체 가스 입력(307)과 유동 소통하여, 제 2 전구체가 가스 분배 플레이트(30)를 통해 유동할 수 있게 한다.
도 4에 도시된 바와 같이, 가스 포트들은 채널(317) 내의 복수의 개구들(315)을 포함할 수 있다. 채널(317)은 가스 분배 플레이트의 출력 면 내의 오목한 슬롯(recessed slot)이다. 가스들이 개구들(315)로부터 유동하고, 채널(317) 벽들에 의해 기판 표면 쪽으로 지향된다. 개구들(315)은 원형인 것으로서 도시되어 있지만, 개구들(315)은, 제한되는 것은 아니지만, 정사각형, 직사각형 및 삼각형을 포함하는 임의의 적합한 형상일 수 있다는 것을 이해해야 한다. 개구들(315)의 개수 및 크기는 또한, 더 많거나 또는 더 적은 개구들이 각 채널(317) 내에 맞춰지도록 변경될 수 있다. 도 4에 도시된 상세한 실시예에서, 퍼지 가스들(P), 제 1 전구체 가스 포트들(A) 및 제 2 전구체 가스 포트들(B)은 채널들 내에 위치되는 복수의 개구들을 포함한다. 진공 포트들과 관련된 개구들(318)은, 채널(317) 내에 있는 것이 아니라, 가스 분배 플레이트(30)의 출력 면(303) 상에 있지만, 채널 내에도 또한 위치될 수 있다.
도 4에 도시된 구체적인 실시예는, 기판이 화살표(350)를 따라서 세장형 가스 포트들에 대해 수직으로 이동될 때, 기판 표면에 대해 가스 스트림들의 특정 시퀀스를 제공하게 될 세장형 가스 포트들의 조합을 갖는다. 기판이 이동되고 있는 것으로서 설명되지만, 기판은 정지된 채로 유지될 수 있고 가스 분배 플레이트(30)가 이동할 수 있다는 것을 당업자는 이해할 것이다. 이는 기판 이동(substrate movement)으로서 지칭되는, 기판과 가스 분배 플레이트(30) 간의 상대적인 이동(relative movement)이다. 세장형 가스 포트들에 대해 수직으로 이동하는 기판은, 순서대로(in order), 퍼지 가스 스트림, 제 1 전구체 가스(A) 스트림, 퍼지 가스 스트림, 제 2 전구체 가스(B) 스트림, 퍼지 가스 스트림, 제 1 전구체 가스(A') 스트림 및 퍼지 가스 스트림의 가스 유동들을 받게 될 것이다. 각각의 가스 스트림들 사이에는 진공 포트들이 있으며, 이러한 진공 포트들은 가스 스트림들을 프로세싱 챔버 외부로 지향시킨다. 이는 결과적으로, 도 1에 도시된 화살표(198)에 따른 유동 패턴을 초래한다.
구체적인 실시예들에서, 가스 분배 플레이트는 본질적으로, 순서대로, 선단(leading) 제 1 전구체 가스 포트(A), 제 2 전구체 가스 포트(B) 및 후단(trailing) 제 1 전구체 가스 포트(A')로 이루어진다. 이러한 상황에서 그리고 첨부된 청구항들에서 사용되는 바와 같이, "본질적으로 이루어지는(consisting essentially of)"이라는 용어는 가스 분배 플레이트가 반응 가스들에 대한 어떠한 부가적인 가스 포트들도 포함하지 않음을 의미한다. 비-반응성 가스들(예를 들어, 퍼지 가스들) 및 진공에 대한 포트들은, 여전히 "본질적으로 이루어지는"의 문구(clause) 내에 있으면서, 전체에 걸쳐서(throughout) 배치될(interspersed) 수 있다. 예를 들어, 가스 분배 플레이트(30)는 8개의 진공 포트들(V) 및 4개의 퍼지 포트들(P)을 가질 수 있지만, 여전히 선단 제 1 전구체 가스 포트(A), 제 2 전구체 가스 포트(B) 및 후단 제 1 전구체 가스 포트(A')로 본질적으로 이루어진다. 이러한 종류(variety)의 실시예들은 ABA 구성으로서 지칭될 수 있다.
ABA 구성의 이용은, 어느 하나의 방향으로 이동하는 기판이 제 2 전구체 가스(B) 포트를 만나기 전에 제 1 전구체 가스(A) 포트를 만나게 될 것임을 보장한다. 가스 분배 플레이트(30)를 가로지르는 각각의 패스는 조성(composition) B의 단일 필름을 초래할 것이다. 여기서, 2개의 제 1 전구체 가스(A) 포트들은 제 2 전구체 가스(B) 포트를 둘러싸며, 이에 따라 도면의 상부로부터 바닥으로 (가스 분배 플레이트에 대해) 이동하는 기판은, 순서대로, 선단 제1 반응 가스(A), 제2 반응 가스(B) 및 후단 제1 반응 가스(A')를 만나게 될 것이며, 결과적으로 기판 상에 완전(full) 층이 형성될 것이다. 동일한 경로를 따라 복귀하는 기판은 반대 순서의 반응 가스들을 만나게 될 것이며, 결과적으로 각각의 완전한 사이클(full cycle) 동안 2개의 층들을 초래할 것이다. 이러한 가스 분배 플레이트를 가로질러 전후로 이동되는 기판은,
AB AAB AAB (AAB)n ... AABA
의 펄스 시퀀스에 노출될 것이며, B의 균일한 필름 조성을 형성할 것이다. 시퀀스의 끝에서의 제1 전구체 가스(A)에 대한 노출은, 제2 전구체 가스(B)가 후속되지 않으므로 중요하지 않다. 필름 조성이 B로서 지칭되지만, 이는 실제로는 반응 가스(A)와 반응 가스(B)의 표면 반응 생성물들 중 하나의 생성물이며 그리고 B만을 사용한 것은 필름들을 설명하는 데에 있어서의 편의를 위한 것임을 당업자는 이해할 것이다.
도 5에 도시된 바와 같은 가스 분배 플레이트(30)는, 가스 종을 여기시키기 위해, "와이어" 또는 "핫 와이어"라고도 또한 지칭될 수 있는 가열 엘리먼트(501)를 포함한다. 가열 엘리먼트(501)는 제 1 전구체 가스 포트와 제 2 전구체 가스 포트 중 어느 하나에 또는 둘 모두에 위치된다. 가열 엘리먼트(501)는, 가열 엘리먼트(501)를 가열하기 위해 가열 엘리먼트(501)를 통해 전류의 유동을 야기하도록 구성된 전력 리드(323)(도 3에 도시됨)에 연결된다. 가열 엘리먼트(501)는, 가열 엘리먼트(501)에 인접하여 통과하는 가스 내의 종을 여기시키기 위해 높은 온도로 가열된다. 와이어의 목적은, 기판 내에서 온도 증가를 생성하는 것이 아니라, 가스 내에서 라디칼 종을 생성하는 것이다. 가열 엘리먼트(501)는, 가스 내에서의 라디칼 종의 형성을 여전히 야기할 수 있으면서, 기판의 표면에 대한 어떠한 직접적인 노출도 없는 위치에 배치될 수 있다. 예를 들어, 가열 엘리먼트(501)가 제 2 전구체 가스 포트들 내에 배치된다면, 엘리먼트는 제 2 전구체 가스 내의 분자들의 일부가 여기되도록 야기할 것이다. 여기된 상태에서, 분자들은 더 큰(higher) 에너지를 가지며, 주어진 프로세싱 온도에서 기판 표면과 반응할 가능성이 더 많아진다.
가열 엘리먼트의 배치는 기판과 접촉하는 라디칼 종의 양에 영향을 줄 수 있다. 가열 엘리먼트를 기판으로부터 너무 멀리 배치하게 되면, 기판에 더 가까이 배치하는 것 보다, 더 많은 개수의 라디칼 종이 기판 표면과 접촉하기 전에 비활성화되도록(deactivated) 허용할 수 있다. 라디칼 종은, 가스 분배 플레이트 및 가스 스트림 내의 다른 라디칼들, 분자들과의 접촉에 의해 비활성화될 수 있다. 하지만, 가열 엘리먼트를 기판으로부터 더 멀리 배치하게 되면, 가스 내에서 여전히 라디칼 종을 생성하면서, 가열 엘리먼트가 기판 표면을 가열하는 것을 막는 것을 도울 수 있다. 기판의 국부적인 온도에 있어서의 상당한 변경을 야기하지 않으면서, 여기된 종이 표면과 접촉하도록 충분히 오래 존재하도록 보장하기 위해, 가열 엘리먼트(501)는 기판의 표면에 대해 충분히 가까이에 배치될 수 있다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "국부적인 온도에 있어서의 상당한 변경"이라는 용어는, 와이어에 인접하는 기판의 부분이 약 10℃ 초과의 온도의 증가를 갖지 않음을 의미한다. 가열 엘리먼트(501)는 도 5에 도시된 것과 같이 개방된 채널(317) 내에 위치되거나, 또는 가스 확산 컴포넌트(gas diffusing component) 뒤에 위치될 수 있다. 도 5에 또한 도시된 가스 확산 컴포넌트의 실시예는, 가스 포트의 출구 영역(exit region)에 배치되는 복수의 이격된 작은 어퍼처들(small spaced apertures)을 갖는다. 가열 엘리먼트(501)는 가스 확산 컴포넌트 뒤에 위치될 수 있으며, 그리고 기판의 국부적인 온도를 상당히 변경시키지 않으면서 가스 종을 여기시킬 수 있다. 상세한 실시예들에서, 와이어는, 약 10℃ 미만의 표면 온도 변경을 야기하면서, 가스 종을 여기시키도록 가열된다. 다양한 실시예들에서, 기판 표면의 국부적인 온도 변경은 약 7℃ 미만, 5℃ 미만, 또는 3℃ 미만이다. 구체적인 실시예들에서, 국부적인 온도 변경은 약 2℃ 미만, 1℃ 미만, 또는 0.5℃ 미만이다.
가열 엘리먼트는, 비교적 짧은 시간 기간 내에 높은 온도로 올라갈 수 있는 임의의 적합한 재료로 만들어질 수 있다. 적합한 재료는 반응 가스들과 호환성인 재료이다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 이 점에 있어서 이용되는 용어 "호환성"은, 가열 엘리먼트가 표준 온도 및 압력에서 반응 가스와 자발적으로 반응하지 않음을 의미한다. 가열 엘리먼트의 온도는 가스 종의 라디칼화(radicalization)의 정도에 영향을 미칠 수 있다. 예를 들어, 산소는 약 2000℃ 까지의 온도를 요구할 수 있는 한편, 중합 종(polymeric species)은 단지 약 300℃ 내지 약 500℃ 범위의 온도들 만을 필요로 할 수 있다. 몇몇 실시예들에서, 가열 엘리먼트는 적어도 약 1000℃, 1100℃, 1200℃, 1300℃, 1400℃, 1500℃, 1600℃, 1700℃, 1800℃, 1900℃ 또는 2000℃의 온도로 가열될 수 있다. 다양한 실시예들에서, 가열 엘리먼트는 약 300℃ 내지 약 2000℃ 범위, 또는 약 700℃ 내지 약 1400℃ 범위, 또는 약 800℃ 내지 약 1300℃ 범위의 온도로 가열될 수 있다. 가열 엘리먼트에 공급되는 전력은 프로세싱을 통하여 임의의 포인트(point)에서 조절되거나 턴온 및 턴오프될 수 있다. 이는, 프로세싱의 일부분에 대해서만, 가열 엘리먼트가 가열될 수 있게 하여, 여기된 가스 종을 생성할 수 있게 한다.
가열 엘리먼트의 두께 및 길이는 또한, 이용되는 재료에 따라서 변경될 수 있다. 가열 엘리먼트를 위한 적절한 재료들의 예들은, 제한되는 것은 아니지만, 텅스텐, 탄탈륨, 이리듐, 루테늄, 니켈, 크롬, 흑연 및 이들의 합금들을 포함한다. 예를 들어, 라디칼화되고 있는 종이 산소인 경우, 탄탈륨 또는 텅스텐의 이용이 요구되지 않을 수 있는데, 왜냐하면 이러한 재료들은 산소에 민감하고, 와이어의 파괴(breakage)를 야기할 수 있기 때문이다. 상세한 실시예들에서, 가열 엘리먼트는 텅스텐을 포함한다.
도 3을 다시 참조하면, 전력 소스는 가열 엘리먼트를 통한 전류 유동을 제어할 수 있는 임의의 적합한 전력 소스일 수 있다. 도 3에 도시된 전력 피드스루(feedthrough)(321)는 전력 리드(323)를 갖고, 가열 엘리먼트에 대한 기계적인 그리고 전기적인 지지부(support)를 제공하며, 가열 엘리먼트가 가스 유동의 경로 내에 배치될 수 있게 한다. 전력 피드스루(321)는 장착 블록(mounting block)(327)을 통해 가스 분배 플레이트(30)에 연결되며, 상기 장착 블록(327)은 가스 분배 플레이트로부터 전력 리드(323) 및 가열 엘리먼트를 전기적으로 격리시키기 위한 절연체를 포함할 수 있다. 도 3의 실시예에서의 가열 엘리먼트는 제 1 전구체 가스 채널들을 통해 연장하며, 그리고 제 2 전구체 가스 채널을 둘러싸는(wrap) 개별적인 가열 엘리먼트 또는 단일 가열 엘리먼트일 수 있다.
도 5에 도시된 가열 엘리먼트(501)는 채널(317)을 통해 연장하며, 가열 엘리먼트(501)의 단부들은 전력 리드들(323, 324)과 접촉한다. 하지만, 본 발명의 하나 또는 그 초과의 실시예들에서, 가열 엘리먼트는, 가스 분배 플레이트(30)의 출력 면(303)에 부착되거나 채널(317) 내에 삽입될 수 있는 개별적인 어셈블리의 일부(part)이다. 따라서, 도 6과 관련하여, 본 발명의 하나 또는 그 초과의 실시예들은 그러한 어셈블리(600)에 관한 것이다. 도시된 어셈블리(600)는, 세로 축(630)을 따라 연장하는 세장형 엔클로저(605)를 포함한다. 세장형 엔클로저는, 가스들의 유동이 엔클로저(605)를 통과하도록 허용할 수 있는 개방된 내부 영역(606)을 갖는다. 화살표들(631)로서 도시된 가스 유동은, 세로 축에 대해 실질적으로 수직인 방향으로 엔클로저를 통과할 수 있다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 수직"이라는 용어는, 가스의 유동이 엔클로저를 통해 그리고 가열 엘리먼트(601) 주위를 둔각(obtuse angle)으로 통과함을 의미한다. 가스 유동은 엔클로저에 대해 90o 이외의 각도에서 이루어질 수 있으며, 여전히 "실질적으로 수직"의 의미 내에 있다는 것을 당업자는 이해할 것이다. 몇몇 실시예들에서, 가스 유동은 엔클로저에 대해 실질적으로 수직이며, 이에 의해 약 25o 초과, 30o 초과, 35o 초과, 40o 초과, 45o 초과, 50o 초과, 55o 초과, 60o 초과, 65o 초과, 70o 초과, 75o 초과, 80o 초과 또는 85o 초과의 각도를 형성한다. 몇몇 실시예들에서, 가스 유동은, 약 25o 내지 약 90o 범위, 또는 약 45o 내지 약 90o 범위, 또는 약 60o 내지 약 90o 범위, 또는 약 75o 내지 약 90o 범위, 또는 약 80o 내지 약 90o 범위의, 엔클로저에 대한 각도를 형성한다.
도시된 엔클로저(605)는 양쪽 측부들에서 평평한 면(flat face)들(611)을 가지며, 그리고 측부들(613)은 엔클로저(605)의 하나의 단부로부터 다른 단부까지 실질적으로 균일한 두께를 갖는다. 하지만, 도시된 형상 및 개략적인 치수들은 단지 예시적인 것이며, 본 발명의 범위를 제한하는 것으로 취급되지 않아야 한다는 것을 당업자는 이해할 것이다.
엔클로저(605)는 프로세싱 챔버 내에서 겪게 되는 온도들에서의 열 팽창에 대해 실질적으로 저항력이 있는 재료로 만들어진다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "열 팽창에 대해 실질적으로 저항력이 있는"이라는 용어는, 엔클로저(605)의 전체 길이가, 요구되는 가스 종을 라디칼화하는 데에 필요한 온도들에서 약 5% 초과 만큼 변경되지 않음을 의미한다. 다양한 실시예들에서, 엔클로저의 전체 길이는, 상온에서의 엔클로저(605)의 길이에 대해, 약 4% 초과, 3% 초과, 2% 초과, 1% 초과 또는 0.5% 초과 만큼 변경되지 않는다. 상세한 실시예들에서, 엔클로저는, 석영 및 세라믹을 포함하는, 석영 또는 세라믹 기반 재료로 만들어진다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 용어 "세라믹"은 무기의(inorganic) 비금속 재료(non-metallic material)를 지칭한다. 세라믹의 적합한 예들은, 제한되는 것은 아니지만, 알루미나, 베릴리아(beryllia), 세리아(ceria), 지르코니아(zirconia), 탄화물(carbide)들, 붕화물(boride)들, 질화물들, 실리사이드들, 합성 재료(composite material)들, 이러한 재료들의 산화물들 및 비산화물들을 포함한다. 가열 엘리먼트의 두께는 균일하거나, 또는 두께가 달라질 수 있다. 몇몇 실시예들에서, 가열 엘리먼트는 약 0.01mm 내지 약 5mm 범위의 단면 직경을 갖는 와이어이다. 몇몇 실시예들의 가열 엘리먼트는 변화하는(varying) 밀도/단위 길이(unit length)를 갖는다.
가열 엘리먼트(601)는 세장형 엔클로저(605)의 제 1 단부(620)로부터 제 2 단부(622)까지 연장한다. 설명된 바와 같이, 가열 엘리먼트(601)는 전류에 의해 가열하기에 적합한 재료를 포함한다. 도 6에 도시된 실시예는, 가열 엘리먼트(601)를 통한 전류 유동을 가능하게 하기 위해, 가열 엘리먼트(601)와 전기적으로 소통하는 또는 전기적으로 접촉하는 적어도 하나의 전기적인 리드(610)(2개의 리드들로서 도시됨)를 포함한다. 전기적인 리드들(610)은 가스 분배 플레이트 상에 위치된 전기적 컨택들과 상호 작용할 수 있다. 예를 들어, 전기적 컨택들(양 및 음의 컨택들)의 쌍(pair)들이 가스 분배 플레이트의 채널들 내에, 또는 가스 분배 플레이트의 표면 상에 포함될 수 있다. 이러한 전기 컨택 쌍들 각각은, 개별적으로 전력 공급이 되거나, 하나 또는 그 초과의 유닛들로서 전력 공급이 될 수 있다. 상세한 실시예들에서, 적어도 하나의 전기적인 리드(610)는, 가열 엘리먼트에 대한 전류의 인가에 의해 실질적으로 온도가 증가되지 않는다. 구체적인 실시예들에서, 적어도 하나의 전기적인 리드(610)는 엔클로저(605)의 팽창을 실질적으로 초래하지 않는다.
비록 도 6에서는 2개의 개별적인 전기적인 리드들(610)이 도시되었지만, 엔클로저의 어느 하나의 단부에 위치되는 단지 하나의 전기적인 리드(610)가 존재할 수 있음이 이해될 것이다. 이러한 종류의 실시예들에서, 가열 엘리먼트(601)는 엔클로저(605)를 통해 연장할 수 있으며, 그리고 엔클로저의 단부를 넘어서 연장하는 부분(portion)을 나타낼(present) 수 있다. 예를 들어, 도 7은 어셈블리(700)의 실시예를 도시하며, 여기서, 가열 엘리먼트(701)는 세장형 엔클로저(705)의 제 1 단부(720)와 제 2 단부(722) 중에서 하나 또는 그 초과를 넘어서 연장하는 부분(730)을 포함한다. 연장된 부분(730)은 전기적인 리드의 역할을 할 수 있다.
가열 엘리먼트는 임의의 적합한 형상을 가질 수 있으며, 도면들에 의해 구현되는 형상들로 제한되지 않는다. 적합한 형상들은, 제한되는 것은 아니지만, 직선 형상, 사인파 형상, 나선형 형상, 곡선 형상, 아코디언 형상 및 구형파 형상을 포함한다. 상세한 실시예에서, 도 7에 도시된 바와 같이, 가열 엘리먼트(701)는 엔클로저(705)의 제 1 단부(720)로부터 제 2 단부(722)까지 실질적으로 직선 경로로 연장한다. 도 8은, 가열 엘리먼트(801)가 나선형 경로로 연장하는, 어셈블리(800)의 다른 실시예를 도시한다. 나선(helix)의 견고함(tightness) 및 턴(turn)들의 수는 달라질 수 있으며, 도면들에 도시된 형상으로 제한되는 것으로 취급되서는 안된다. 도 9는 다른 어셈블리(900)를 도시하며, 여기에서는, 엔클로저(905)의 제 1 단부(920)와 제 2 단부(922) 사이에서 연장하는 2개의 가열 엘리먼트들(901)이 있다. 도 9는 가열 엘리먼트들과 전기적으로 연결되는, 가열 엘리먼트(901)의 각각의 단부에 대한 단일 연장부(extension)(930)를 나타내지만, 각각의 가열 엘리먼트(901)에 대한 연장부가 존재할 수 있음이 이해될 것이다. 부가적으로, 임의의 개수의 개별적인 가열 엘리먼트들이 있을 수 있으며, 그리고 각각의 엘리먼트의 형상이 상이할 수 있고 형상들의 혼합들이 가능하다는 것이 이해될 것이다.
도 10은, 가열 엘리먼트(1001)가 외측 하우징(outer housing)(1002) 내에 캡슐화되는, 어셈블리(1000)의 다른 실시예를 도시한다. 전류를 인가하게 되면, 가열 엘리먼트(1001)는 온도를 증가시키고, 외측 하우징(1002)을 가열한다. 외측 하우징(1002)은, 엔클로저의 내부 영역(1006)을 통해 유동하는 가스에 노출된다. 이러한 종류의 실시예들은, 가열 엘리먼트(1001)가, 내부 영역(1006)을 통해 유동하는 가스와 비호환성인(incompatible) 경우에 특히 유용할 수 있다. 상세한 실시예들에서, 외측 하우징(1002)은, 변형되지 않으면서 가열 엘리먼트(1001)에 의해 가열될 수 있는 재료이다. 구체적인 실시예들에서, 외측 하우징(1002)은 석영을 포함한다.
가열 엘리먼트가 가스 분배 플레이트에 쉽게 삽입되거나(added) 가스 분배 플레이트로부터 쉽게 제거될 수 있도록, 다양한 실시예들의 어셈블리들은 가스 분배 플레이트의 채널들 내에 맞춰지도록 크기가 정해질 수 있다. 도 11은, 세장형 엔클로저(1105)가 가스 분배 플레이트(30)의 가스 포트 내에 맞춰지도록 크기가 정해지는 실시예를 도시한다. 엔클로저(1000) 내에 가열 엘리먼트(501)를 통합하게 되면, 가열 엘리먼트(501)가 대체 또는 세정될 수 있도록 프로세싱 챔버로부터 쉽게 제거될 수 있게 한다. 도시된 실시예는 개방된 채널(317)을 갖지만, 가열 엘리먼트와 기판 사이에 확산기(diffuser)가 존재할 수 있음이 이해될 것이다.
도 11의 바닥 부분을 참조하면, 세장형 엔클로저(1155)가 가스 분배 플레이트(30)의 전방 면(303)에 부착되도록 구성되는 다른 실시예가 도시된다. 엔클로저(1155)는 가스 포트로부터의 가스가 엔클로저(1155)의 개방된 내부 영역(1156)을 통과하도록 위치될 수 있으며, 그리고 어셈블리는 인접 가스 포트로부터의 가스의 유동을 실질적으로 방해하지 않는다. 엔클로저(1155)는 상기 설명한 바와 같이 연결부들(1123, 1124)에 의해 전력을 공급받는다.
도 12에 도시된 가열 엘리먼트들은 직선 어셈블리들로서 도시되어 있다. 하지만, 어셈블리의 형상은 요구되는 용도(use)에 따라서 변경될 수 있다. 도 12는 본 발명의 다른 실시예를 도시하는 바, 여기서, 어셈블리(1200)는 단일 가열 엘리먼트(1201)로 2개의 채널들(317)을 커버한다. 어셈블리(1200)는 채널들(317) 상에 배치된 2개의 개방된 내측 영역들(1206)을 갖는 세장형 엔클로저(1205)를 포함한다. 가열 엘리먼트(1201)는 전력 리드들(1223, 1224)에 연결된다. 본 실시예의 가열 엘리먼트(1201)는, 가열 엘리먼트(1201)가 가스 유동들에 노출되지 않는 절연부(insulated portion)(1208) 내에서 엔클로저(1205)를 통해 턴(turn)할 수 있다. 몇몇 실시예들에서, 가열 엘리먼트(1201)는 전체 경로를 통하여 노출된다. 다르게 설명하면, 개방된 내부 영역은, 가스 포트들의 전방에 위치되지 않는 가열 엘리먼트(1201)의 부분들을 갖는 엔클로저의 형상과 일치(match)할 수 있다.
도 12에 도시된 종류의 실시예들에서, 전력 리드들(1223, 1224)은 전류 유동을 가능하게 하기 위해 반대 극성으로 되어 있다. 따라서, 하나의 전력 리드는 양극(positive)일 것이며, 다른 하나는 음극(negative)일 것이다. 이러한 구성은, 단일 전력 소스가 전력 리드들(1223, 1224) 모두에 연결되기 때문에, 셋업(setup)하기가 비교적 용이할 수 있다. 단일 전력 소스(미도시)는, 이를 테면, 전위차계(potentiometer)와 같은, 와이어를 통해 유동하는 전류를 제어하기 위한 메커니즘을 포함할 수 있다.
가스 분배 플레이트의 몇몇 실시예들은 복수의 세장형 가스 포트들을 포함하며, 이러한 복수의 세장형 가스 포트들은 본질적으로, 순서대로, 교번하는(alternating) 제 1 전구체 가스(A) 포트들과 제 2 전구체 가스(B) 포트들의 적어도 2개의 반복 유닛(repeating unit)들, 및 그 다음에 오는 후단 제 1 전구체 가스(A') 포트로 이루어진다. 다르게 설명하면, 제 1 전구체 가스(A) 포트와 제 2 전구체 가스(B) 포트의 조합(AB 유닛으로서 지칭될 수 있음)이 적어도 2번 반복되며, 후단 제 1 전구체 가스(A') 포트를 갖는다. 퍼지, 진공 및 복수의 개구들이 가스 분배 플레이트 내에 존재할 수 있다는 것을 당업자는 이해할 것이다.
가스 분배 플레이트의 몇몇 실시예들은, 입력 면, 출력 면, 및 본원에서 설명되는 바와 같은 어셈블리를 포함한다. 입력 면은 제 1 전구체 가스의 유동을 수용하도록 구성된 제 1 전구체 가스 입력 및 제 2 전구체 가스의 유동을 수용하도록 구성된 제 2 전구체 가스 입력을 포함한다. 출력 면은, 출력 면에 인접하는 기판 쪽으로 가스들의 유동들을 지향시키도록 구성된 복수의 세장형 가스 포트들을 갖는다. 세장형 가스 포트들은 적어도 하나의 제 1 전구체 가스 포트 및 적어도 하나의 제 2 전구체 가스 포트를 포함한다. 적어도 하나의 제 1 전구체 가스 포트는 제 1 전구체 가스와 유동 통신하고, 적어도 하나의 제 2 전구체 가스 포트는 제 2 전구체 가스와 유동 통신한다. 설명되는 어셈블리는, 제 1 전구체 가스 포트와 제 2 전구체 가스 포트 중 적어도 하나 내에서의 가스의 유동이 어셈블리의 내부 영역을 통과하도록 위치된다. 어셈블리는, 가열 엘리먼트에 전류를 제공하도록 구성된 전력 소스에 연결된다. 상세한 실시예들에서, 어셈블리는 적어도 하나의 가스 포트 내에 위치된다. 구체적인 실시예들에서, 어셈블리는 가스 분배 플레이트의 출력 면에 부착되며, 이에 따라 가스 포트로부터 유동하는 가스가 어셈블리의 개방된 내부 영역을 통과하게 되며, 그리고 세장형 엔클로저는 인접하는 가스 포트들로부터의 가스들의 유동을 실질적으로 방해하지 않는다. 특정 실시예들에서, 가열 엘리먼트에 전류를 제공하게 되면, 열 엘리먼트를 가로질러 유동하는 가스 내의 종을 여기시키도록 가열 엘리먼트를 가열하며, 세장형 엔클로저는 실질적으로 팽창하지 않는다.
본 발명의 부가적인 실시예들은 프로세싱 챔버 내에서 기판을 프로세싱하는 방법들에 관한 것이다. 방법들은, 복수의 세장형 가스 포트들을 포함하는 가스 분배 플레이트 아래에서, 표면을 갖는 기판을 측방향으로 이동시키는 단계를 포함하며, 복수의 세장형 가스 포트들은 제 1 전구체 가스를 전달하기 위한 적어도 하나의 제 1 전구체 가스 포트 및 제 2 전구체 가스를 전달하기 위한 적어도 하나의 제 2 전구체 가스 포트를 포함한다. 제 1 전구체 가스가 기판 표면에 전달된다. 제 2 전구체 가스가 기판 표면에 전달된다. 세장형 엔클로저 내에 위치된 적어도 하나의 가열 엘리먼트에 전력이 인가된다. 적어도 하나의 세장형 엔클로저 각각은, 프로세싱 챔버 내에서 겪게 되는 온도들에서의 열 팽창에 대해 저항력이 있는 재료를 포함한다. 적어도 하나의 세장형 엔클로저 각각은, 가스 포트로부터의 가스가 세장형 엔클로저의 개방된 내부 영역을 통과하도록 위치되며, 그리고 가스 종이 여기된다. 여기된 종은 기판의 표면과 반응한다.
도 12의 실시예는 ABA 구성을 도시하지만, 임의의 요구되는 개수로 걸쳐있는(spanning) AB 가스 주입기들의 다수의 세트들을 마찬가지로(just as) 용이하게 포함할 수 있다. 예를 들어, 가스 분배 플레이트는 AB 가스 주입기들의 100개의 세트들을 가질 수 있으며, 이러한 세트들 각각은 개별적으로 제어되고, 이들 각각은 가열 엘리먼트 및 전력 소스를 개별적으로 포함한다.
하나 또는 그 초과의 실시예들은, 2개의 반복하는 AB 유닛들과 함께, 후단 제 1 전구체 가스 포트(A')를 가지며, 결과적으로 ABABA 구성을 초래한다. 따라서, 각각의 완전한 사이클(가스 스트림들을 통한 기판의 한번의 전후 이동)은 4개의 B 층들의 증착을 초래할 것이다. ABABABA 구성을 갖는 가스 분배 플레이트는 완전한 사이클 이후 6개의 B 층들의 증착을 초래할 것이다. 이러한 구성들 각각 내에 후단 제 1 전구체 가스 포트(A')를 포함시키게 되면, 가스 분배 플레이트(30)의 어느 측부로부터 이동이 시작되는지에 상관없이, 가스 분배 플레이트에 대해 이동하는 기판이 제 2 전구체 가스 포트 이전에 제 1 전구체 가스 포트를 만나게 될 것임을 보장한다. 주어진 가스 분배 플레이트(30) 내에는 임의의 개수의 반복하는 AB 유닛들이 있을 수 있다는 것을 당업자는 이해할 것이다. 반복하는 AB 유닛들의 개수는 가스 분배 플레이트의 크기에 따라 달라질 수 있다. 몇몇 실시예들에서는, 약 2개 내지 약 128개 범위의 AB 유닛들, 또는 심지어는 더 많은 개수들의 AB 유닛들이 있다. 다양한 실시예들에서, 적어도 약 2개, 3개, 4개, 5개, 10개, 15개, 20개, 25개, 30개, 35개, 40개, 45개 또는 50개의 AB 유닛들이 있다. 부가적으로, 이러한 구성은 단지 예시적인 것이며 그리고 가스 분배 플레이트는 임의의 개수의 가스 주입기들을 포함할 수 있다는 것이 당업자에 의해 이해될 것이다. 예를 들어, 가스 분배 플레이트는, 후단 제 1 가스 포트(A')를 갖거나 갖지 않으면서, 100개의 반복하는 AB 유닛들을 가질 수 있다.
몇몇 실시예들에서, 어셈블리는 가열 엘리먼트 내에서의 상당한 새깅(significant sagging)을 막기 위한 충분한 장력을 제공할 수 있다. 부가적으로, 어셈블리는, 파괴를 유발하는 데에 필요한 것 보다 더 적은 장력을 가열 엘리먼트에 대해 제공하도록 구성된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 용어 "상당한 새깅"은, 약 0.1 미만, 또는 약 0.05 미만, 또는 약 0.01 미만, 또는 약 0.005 미만, 또는 약 0.0025 미만의, 새그 대 길이 비율(sag to length ratio)이 있음을 의미한다. 다양한 실시예들에서, 새그는 400mm 길이에 대해 약 4mm 미만, 또는 400mm 길이에 대해 약 3mm 미만, 또는 400mm 길이에 대해 약 2mm 미만, 또는 400mm 길이에 대해 약 1mm 미만, 또는 300mm 길이에 대해 약 4mm 미만, 또는 300mm 길이에 대해 약 3mm 미만, 또는 300mm 길이에 대해 약 2mm 미만, 또는 300mm 길이에 대해 약 1mm 미만일 수 있다.
비록 본 발명이 특정 실시예들과 관련하여 본원에서 설명되었지만, 이러한 실시예들은 단지 본 발명의 원리들 및 적용예들을 예시하는 것임을 이해해야 한다. 본 발명의 사상 및 범위로부터 벗어나지 않으면서, 본 발명의 방법 및 장치에 대한 다양한 수정들 및 변경들이 이루어질 수 있다는 것이 당업자에게 자명할 것이다. 따라서, 본 발명은 첨부된 청구항들 및 그들의 균등물들의 범위 내에 있는 수정들 및 변경들을 포함하는 것으로 의도된다.

Claims (15)

  1. 증착 프로세싱 챔버 내에서의 기상 증착 반응(vapor deposition reaction) 동안 가스를 가열하기 위한 어셈블리로서,
    세로 축(longitudinal axis)을 갖는 세장형 엔클로저(elongate enclosure) ― 상기 엔클로저는 상기 프로세싱 챔버 내에서 겪게 되는(experienced) 온도들에서의 열 팽창에 대해 저항력이 있는(resistant) 재료를 포함하고, 상기 엔클로저는, 가스들의 유동이 상기 세로 축에 실질적으로 수직인 방향으로 상기 엔클로저를 통과하는 것을 허용하기 위한 개방된 내부 영역(open interior region)을 가짐 ― ; 및
    상기 세장형 엔클로저의 제 1 단부로부터 상기 세장형 엔클로저의 제 2 단부까지 상기 세로 축을 따라서 연장하는 가열 엘리먼트(heating element) ― 상기 가열 엘리먼트는, 상기 기상 증착 반응 동안에 상기 세로 축에 실질적으로 수직인 방향으로 상기 엔클로저를 통과하는 가스를 가열하기 위해 전류(electrical current)에 의해 가열될 재료를 포함함 ―
    를 포함하고,
    상기 가열 엘리먼트는, 상기 가열 엘리먼트의 근처를 통과하는 가스 내의 종(species)을 여기시키는데 충분한 높은 온도로 가열되는,
    가스를 가열하기 위한 어셈블리.
  2. 제1 항에 있어서,
    상기 가열 엘리먼트는, 상기 세장형 엔클로저의 상기 제 1 단부와 상기 제 2 단부 중에서 하나 또는 둘 이상을 넘어서 연장하는 부분을 포함하며, 연장된 부분은 전기적인 리드(electrical lead)의 역할을 하는,
    가스를 가열하기 위한 어셈블리.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 엔클로저는 상기 세장형 엔클로저의 상기 제 1 단부와 상기 제 2 단부 중 하나 또는 둘 이상에서 하나 이상의 연결부(connection)를 더 포함하며, 상기 연결부는 와이어(wire)와 전기적으로 접촉하고, 전기적인 리드의 역할을 하는,
    가스를 가열하기 위한 어셈블리.
  4. 제 3 항에 있어서,
    상기 하나 이상의 연결부는, 상기 가열 엘리먼트에 대한 전류의 인가에 의해 온도가 증가되지 않는,
    가스를 가열하기 위한 어셈블리.
  5. 제 1 항 또는 제 2 항에 있어서,
    상기 가열 엘리먼트는 텅스텐을 포함하는,
    가스를 가열하기 위한 어셈블리.
  6. 제 1 항 또는 제 2 항에 있어서,
    상기 가열 엘리먼트는 상기 엔클로저의 상기 제 1 단부로부터 상기 엔클로저의 상기 제 2 단부까지 직선 경로(straight path)로 연장하는,
    가스를 가열하기 위한 어셈블리.
  7. 제 1 항 또는 제 2 항에 있어서,
    상기 가열 엘리먼트는 상기 엔클로저의 상기 제 1 단부로부터 상기 엔클로저의 상기 제 2 단부까지 나선형(helical) 경로로 연장하는,
    가스를 가열하기 위한 어셈블리.
  8. 제 1 항 또는 제 2 항에 있어서,
    상기 세장형 엔클로저의 재료는 석영과 세라믹 중에서 하나 또는 둘 이상을 포함하는,
    가스를 가열하기 위한 어셈블리.
  9. 제 1 항 또는 제 2 항에 있어서,
    상기 가열 엘리먼트는 석영 내에 캡슐화된(encapsulated) 금속 와이어를 포함하는,
    가스를 가열하기 위한 어셈블리.
  10. 제 1 항 또는 제 2 항에 있어서,
    상기 세장형 엔클로저의 적어도 상기 제 1 단부로부터 상기 세장형 엔클로저의 적어도 상기 제 2 단부까지 연장하는 하나 이상의 부가적인 가열 엘리먼트를 더 포함하며, 상기 하나 이상의 부가적인 가열 엘리먼트는 전류에 의해 가열하기에 적합한 재료를 포함하는,
    가스를 가열하기 위한 어셈블리.
  11. 제 1 항 또는 제 2 항에 있어서,
    상기 세장형 엔클로저는 상기 프로세싱 챔버 내의 가스 분배 플레이트의 가스 포트 내에 맞춰지도록(fit) 크기가 정해지는(sized),
    가스를 가열하기 위한 어셈블리.
  12. 제 1 항 또는 제 2 항에 있어서,
    상기 세장형 엔클로저는 가스 분배 플레이트의 전방 면(front face)에 부착되어, 상기 가스 분배 플레이트 내의 가스 포트로부터의 가스의 유동이 상기 어셈블리의 개방된 내부 영역을 통과하게 되며, 그리고 상기 어셈블리는 근처의 가스 포트로부터의 가스의 유동을 방해하지 않는,
    가스를 가열하기 위한 어셈블리.
  13. 가스 분배 플레이트로서,
    입력 면(input face) ― 상기 입력 면은 제 1 전구체 가스의 유동을 수용(receive)하기 위한 제 1 전구체 가스 입력 및 제 2 전구체 가스의 유동을 수용하기 위한 제 2 전구체 가스 입력을 포함함 ― ;
    출력 면(output face) ― 상기 출력 면은, 상기 출력 면 근처의 기판 쪽으로 가스들의 유동들을 지향시키기 위한 복수의 세장형 가스 포트들을 갖고, 상기 세장형 가스 포트들은 하나 이상의 제 1 전구체 가스 포트 및 하나 이상의 제 2 전구체 가스 포트를 포함하고, 상기 하나 이상의 제 1 전구체 가스 포트는 상기 제 1 전구체 가스와 유동 통신(flow communication)하고, 상기 하나 이상의 제 2 전구체 가스 포트는 상기 제 2 전구체 가스와 유동 통신함 ―; 및
    제 1 항 또는 제 2 항의 어셈블리 ― 상기 어셈블리는, 상기 제 1 전구체 가스 포트와 상기 제 2 전구체 가스 포트 중 하나 이상의 내에서 가스의 유동이, 상기 어셈블리의 세로 축에 실질적으로 수직인 방향으로, 개방된 내부 영역을 통과하도록 위치되며, 상기 어셈블리는 가열 엘리먼트에 전류를 제공하기 위해 전력 소스에 연결됨 ―
    를 포함하는,
    가스 분배 플레이트.
  14. 증착 프로세싱 챔버 내에서의 기상 증착 반응 동안 가스를 가열하기 위한 어셈블리로서,
    프로세싱 챔버 내에서 겪게 되는 온도들에서의 열 팽창에 대해 저항력이 있는 재료를 포함하는 세장형 엔클로저 ― 상기 세장형 엔클로저는 세로 축을 따라서 연장하고, 개방된 내부 영역을 가지며, 상기 개방된 내부 영역은, 가스의 유동이 상기 세로 축에 실질적으로 수직인 방향으로 상기 개방된 내부 영역을 통과하는 것을 허용하고, 상기 세장형 엔클로저는 상기 프로세싱 챔버 내의 가스 분배 플레이트의 채널 내에 맞춰지도록 크기가 정해짐 ― ; 및
    상기 세장형 엔클로저의 제 1 단부를 넘는 영역으로부터, 상기 개방된 내부 영역을 통해, 상기 세장형 엔클로저의 제 2 단부를 넘는 영역까지 상기 세로 축을 따라서 연장하는 하나 이상의 가열 엘리먼트 ― 상기 하나 이상의 가열 엘리먼트는 상기 세로 축에 실질적으로 수직인 방향으로 상기 엔클로저를 통과하는 가스를 전류에 의해 가열하기에 적합한 재료를 포함하며, 그리고 상기 세장형 엔클로저의 제 1 단부를 넘는 그리고 상기 세장형 엔클로저의 상기 제 2 단부를 넘는 영역 내의, 상기 가열 엘리먼트의 부분들은 전기적인 리드들의 역할을 함 ―
    를 포함하고,
    상기 가열 엘리먼트는, 상기 가열 엘리먼트의 근처를 통과하는 가스 내의 종(species)을 여기시키는데 충분한 높은 온도로 가열되는,
    가스를 가열하기 위한 어셈블리.
  15. 프로세싱 챔버 내에서 기판을 프로세싱하는 방법으로서,
    복수의 세장형 가스 포트들을 포함하는 가스 분배 플레이트 아래에서, 표면을 갖는 기판을 측방향으로 이동시키는 단계 ― 상기 복수의 세장형 가스 포트들은 제 1 전구체 가스를 전달하기 위한 하나 이상의 제 1 전구체 가스 포트 및 제 2 전구체 가스를 전달하기 위한 하나 이상의 제 2 전구체 가스 포트를 포함함 ― ;
    상기 제 1 전구체 가스를 기판 표면에 전달하는 단계;
    상기 제 2 전구체 가스를 상기 기판 표면에 전달하는 단계; 및
    세장형 엔클로저 내에 위치된 하나 이상의 가열 엘리먼트에 전력을 인가하는 단계 ― 상기 세장형 엔클로저는 상기 프로세싱 챔버 내에서 겪게 되는 온도들에서의 열 팽창에 대해 저항력이 있는 재료를 포함하고, 그리고 상기 세장형 엔클로저는, 가스 포트로부터의 가스가 상기 세장형 엔클로저의 세로 축에 실질적으로 수직인 방향으로 상기 세장형 엔클로저의 개방된 내부 영역을 통과하도록 위치되고, 상기 하나 이상의 가열 엘리먼트는 상기 세로 축에 실질적으로 수직인 방향으로 상기 엔클로저를 통과하는 가스를 가열하고, 그리고 가스 종(gaseous species)이 여기되어, 여기된 종은 상기 기판의 표면과 반응함 ―
    를 포함하고,
    상기 가열 엘리먼트는, 상기 가열 엘리먼트의 근처를 통과하는 가스 내의 종(species)을 여기시키는데 충분한 높은 온도로 가열되는,
    프로세싱 챔버 내에서 기판을 프로세싱하는 방법.
KR1020147020422A 2011-12-23 2012-12-21 독립형 가열 엘리먼트 KR102109108B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161579762P 2011-12-23 2011-12-23
US61/579,762 2011-12-23
US13/720,301 US20130164445A1 (en) 2011-12-23 2012-12-19 Self-Contained Heating Element
US13/720,301 2012-12-19
PCT/US2012/071221 WO2013096754A1 (en) 2011-12-23 2012-12-21 Self-contained heating element

Publications (2)

Publication Number Publication Date
KR20140108564A KR20140108564A (ko) 2014-09-11
KR102109108B1 true KR102109108B1 (ko) 2020-05-11

Family

ID=48654824

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147020422A KR102109108B1 (ko) 2011-12-23 2012-12-21 독립형 가열 엘리먼트

Country Status (6)

Country Link
US (1) US20130164445A1 (ko)
JP (1) JP2015507844A (ko)
KR (1) KR102109108B1 (ko)
CN (1) CN103988286A (ko)
TW (1) TW201339360A (ko)
WO (1) WO2013096754A1 (ko)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011144412A (ja) * 2010-01-13 2011-07-28 Honda Motor Co Ltd プラズマ成膜装置
US20120225203A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US20120225191A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US20120269967A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Hot Wire Atomic Layer Deposition Apparatus And Methods Of Use
US11043386B2 (en) 2012-10-26 2021-06-22 Applied Materials, Inc. Enhanced spatial ALD of metals through controlled precursor mixing
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
WO2014149962A1 (en) * 2013-03-14 2014-09-25 Applied Materials, Inc. Apparatus for coupling a hot wire source to a process chamber
KR102581435B1 (ko) * 2015-07-14 2023-09-20 램 리써치 코포레이션 튜빙 어셈블리의 열 제어를 위한 장치 및 연관된 방법들
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
CN107419239A (zh) * 2017-07-28 2017-12-01 京东方科技集团股份有限公司 用于镀膜的喷头、设备和相应方法
WO2020242648A1 (en) * 2019-05-31 2020-12-03 Applied Materials, Inc. Methods and systems for forming films on substrates
WO2023059824A1 (en) * 2021-10-08 2023-04-13 Entegris, Inc. Compressible tray for solid chemical vaporizing chamber
KR102575677B1 (ko) * 2023-01-04 2023-09-06 주식회사 디스닉스 다중복합 물질을 에칭하기 위한 플라즈마 에칭 장치

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090130794A1 (en) * 2006-04-20 2009-05-21 Volker Probst Thermal evaporation apparatus, use and method of depositing a material

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3923390A1 (de) * 1988-07-14 1990-01-25 Canon Kk Vorrichtung zur bildung eines grossflaechigen aufgedampften films unter verwendung von wenigstens zwei getrennt gebildeten aktivierten gasen
WO2000063956A1 (fr) * 1999-04-20 2000-10-26 Sony Corporation Procede et dispositif pour realiser un depot de couches minces, et procede pour la production d'un dispositif a semiconducteur a couches minces
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
KR100515052B1 (ko) * 2002-07-18 2005-09-14 삼성전자주식회사 반도체 기판상에 소정의 물질을 증착하는 반도체 제조 장비
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
JP2005142344A (ja) * 2003-11-06 2005-06-02 Toshiba Corp 半導体装置の製造方法および半導体製造装置
KR100688838B1 (ko) * 2005-05-13 2007-03-02 삼성에스디아이 주식회사 촉매 화학기상증착장치 및 촉매 화학기상증착방법
JP5055756B2 (ja) * 2005-09-21 2012-10-24 東京エレクトロン株式会社 熱処理装置及び記憶媒体
JP5444961B2 (ja) * 2009-09-01 2014-03-19 東京エレクトロン株式会社 成膜装置及び成膜方法
KR20120040434A (ko) * 2010-10-19 2012-04-27 삼성전자주식회사 결정화 실리콘 제조 장치 및 이를 이용한 태양 전지의 제조 방법
US20120225203A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090130794A1 (en) * 2006-04-20 2009-05-21 Volker Probst Thermal evaporation apparatus, use and method of depositing a material

Also Published As

Publication number Publication date
CN103988286A (zh) 2014-08-13
TW201339360A (zh) 2013-10-01
WO2013096754A1 (en) 2013-06-27
KR20140108564A (ko) 2014-09-11
JP2015507844A (ja) 2015-03-12
US20130164445A1 (en) 2013-06-27

Similar Documents

Publication Publication Date Title
KR102109108B1 (ko) 독립형 가열 엘리먼트
US20120269967A1 (en) Hot Wire Atomic Layer Deposition Apparatus And Methods Of Use
JP6359567B2 (ja) 空間分離原子層堆積のための装置およびプロセス閉じ込め
KR102257183B1 (ko) 다중-성분 필름 증착
TWI599673B (zh) 沉積無氟/碳保形鎢之方法
KR102664779B1 (ko) 공간적인 원자 층 증착에서의 가스 분리 제어
TW578212B (en) Atomic layer deposition reactor
US20140023794A1 (en) Method And Apparatus For Low Temperature ALD Deposition
US20120225191A1 (en) Apparatus and Process for Atomic Layer Deposition
US10361088B2 (en) Techniques and systems for continuous-flow plasma enhanced atomic layer deposition (PEALD)
US20130243971A1 (en) Apparatus and Process for Atomic Layer Deposition with Horizontal Laser
KR102221562B1 (ko) 급속 열 처리를 이용한 원자 층 증착
KR20140023289A (ko) 원자층 증착을 위한 장치 및 프로세스
JP7295892B2 (ja) プラズマ源及びその操作方法
CN114496707A (zh) 反应器和相关方法
KR101385593B1 (ko) 원자층 증착장치 및 그 증착방법

Legal Events

Date Code Title Description
AMND Amendment
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant