JP6359567B2 - 空間分離原子層堆積のための装置およびプロセス閉じ込め - Google Patents

空間分離原子層堆積のための装置およびプロセス閉じ込め Download PDF

Info

Publication number
JP6359567B2
JP6359567B2 JP2015558200A JP2015558200A JP6359567B2 JP 6359567 B2 JP6359567 B2 JP 6359567B2 JP 2015558200 A JP2015558200 A JP 2015558200A JP 2015558200 A JP2015558200 A JP 2015558200A JP 6359567 B2 JP6359567 B2 JP 6359567B2
Authority
JP
Japan
Prior art keywords
gas
port
distribution plate
reactive
elongated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2015558200A
Other languages
English (en)
Other versions
JP2016511797A (ja
Inventor
ギャリー ケイ. クォン,
ギャリー ケイ. クォン,
ジョゼフ ユドフスキー,
ジョゼフ ユドフスキー,
スティーヴン ディー. マーカス,
スティーヴン ディー. マーカス,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2016511797A publication Critical patent/JP2016511797A/ja
Application granted granted Critical
Publication of JP6359567B2 publication Critical patent/JP6359567B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本発明の実施形態は、一般に、材料を堆積させるための装置および方法に関する。より詳細には、本発明の実施形態は、プロセスガスを特定のエリアに閉じ込め、プロセスガスがプロセスエリアから外に漏れてプロセスチャンバを汚染するのを防ぐ、原子層堆積チャンバを対象とする。
半導体処理、フラットパネルディスプレイ処理、または他の電子デバイス処理の分野では、気相堆積プロセスが、基板上に材料を堆積する際に重要な役割を果たしてきた。電子デバイスの形状寸法が縮小し続け、デバイスの密度が増加し続けるにつれて、特徴部のサイズおよびアスペクト比はより進んだものになりつつあり、例えば0.07μmの特徴部サイズおよび10以上のアスペクト比になっている。したがって、これらのデバイスを形成する上で材料を共形堆積することが、ますます重要になりつつある。
原子層堆積(ALD:atomic layer deposition)プロセス中、反応ガスが、基板を収容するプロセスチャンバに導入される。一般に、第1の反応物質がプロセスチャンバに導入され、基板表面上に吸着する。第2の反応物質がプロセスチャンバに導入され、第1の反応物質と反応して、堆積材料を形成する。パージステップを実施して、基板表面上にあるのが生じる反応だけであることを確実にすることができる。パージステップは、キャリアガスを用いた連続パージでも、反応ガスの供給と供給の間のパルスパージでもよい。
一部の空間ALDガス分配装置では、ガスがプロセスエリアから外に漏れて、チャンバを汚染することがある。その汚染が、粒子および腐食の問題を引き起こすおそれがある。本発明の実施形態は、プロセスガスがプロセスエリアから外に漏れるのを防ぎ、そのため、粒子および腐食の問題はもう起こらない。
原子層堆積によって基板を処理するための改善された装置および方法が、当技術分野で引き続き必要とされている。
本発明の実施形態は、長さ、幅、左側、右側、および前面を有する本体を備える、ガス分配プレートを対象とする。本体は、前面に開口のある複数の細長ガスポートを有する。細長ガスポートは、本体の幅に沿って延在する。左ガスカーテンチャネルが、本体の長さに沿って、本体の左側に隣接して、複数の細長ガスポートのうちの少なくとも一部の境界となって、延在する。右ガスカーテンチャネルが、本体の長さに沿って、本体の右側に隣接して、複数の細長ガスポートのうちの少なくとも一部の境界となって、延在する。
いくつかの実施形態では、左ガスカーテンチャネルおよび右ガスカーテンチャネルのうち1つまたは複数が、全ての細長ガスポートの境界となる。1つまたは複数の実施形態では、左ガスカーテンチャネルおよび右ガスカーテンチャネルのうち1つまたは複数が、全ての細長ガスポートよりも少ない細長ガスポートの境界となる。
いくつかの実施形態では、左ガスカーテンチャネルおよび右ガスカーテンチャネルのうち1つまたは複数が、パージガスカーテンチャネルを備える。1つまたは複数の実施形態では、左ガスカーテンチャネルおよび右ガスカーテンチャネルのうち1つまたは複数が、真空カーテンチャネルを備える。いくつかの実施形態では、左ガスカーテンチャネルおよび右ガスカーテンチャネルのうち1つまたは複数が、パージガスカーテンチャネルおよび真空カーテンチャネルを備える。1つまたは複数の実施形態では、パージガスカーテンチャネルが、真空カーテンチャネルと複数の細長ガスポートとの間にある。いくつかの実施形態では、真空カーテンチャネルが、パージガスカーテンチャネルと複数の細長ガスポートとの間にある。
いくつかの実施形態では、複数の細長ガスポートが、第1の反応性ガスと流体連通する少なくとも1つの第1の反応性ガスポート、および第1の反応性ガスとは異なる第2の反応性ガスと流体連通する少なくとも1つの第2の反応性ガスポートを備える。1つまたは複数の実施形態では、複数の細長ガスポートが、順番に、先頭の第1の反応性ガスポート、第2の反応性ガスポート、および末尾の第1の反応性ガスポートから基本的に成る。いくつかの実施形態では、複数の細長ガスポートがさらに、先頭の第1の反応性ガスポートと第2の反応性ガスポートとの間のパージガスポート、および第2の反応性ガスポートと末尾の第1の反応性ガスポートとの間のパージガスポートを備え、各パージガスポートが反応性ガスポートから、真空ポートによって分離される。1つまたは複数の実施形態では、細長ガスポートが、順番に、真空ポート、パージガスポート、および別の真空ポートを、先頭の第1の反応性ガスポートの前、2つ目の第1の反応性ガスポートの後に備える。
いくつかの実施形態では、複数の細長ガスポートが、第1の反応性ガスポートおよび第2の反応性ガスポートからなる反復ユニットを少なくとも1つ備える。1つまたは複数の実施形態では、2から24の範囲内の反復ユニットがある。
本発明の更なる実施形態は、原子層堆積システムを対象とする。ALDシステムは、処理チャンバと、開示した実施形態のうちのいずれかによるガス分配プレートと、基板キャリアとを備える。基板を、ガス分配プレートに対して、細長ガスインジェクタの軸と直角を成す軸に沿った前進後退運動で往復移動させることのできる基板キャリア。
いくつかの実施形態では、基板キャリアが基板を回転させる。1つまたは複数の実施形態では、回転が連続的である。いくつかの実施形態では、回転が離散的ステップの形をとる。いくつかの実施形態では、基板キャリアがガス分配プレートに隣接していないときに、各離散的ステップの回転が行われる。
本発明の上で列挙した特徴が達成され、その特徴を詳細に理解することができるように、上で簡潔に要約した本発明のより詳細な説明を、添付の図面に示されている本発明の実施形態を参照して行うことができる。しかし、添付の図面は、本発明の典型的な実施形態を示すにすぎず、したがって、本発明の範囲を限定するものとは、本発明が他の等しく効果的な実施形態を許容できるので、見なすべきでないことに留意されたい。
本発明の1つまたは複数の実施形態による、原子層堆積チャンバの概略側面図である。 本発明の1つまたは複数の実施形態による、サセプタを示す図である。 本発明の1つまたは複数の実施形態による、原子層堆積チャンバの部分斜視図である。 本発明の1つまたは複数の実施形態による、ガス分配プレートの図である。 本発明の1つまたは複数の実施形態による、ガス分配プレートの図である。 本発明の1つまたは複数の実施形態による、ガス分配プレートの概略断面図である。 本発明の1つまたは複数の実施形態による、ガス分配プレートの概略断面図である。 本発明の1つまたは複数の実施形態による、ガス分配プレートの前面の概略図である。 本発明の1つまたは複数の実施形態による、ガス分配プレートの概略断面図である。 本発明の1つまたは複数の実施形態による、ガス分配プレートの前面の概略図である。 本発明の1つまたは複数の実施形態による、ガス分配プレートの概略断面図である。 本発明の1つまたは複数の実施形態による、ガス分配プレートの前面の概略図である。 本発明の1つまたは複数の実施形態による、ガス分配プレートの前面の概略図である。 本発明の1つまたは複数の実施形態による、ガス分配プレートの前面の概略図である。 本発明の1つまたは複数の実施形態による、クラスタツールを示す図である。
本発明の実施形態は、基板の移動が改善された、原子層堆積の装置および方法を対象とする。本発明の特定の実施形態は、細かな形状を有するガス分配プレート、および直線的な往復運動を組み込んだ、(周期的堆積とも呼ばれる)原子層堆積の装置を対象とする。
本発明の実施形態は、一般に、空間原子層堆積の装置に関する。詳細には、本発明の実施形態は、プロセスを特定のエリアに閉じ込め、プロセスガスがプロセスエリアから外に漏れてプロセスチャンバを汚染するのを防ぐ方途について説明する。一部の空間ALDタイプのガス分配装置では、ガスがプロセスエリアから外に漏れて、チャンバを汚染することがある。その汚染が、粒子および腐食の問題を引き起こすおそれがある。本発明の実施形態は、プロセスガスがプロセスエリアから外に漏れるのを防ぎ、そのため、粒子および腐食の問題はもう起こらない。
本発明の1つまたは複数の実施形態は、空間ALD装置の全てのエッジに、更なる不活性ガスパージチャネルおよび/または排気チャネルを追加する。いくつかの実施形態では、プロセスガスが装置エリアから外に漏れるのを防ぐための、これらの排気チャネルでの圧力。本発明の実施形態は、プロセスガス、任意の副生成物、および/またはデブリを装置(プロセスエリア)に閉じ込めるのを助け、そのことが、プロセスチャンバ全体を清浄に保ち、粒子および腐食の問題を解消し、部品の寿命を延ばし、その結果、コストを削減し、定期保守期間を短くすることができる。
図1は、本発明の1つまたは複数の実施形態による、原子層堆積システム100またはリアクタの概略断面図である。システム100は、ロードロックチャンバ10および処理チャンバ20を含む。処理チャンバ20は一般に、密閉可能なエンクロージャであり、このエンクロージャは、真空下または少なくとも低圧下で運転される。処理チャンバ20は、ロードロックチャンバ10から隔離弁15によって隔離される。隔離弁15は、閉位置にあるときは、処理チャンバ20をロードロックチャンバ10から密閉し、隔離弁15が開位置にあるときは、基板60を、ロードロックチャンバ10から弁を通って処理チャンバ20に、またその逆に、移送することが可能になる。
システム100は、1種または複数種のガスを基板60全体にわたって分配することの可能な、ガス分配プレート30を含む。ガス分配プレート30は、当業者に知られる任意の適切な分配プレートとすることができ、記載した特定のガス分配プレートを、本発明の範囲を限定するものと解釈すべきではない。ガス分配プレート30の出力面が、基板60の第1の表面61に面する。
本発明の実施形態で使用する基板は、任意の適切な基板とすることができる。詳細な実施形態では、基板は、剛性で、個別の、概して平面の基板である。本明細書および添付の特許請求の範囲では、基板に言及する際の「個別の」という用語は、基板が固定の寸法を有することを意味する。特定の実施形態の基板は、200mm径シリコンウエハや300mm径シリコンウエハなどの半導体ウエハである。
ガス分配プレート30は、1種または複数種のガスストリームを基板60に送出するように構成された複数のガスポート、および各ガスポート間に配設され、ガスストリームを処理チャンバ20から外に送出するように構成された複数の真空ポートを備える。図1の詳細な実施形態では、ガス分配プレート30は、第1の前駆体インジェクタ120、第2の前駆体インジェクタ130、およびパージガスインジェクタ140を備える。インジェクタ120、130、140は、メインフレームなどのシステムコンピュータ(図示せず)によって、またはプログラマブルロジックコントローラなどのチャンバ専用コントローラによって、制御することができる。前駆体インジェクタ120は、化合物Aの反応性前駆体の連続(またはパルス)ストリームを、複数のガスポート125を通じて処理チャンバ20に注入するように構成される。前駆体インジェクタ130は、化合物Bの反応性前駆体の連続(またはパルス)ストリームを、複数のガスポート135を通じて処理チャンバ20に注入するように構成される。パージガスインジェクタ140は、非反応性ガスまたはパージガスの連続(またはパルス)ストリームを、複数のガスポート145を通じて処理チャンバ20に注入するように構成される。パージガスは、処理チャンバ20から反応性材料および反応性副生成物を除去するように構成される。パージガスは典型的に、窒素、アルゴン、ヘリウムなどの不活性ガスである。ガスポート145は、化合物Aの前駆体を化合物Bの前駆体から分離するように、ガスポート125とガスポート135の中間に配設され、これにより、前駆体間の相互汚染が回避される。
別の態様では、前駆体をチャンバ20に注入するのに先立って、前駆体インジェクタ120および前駆体インジェクタ130に遠隔プラズマ源(図示せず)を接続することができる。反応性化学種のプラズマは、遠隔プラズマ源内の化合物に電界を印加することによって発生させることができる。意図した化合物を活性化することの可能な任意の電源を使用することができる。例えば、DC、高周波(RF:radio frequency)、およびマイクロ波(MW)ベースの放電技法を使用した電源を使用することができる。RF電源が使用される場合、RF電源を容量結合しても、誘導結合してもよい。活性化は、熱ベースの技法、ガス絶縁破壊技法、高強度光源(例えばUVエネルギー)、またはx線源への暴露によって生じさせることもできる。例示的な遠隔プラズマ源が、MKS Instruments,Inc.やAdvanced Energy Industries,Inc.などのベンダから入手可能である。
システム100はさらに、処理チャンバ20に接続されたポンピングシステム150を含む。ポンピングシステム150は一般に、ガスストリームを処理チャンバ20から1つまたは複数の真空ポート155を通じて外に排出するように構成される。真空ポート155は、ガスストリームが基板表面と反応した後にガスストリームを処理チャンバ20から外に排出し、かつ前駆体間の相互汚染をさらに制限するように、各ガスポート間に配設される。
システム100は、処理チャンバ20上の各ポート間に配設された、複数の仕切り160を含む。各仕切りの下部は、基板60の第1の表面61の近く、例えば第1の表面61から約0.5mmまで延在する。この距離は、ガスストリームが基板表面と反応した後に、ガスストリームが下部を迂回して真空ポート155に向かって流れるのを可能にするのに十分な距離だけ、仕切り160の下部が基板表面から分離されているようなものとすべきである。矢印198は、ガスストリームの方向を示す。仕切り160は、ガスストリームに対する物理的なバリアとして働くので、やはり前駆体間の相互汚染を制限する。図示の構成は例示にすぎず、本発明の範囲を限定するものと解釈すべきではない。図示のガス分配システムは、可能な1つの分配システムにすぎず、他のタイプのシャワーヘッドおよびガス分配システムを用いることができることが、当業者には理解されよう。
動作の際には、基板60が(例えばロボットによって)ロードロックチャンバ10に供給され、キャリア65上に載置される。隔離弁15が開いた後、キャリア65が、レールシステムでもフレームシステムでもよいトラック70に沿って移動する。キャリア65が処理チャンバ20に入った後、隔離弁15が閉じ、処理チャンバ20を密閉する。次いで、処理のために、キャリア65が処理チャンバ20の中を移動する。一実施形態では、キャリア65が、チャンバの中を直線的な経路で移動する。
基板60が処理チャンバ20の中を移動するとき、基板60の第1の表面61は、ガスポート125から到来する化合物Aの前駆体、およびガスポート135から到来する化合物Bの前駆体に、ガスポート145から到来するパージガスが間にある状態で、繰り返し暴露される。パージガスの注入は、基板表面110を次の前駆体に暴露する前に、前の前駆体から未反応の材料を除去するように設計される。さまざまなガスストリーム(例えば前駆体またはパージガス)への各暴露の後、ガスストリームは、ポンピングシステム150によって真空ポート155を通じて排出される。真空ポートを各ガスポートの両側に配設することができるので、ガスストリームは、両側の真空ポート155を通じて排出される。したがって、ガスストリームは、それぞれに対応するガスポートから垂直下方に基板60の第1の表面61に向かって流れ、第1の表面110を横切り、仕切り160の下部を迂回して、最終的に、上方に真空ポート155に向かう。このようにして、各ガスを、基板表面110全体にわたって均一に分配することができる。矢印198は、ガス流の方向を示す。基板60は、さまざまなガスストリームに暴露されている間に、回転させることもできる。基板の回転は、形成される層内にストリップが形成されるのを防ぐのに有用となり得る。基板の回転は、連続的でも、離散的ステップの形をとってもよい。
処理チャンバ20の端部には、処理チャンバ20内の最後のガスポートによる完全な暴露を確実なものにするように、十分なスペースが一般に設けられている。基板60が処理チャンバ20の端部に到達した(すなわち第1の表面61がチャンバ20内のあらゆるガスポートに完全に暴露された)後、基板60は、ロードロックチャンバ10に向かう方向に戻る。基板60がロードロックチャンバ10に向かって戻るとき、基板表面を、化合物Aの前駆体、パージガス、および化合物Bの前駆体に、最初の暴露とは逆順に、再度暴露することができる。
基板表面110が各ガスに暴露される程度は、例えば、ガスポートから到来する各ガスの流量、および基板60の移動速度によって決まり得る。一実施形態では、各ガスの流量が、基板表面110から吸着した前駆体を除去しないように設定される。各仕切り間の幅、処理チャンバ20上に配設されたガスポートの数、および基板が前進後退して通過する回数も、基板表面110がさまざまなガスに暴露される程度を決め得る。したがって、堆積膜の量および質は、上記の要素を変更することによって最適化することができる。
別の実施形態では、システム100は、前駆体インジェクタ120および前駆体インジェクタ130を、パージガスインジェクタ140なしで含むことができる。したがって、基板60が処理チャンバ20の中を移動するとき、基板表面110は、化合物Aの前駆体と化合物Bの前駆体に、間でパージガスに暴露されることなく、交互に暴露されることになる。
図1に示す実施形態は、基板の上にガス分配プレート30を有する。この縦型配向に関して実施形態を記載し、図示してきたが、逆の配向も可能であることが理解されよう。その状況においては、基板60の第1の表面61は下方を向き、一方、基板に向かうガス流は上方に誘導されることになる。
別の実施形態では、複数の基板を処理するように、システム100を構成することができる。そのような実施形態では、システム100は、(ロードロックチャンバ10の反対端に配設された)第2のロードロックチャンバ、および複数の基板60を含むことができる。基板60は、ロードロックチャンバ10に供給し、第2のロードロックチャンバから取り出すことができる。
1つまたは複数の実施形態では、基板の第2の側を加熱するために、少なくとも1つの放射熱ランプ90が配置される。放射熱源は一般に、基板から見てガス分配プレート30とは反対側に配置される。これらの実施形態では、ガスクッションプレートが、放射熱源からの光の少なくとも一部の透過を可能にする材料から形成される。例えば、ガスクッションプレートを石英で形成して、可視光源からの放射エネルギーがプレートを通過し、基板の裏側に接触し、基板の温度上昇を生じさせるのを可能にすることができる。
いくつかの実施形態では、キャリア65が、基板60を支えるためのサセプタ66である。一般に、サセプタ66は、基板全体にわたって均一な温度を生成するのを助けるキャリアである。サセプタ66は、ロードロックチャンバ10と処理チャンバ20の間を両方向(図1の配置に対して左から右、また右から左)に移動可能である。サセプタ66は、基板60を支えるための上面67を有する。サセプタ66は、基板60を処理のために加熱することができるように、加熱式サセプタとすることができる。一例として、サセプタ66は、サセプタ66の下に配設された、放射熱ランプ90、加熱プレート、抵抗コイル、または他の加熱デバイスによって加熱することができる。
別の実施形態では、図2に示すように、サセプタ66の上面67が、基板60を受領するように構成された凹部68を含む。サセプタ66は一般に、基板の厚さよりも厚く、したがって、基板の下にサセプタ材料がある。詳細な実施形態では、凹部68は、基板60が凹部68の内部に配設されたとき、基板60の第1の表面61がサセプタ66の上面67と同じ高さになるように構成される。別の言い方をすれば、いくつかの実施形態の凹部68は、基板60が中に配設されたとき、基板60の第1の表面61がサセプタ66の上面67より上に突き出さないように構成される。
図3は、本発明の1つまたは複数の実施形態による、処理チャンバ20の部分断面図を示す。処理チャンバ20は、少なくとも1つのガスインジェクタユニット31を備えたガス分配プレート30を有する。本明細書および添付の特許請求の範囲では、「ガスインジェクタユニット」という用語は、基板表面上に個別の膜を堆積させることの可能な、ガス分配プレート30内の一続きのガス出口のことを言い表すために使用される。例えば、個別の膜が2つの成分の組合せによって堆積される場合、単一のガスインジェクタユニットが、少なくともその2つの成分用の出口を含むことになる。ガスインジェクタユニット31は、個別の膜を堆積させることの可能なガス出口の内部およびそのガス出口の周りに、任意のパージガスポートまたは真空ポートも含むことができる。図1に示すガス分配プレート30は、単一のガスインジェクタユニット31から構成されているが、2つ以上のガスインジェクタユニット31がガス分配プレート30の部分であってよいことを理解されたい。
幾つかの実施形態では、処理チャンバ20が、細長ガスインジェクタと直角を成す軸に沿った直線的な往復経路に沿って基板を移動させるように構成された、基板キャリア65を含む。本明細書および添付の特許請求の範囲では、「直線的な往復経路」という用語は、基板をその中で前進後退移動させることのできる、まっすぐな経路またはわずかに曲がった経路のいずれかを指す。別の言い方をすれば、基板キャリアは、基板を、ガスインジェクタユニットに対して、細長ガスインジェクタの軸と直角を成す前進後退運動で往復移動させるように構成することができる。図3に示すように、キャリア65は、キャリア65を左から右に、また右から左に往復移動させることの可能な、またはキャリア65を移動中に支持することの可能な、レール74上に支持することができる。移動は、当業者に知られる多くの機構によって達成することができる。例えば、ステッピングモータがレールのうちの1本を駆動することができ、レールは、キャリア65と相互作用して、基板60を往復運動させることができる。詳細な実施形態では、基板キャリアは、細長ガスインジェクタ32と直角を成す軸に沿った、細長ガスインジェクタ32の下にある直線的な往復経路に沿って、基板60を移動させるように構成される。特定の実施形態では、基板60の表面全体が、ガス分配プレート30によって占有される領域78を通過するように、基板キャリア65は、ガス分配プレート30の前にある領域76からガス分配プレート30の後ろにある領域77まで、基板60を輸送するように構成される。
図4Aは、本発明の1つまたは複数の実施形態による、ガス分配プレート30の底部斜視図を示す。図3と図4をどちらも参照すると、各ガスインジェクタユニット31が、複数の細長ガスインジェクタ32を備える。細長ガスインジェクタ32は、任意の適切な形または形状を成すことができ、図4Aに例を示す。図面の左にある細長ガスインジェクタ32は、一続きの密に離隔された孔である。これらの孔は、ガス分配プレート30の面内に形成されたトレンチ33の底部に位置する。トレンチ33は、図では、ガス分配プレート30の両端部まで延在しているが、これは例示のためのものにすぎず、トレンチはエッジまで延在する必要がないことが理解されよう。中央の細長ガスインジェクタ32は、一続きの密に離隔された矩形開口である。このインジェクタは、トレンチ33内に位置するのとは対照的に、図では、ガス分配プレート30の面の直接上にある。詳細な実施形態のトレンチは、約8mmの深さを有し、約10mmの幅を有する。図4Aの右にある細長ガスインジェクタ32は、2つの細長チャネルとして示されている。図4Bは、ガス分配プレート30の一部分の側面図を示す。より大きな部分および説明は、図11に含めている。図4Bは、単一のポンピングプレナム150aと真空ポート155の関係を示す。ポンピングプレナム150aはこれらの真空ポート155に、2つのチャネル151aを通じて接続される。これらのチャネル151は真空ポート155と、図4Aに示す細長インジェクタ32によって流れ連通する。特定の実施形態では、細長インジェクタ32には、約4.5mmの直径を有する約28個の孔がある。さまざまな実施形態では、細長インジェクタ32は、約10個から約100個の範囲内の孔、または約15個から約75個の範囲内の孔、または約20個から約50個の範囲内の孔、または10個、20個、30個、40個、50個、60個、70個、80個、90個、もしくは100個を上回る孔を有する。各種の実施形態では、孔は、約1mmから約10mmの範囲内の、または約2mmから約9mmの範囲内の、または約3mmから約8mmの範囲内の、または約4mmから約7mmの範囲内の、または約5mmから約6mmの範囲内の、または1mm、2mm、3mm、4mm、5mm、6mm、7mm、8mm、9mm、もしくは10mmを上回る、直径を有する。孔は、散在した状態または一様に分散された状態で、2つ以上の横列を成して整列させても、単一の横列を成して整列させてもよい。ガス供給プレナム120aが細長ガスインジェクタ32に、2つのチャネル121aによって接続される。詳細な実施形態では、ガス供給プレナム120aは、約14mmの直径を有する。さまざまな実施形態では、ガス供給プレナムは、約8mmから約20mmの範囲内の、または約9mmから約19mmの範囲内の、または約10mmから約18mmの範囲内の、または約11mmから約17mmの範囲内の、または約12mmから約16mmの範囲内の、または約13mmから約15mmの範囲内の、または4mm、5mm、6mm、7mm、8mm、9mm、10mm、11mm、12mm、13mm、14mm、15mm、16mm、17mm、18mm、19mm、もしくは20mmを上回る、直径を有する。特定の実施形態では、(プレナムからの)これらのチャネルが、約0.5mmの直径を有し、約121個のこれらのチャネルが、互い違いの、または一様に離隔された2つの横列を成して存在する。さまざまな実施形態では、その直径は、約0.1mmから約1mmの範囲内、または約0.2mmから約0.9mmの範囲内、または約0.3mmから約0.8mmの範囲内、または約0.4mmから約0.7mmの範囲内であり、または0.2mm、0.3mm、0.4mm、0.5mm、0.6mm、0.7mm、0.8mm、0.9mm、もしくは1mmを上回る。ガス供給プレナム120aは、数字の上では、第1の前駆体ガスに関連しているが、第2の反応性ガスおよびパージガスについても、同様の構成を形成できることが理解されよう。任意の特定の動作理論に縛られることなく、プレナム、チャネル、および孔の寸法が、チャネルのコンダクタンスおよび均一性を定めると考えられる。
図5〜図13は、本発明のさまざまな実施形態による、ガス分配プレート30の部分側断面図を示す。これらの図面中で使用されている文字は、システム内で使用することのできるさまざまなガスのうちのいくつかを表す。参考として、Aは第1の反応性ガスであり、Bは第2の反応性ガスであり、Cは第3の反応性ガスであり、Pはパージガスであり、Vは真空である。本明細書および添付の特許請求の範囲では、「反応性ガス」という用語は、基板、基板表面上の膜、または基板表面上の部分膜のいずれかと反応することのできる任意のガスを指す。反応性ガスの非限定的な例には、ハフニウム前駆体、水、セリウム前駆体、過酸化物、チタン前駆体、オゾン、プラズマ、III−V族元素がある。パージガスは、接触する化学種または表面とは非反応性の任意のガスである。パージガスの非限定的な例には、アルゴン、窒素、およびヘリウムがある。
図示の実施形態では、ガス分配プレート30の両端の反応性ガスインジェクタが同じであり、したがって、ガス分配プレート30を通過する基板が遭遇する最初と最後の反応性ガスが同じである。例えば、最初の反応性ガスがAである場合、最後の反応性ガスもAとなる。ガスAとガスBを交換する場合、基板が遭遇する最初と最後のガスはガスBとなる。これは、ガス分配の構成および順序の可能な1つの例にすぎない。代替構成が利用可能であり、本発明の範囲はそのような構成に限定すべきではないことを、当業者なら理解するであろう。
図5を参照すると、いくつかの実施形態のガスインジェクタユニット31が、少なくとも2つの第1の反応性ガスインジェクタAと、第1の反応性ガスインジェクタのガスとは異なるガスである少なくとも1つの第2の反応性ガスインジェクタBとを含む、複数の細長ガスインジェクタを備える。第1の反応性ガスインジェクタAは、第1の反応性ガスと流体連通し、第2の反応性ガスインジェクタBは、第1の反応性ガスとは異なる第2の反応性ガスと流体連通する。少なくとも2つの第1の反応性ガスインジェクタAが、少なくとも1つの第2の反応性ガスインジェクタBを取り囲み、したがって、左から右に移動する基板が、順番に、先頭の第1の反応性ガスA、第2の反応性ガスB、および末尾の第1の反応性ガスAに遭遇し、その結果、基板上に1層の完全な層が形成される。同じ経路に沿って戻る基板は、反対順の反応性ガスに遭遇し、その結果、完全な各サイクルについて2層が得られる。便利な省略形として、この構成をABAインジェクタ構成と呼ぶことができる。このガスインジェクタユニット31を横切って前進後退移動する基板は、
AB AAB AAB (AAB)...AABA
というパルス状シーケンスに遭遇し、その結果、Bという均一な膜組成物を形成する。シーケンスの終わりに第1の反応性ガスAに暴露することは、第2の反応性ガスBが後に続かないので、重要ではない。膜組成物は、Bと呼ばれているが、実際には、反応性ガスAと反応性ガスBの表面反応生成物のうちの1つの生成物であり、Bだけを使用するのは、膜の説明をするための便宜上であることが、当業者には理解されよう。
図6は、ガス分配プレート30の詳細な実施形態を示す。ここに示すように、ガス分配プレート30は、外側パージガスPインジェクタおよび外側真空Vポートを含むことのできる、単一のガスインジェクタユニット31を備える。図示の詳細な実施形態では、ガス分配プレート30は、ポンピングシステム150に接続された少なくとも2つのポンピングプレナムを備える。第1のポンピングプレナム150aは、第1の反応性ガスAインジェクタ32a、32cに関連するガスポート125に(その両側で)隣接する真空ポート155と流れ連通する。第1のポンピングプレナム150aは真空ポート155に、2つの真空チャネル151aを通じて接続される。第2のポンピングプレナム150bは、第2の反応性ガスBインジェクタ32bに関連するガスポート135に(その両側で)隣接する真空ポート155と流れ連通する。第2のポンピングプレナム150bは真空ポート155に、2つの真空チャネル152aを通じて接続される。このようにして、第1の反応性ガスAと第2の反応性ガスBが、ガス相中で反応することが実質的に防止される。端部真空ポート155と流れ連通する真空チャネルは、第1の真空チャネル150aまたは第2の真空チャネル150bのいずれかでも、第3の真空チャネルでもよい。ポンピングプレナム150、150a、150bは、任意の適切な寸法を有することができる。真空チャネル151a、152aは、任意の適切な寸法とすることができる。特定の実施形態では、真空チャネル151a、152aは、約22mmの直径を有する。端部真空プレナム150は、実質的にパージガスだけを収集する。追加の真空ラインが、チャンバ内からガスを収集する。これら4種の排気(A、B、パージガス、およびチャンバ)は、別々に排気しても、下流で組み合わせて1つまたは複数のポンプに至ってもよく、2つの別々のポンプと任意に組み合わせてもよい。
本発明の特定の実施形態は、ガス分配プレートを中に有する処理チャンバを備える、原子層堆積システムを対象とする。ガス分配プレートは、順番に、真空ポート、パージガスインジェクタ、真空ポート、第1の反応性ガスインジェクタ、真空ポート、パージポート、真空ポート、第2の反応性ガスインジェクタ、真空ポート、パージポート、真空ポート、第1の反応性ガスインジェクタ、真空ポート、パージポート、および真空ポートから基本的に成る、複数のガスインジェクタを備える。
いくつかの実施形態では、ガスプレナムおよびガスインジェクタを、パージガス供給源(例えば窒素)と接続することができる。これにより、プレナムおよびガスインジェクタから、残留ガスをパージすることが可能になり、したがって、ガス構成を交換して、BガスがAのプレナムおよびインジェクタから流れること、またその逆を、可能にすることができる。さらに、ガス分配プレート30は、望ましくないガス漏れの制御を助けるために、側部またはエッジに沿って追加の真空ポートを含むことができる。インジェクタの下の圧力は、チャンバよりも約1torr高いので、追加の真空ポートは、反応性ガスがチャンバ内に漏れるのを防ぐ助けとなることができる。いくつかの実施形態では、ガス分配プレート30が、1つまたは複数のヒータまたはクーラも含む。
図7を参照すると、1つまたは複数の実施形態によるガス分配プレート30が示されている。ガス分配プレート30は、前面201、長さL、および幅Wを有する、本体200を含む。本体200は、左側202(底部に示す)、および右側203(上部に示す)を有する。左側および右側は、基板が左から右に移動することに基づいて決まり、最も左のガスインジェクタが、基板が遭遇する最初のガスインジェクタである。ガス分配プレート30は、前面201に開口のある複数の細長ガスポート125、135、145を含む。開口は、本体200の幅Wおよび前面201に沿って延在する。
細長インジェクタからのガスが、前面201の正面の領域から拡散するのを防ぐために、ガス分配プレート30の左側202および右側203に沿って、ガスカーテンチャネルが配置される。図7に示す実施形態は、左ガスカーテンチャネル210および右ガスカーテンチャネル211を含む。左ガスカーテンチャネル210と右ガスカーテンチャネル211はどちらも、本体200の長さLに沿って、それぞれ本体200の左側および右側に隣接して延在する。
ガスカーテンチャネル210、211は、複数の細長ガスポート125、135、145のうちの少なくとも一部の境界となる。本明細書および添付の特許請求の範囲では、この点に関して使用される「境界となる」などの用語は、ガスカーテンチャネルが、細長ガスポートのエッジとガス分配プレートのエッジとの間の境界を成すことを意味する。ガスカーテンチャネル210、211の長さは、さまざまな使い方に合わせて調整することができる。ガスカーテンチャネルは、細長ガスポートのうちの少なくとも1つから、全ての細長ガスポートまでの境界となるのに十分なほど長くすることができる。図8は、図7に示すガス分配プレート30の側断面図を示す。断面内に、本体200を通過する個々のガスインジェクタ120、130、140が見られ、左ガスカーテンチャネル210がガス分配プレート30の長さLに沿って延在する。図7に示す実施形態では、左ガスカーテンチャネル210と右ガスカーテンチャネル211がどちらも、細長ガスポート125、135、145の両側の真空ポート155を含めて、全ての細長ガスポート125、135、145の境界となっている。いくつかの実施形態では、ガスカーテンチャネルが、全ての細長ガスポートよりも少ない細長ガスポートの境界となる。左ガスカーテンチャネル210と右ガスカーテンチャネル211はどちらも、より低圧の領域をもたらす真空カーテンチャネルとして示されている。真空カーテンチャネルの圧力は、真空ポート155内の圧力と同じであっても、その圧力とは異なっていてもよい。真空カーテンチャネルの圧力が低すぎる場合、細長ガスポートからの反応性ガスは、優先的にカーテンに引き寄せられてしまうおそれがある。真空カーテンチャネルの圧力が高すぎる場合、反応性ガスは、ガス分配プレート30の前面201の正面の反応エリアから逃れることができてしまうおそれがある。
ガスカーテンチャネルは、真空チャネルおよび/またはパージガスチャネルとすることができる。図7および図8に示す実施形態は、ガス分配プレート30の左と右の両側に、細長ガスポートの境界となる真空ガスカーテンチャネルを有する。図9および図10に示す実施形態は、それぞれガス分配プレート30の左側と右側の境界となる、パージガスカーテンチャネル211、213を有する。
図7に示す実施形態は、端部真空ポート155とは分離した真空カーテンチャネル210、211を有する。しかし、これらを、端部真空ポート155と真空カーテンチャネル210、211のどちらの役割も果たす、単一の連続した真空ポートとすることができる。図9に示す実施形態は、全ての細長ガスポートの周りに延在する単一のパージガスカーテンチャネルを含み、端部真空ポート155がカーテンの外側にある。この場合、パージガスカーテンチャネルとパージガスポートは、単一のユニットに統合されているが、ユニットのどの部分を問題にするかに応じて異なる機能を有する。図9を見ると、パージガスカーテンの左側および右側は、パージガスポート145としての役割を果たすことになり、一方、底部側は、左パージガスカーテンチャネル212であり、上部が、右パージガスカーテンチャネル213としての役割を果たすことになる。この場合、チャネル内の圧力は、ガス分配プレート30全体の周りでほぼ等しくなる。パージガスポート145およびパージガスカーテンチャネル212、213が分離している一実施形態では、これらのポート内のガス圧が異なっていてよい。パージガスポート145およびパージガスカーテンチャネル212、213が分離しているとき、確実に反応性ガスがガス分配プレート30の前面201の正面のプロセス領域内に留まるように、圧力を別々に制御することができる。パージガスカーテンチャネル212、213内のパージガス圧が低すぎる場合、パージガスカーテンチャネル212、213は、全ての反応性ガスをプロセス領域に閉じ込める効果があるとは限らないことがある。しかし、パージガスカーテンチャネル212、213内のパージガス圧が高すぎる場合、カーテンチャネルから出たパージガスが、細長ガスポートからの反応性ガスに衝突して、全体的な堆積品質に影響を及ぼすおそれがある。
図11は、2つのカーテンチャネルがある、本発明の一実施形態を示す。内側のカーテンチャネルがパージガスカーテンチャネルであり、外側のカーテンチャネルが真空カーテンチャネルである。これらのチャネルはどちらも、最端部の細長ガスポートと統合されたものとして示されている。図12は、カーテンチャネルが細長ガスポートとは分離しており、これらのカーテンチャネルおよびガスポート内の圧力を独立に制御することが可能になっている、一実施形態を示す。
左ガスカーテンチャネルおよび右ガスカーテンチャネルのうち1つまたは複数が、パージガスカーテンチャネルおよび真空カーテンチャネルを備える。図12に示す例では、左ガスカーテンチャネルも、真空カーテンチャネル210とパージガスカーテンチャネル212の両方を備えており、右ガスカーテンチャネルも、真空カーテンチャネル211とパージガスカーテンチャネル213の両方を備えている。パージガスカーテンチャネル212は、真空カーテンチャネル210と、複数の細長ガスチャネル125、135、145との間にあり、パージガスカーテンチャネル213は、真空カーテンチャネル211と、複数の細長ガスチャネル125、135、145との間にある。図13は、真空カーテンチャネル210が、パージガスカーテンチャネル212と、複数の細長ガスチャネル125、135、145との間にあり、真空カーテンチャネル211が、パージガスカーテンチャネル213と、複数の細長ガスチャネル125、135、145との間にある、一実施形態を示す。ある特定の実施形態では、各行程の後、または複数の行程の後に、回転移動を用いることもできる。回転移動は、離散的な移動、例えば10、20、30、40、もしくは50度の移動、または他の適切な漸進的回転移動とすることができる。そのような回転移動は、直線的な移動と相まって、基板上へのより均一な膜形成を可能にすることができる。
詳細な実施形態では、基板キャリアが、第1の域97の外側にある基板を、ローディング位置に搬送するように構成される。いくつかの実施形態では、基板キャリアが、第2の域98の外側にある基板を、アンローディング位置に搬送するように構成される。ローディング位置およびアンローディング位置は、必要なら逆にすることができる。
本発明の更なる実施形態は、基板を処理する方法を対象とする。基板の一部分が、ガスインジェクタユニットを第1の方向に横断する。本明細書および添付の特許請求の範囲では、「横断する」という用語は、基板がガス分配プレートの上、下などを移動しており、したがって、ガス分配プレートからのガスが、基板または基板上の層と反応できることを意味する。基板を第1の方向に移動させる際、基板は、順番に、先頭の第1の反応性ガスストリーム、第2の反応性ガスストリーム、および末尾の第1の反応性ガスストリームに暴露されて、第1の層が堆積する。次いで、基板のその部分が、ガスインジェクタユニットを第1の方向とは反対の方向に横断し、したがって基板のその部分が、順番に、末尾の第1の反応性ガスストリーム、第2の反応性ガスストリーム、および先頭の第1の反応性ガスストリームに暴露されて、第2の層を形成する。ガスインジェクタユニットが1つしかない場合、基板は、ガス分配プレートの関連部分全体の下を通過する。ガス分配プレートの、反応性ガスインジェクタの外側にある領域は、関連部分の一部ではない。2つ以上のガスインジェクタユニットがある実施形態では、基板は、ガスインジェクタユニットの数に基づいて、基板の長さの一部分を移動させる。したがって、基板は、n個のガスインジェクタユニットごとに、基板の全長の1/nだけ移動させる。
詳細な実施形態では、方法はさらに、基板のその部分を、第1の反応性ガスストリームと第2の反応性ガスストリームのそれぞれの間でパージガスストリームに暴露することを含む。いくつかの実施形態のガスは、連続して流れている。いくつかの実施形態では、ガスは、基板がガス分配プレートの下を移動するとき、パルス状である。
1つまたは複数の実施形態によれば、基板の一部分を第1の方向に通過させると、基板のその部分が、順番に、先頭の第1の反応性ガスストリーム、先頭の第2の反応性ガスストリーム、第1の中間的な第1の反応性ガスストリーム、第3の反応性ガスストリーム、第2の中間的な第1の反応性ガスストリーム、末尾の第2の反応性ガスストリーム、および末尾の第1の反応性ガスストリームに暴露され、基板のその部分を第2の方向に通過させると、基板のその部分がこれらのガスストリームに逆順に暴露される。
本発明の更なる実施形態は、記載した少なくとも1つの原子層堆積システムを備えるクラスタツールを対象とする。クラスタツールは、中央部分と、そこから延在する1つまたは複数の分岐部を有する。堆積装置、すなわち処理装置である分岐部。短ストローク運動を組み込んだクラスタツールは、従来型の堆積チャンバを備えたツールよりも、必要とする空間が実質的に少ない。クラスタツールの中央部分は、基板をロードロックチャンバから処理チャンバ内に移動させ、処理後にロードロックチャンバに戻すことの可能な、少なくとも1つのロボットアームを含むことができる。図14を参照すると、例示的なクラスタツール300が、中央移送チャンバ304を含んでおり、中央移送チャンバ304は一般に、複数の基板をロードロックチャンバ320およびさまざまなプロセスチャンバ20内に、またそこから外に移送するように適合された、マルチ基板ロボット310を含む。クラスタツール300は、3つの処理チャンバ20と共に示されているが、4つ以上の処理チャンバがあっても、2つ以下の処理チャンバがあってもよいことが、当業者には理解されよう。さらに、処理チャンバは、さまざまなタイプ(例えばALD、CVD、PVD)の基板処理技法向けのものであってよい。
以上、本発明を本明細書に、特定の実施形態を参照して記載してきたが、これらの実施形態は、本発明の原理および適用例の例示にすぎないことを理解されたい。本発明の趣旨および範囲から逸脱することなく、本発明の方法および装置に対してさまざまな修正および変形を加えられることが、当業者には明らかであろう。したがって、本発明が添付の特許請求の範囲およびその等価物の範囲内に含まれる修正および変形を含むことが、意図される。

Claims (16)

  1. 長さ、幅、左側、右側、および前面を有する本体と、
    前記本体の前記前面に開口のある複数の細長ガスポートであって、前記本体の前記幅に沿って延在する細長ガスポートと、
    前記本体の前記長さに沿って、前記本体の前記左側に隣接して延在し、前記複数の細長ガスポートのうちの少なくとも一部の境界となる、左ガスカーテンチャネルと、
    前記本体の前記長さに沿って、前記本体の前記右側に隣接して延在し、前記複数の細長ガスポートのうちの少なくとも一部の境界となる、右ガスカーテンチャネルと
    を備え
    前記左ガスカーテンチャネルおよび前記右ガスカーテンチャネルのうち1つまたは複数が、パージガスカーテンチャネルおよび真空カーテンチャネルを備える、ガス分配プレート。
  2. 前記左ガスカーテンチャネルおよび前記右ガスカーテンチャネルのうち1つまたは複数が、全ての前記細長ガスポートの境界となる、請求項1に記載のガス分配プレート。
  3. 前記左ガスカーテンチャネルおよび前記右ガスカーテンチャネルのうち1つまたは複数が、全ての前記細長ガスポートよりも少ない細長ガスポートの境界となる、請求項1に記載のガス分配プレート。
  4. 前記左ガスカーテンチャネルおよび前記右ガスカーテンチャネルのうち1つまたは複数が、パージガスカーテンチャネルを備える、請求項1に記載のガス分配プレート。
  5. 前記左ガスカーテンチャネルおよび前記右ガスカーテンチャネルのうち1つまたは複数が、真空カーテンチャネルを備える、請求項1に記載のガス分配プレート。
  6. 前記複数の細長ガスポートが、第1の反応性ガスと流体連通する少なくとも1つの第1の反応性ガスポート、および前記第1の反応性ガスとは異なる第2の反応性ガスと流体連通する少なくとも1つの第2の反応性ガスポートを備える、請求項1に記載のガス分配プレート。
  7. 前記複数の細長ガスポートが、順番に、先頭の第1の反応性ガスポート、第2の反応性ガスポート、および末尾の第1の反応性ガスポートから基本的に成る、請求項に記載のガス分配プレート。
  8. 前記複数の細長ガスポートがさらに、前記先頭の第1の反応性ガスポートと前記第2の反応性ガスポートとの間のパージガスポート、および前記第2の反応性ガスポートと前記末尾の第1の反応性ガスポートとの間のパージガスポートを備え、各パージガスポートが前記反応性ガスポートから、真空ポートによって分離される、請求項に記載のガス分配プレート。
  9. 前記細長ガスポートが、順番に、真空ポート、パージガスポート、および別の真空ポートを、前記先頭の第1の反応性ガスポートの前、前記2つ目の第1の反応性ガスポートの後に備える、請求項に記載のガス分配プレート。
  10. 前記複数の細長ガスポートが、第1の反応性ガスポートおよび第2の反応性ガスポートからなる反復ユニットを少なくとも1つ備える、請求項1に記載のガス分配プレート。
  11. 2から24の範囲内の反復ユニットがある、請求項10に記載のガス分配プレート。
  12. 処理チャンバと、
    請求項1に記載のガス分配プレートと、
    基板を、前記ガス分配プレートに対して、細長ガスインジェクタの軸と直角を成す軸に沿った前進後退運動で往復移動させるための基板キャリアと
    を備える、原子層堆積システム。
  13. 前記基板キャリアが前記基板を回転させる、請求項12に記載の原子層堆積システム。
  14. 前記回転が連続的である、請求項13に記載の原子層堆積システム。
  15. 前記回転が離散的ステップの形をとる、請求項13に記載の原子層堆積システム。
  16. 前記基板キャリアが前記ガス分配プレートに隣接していないときに、各離散的ステップの回転が行われる、請求項15に記載の原子層堆積システム。
JP2015558200A 2013-02-18 2014-02-18 空間分離原子層堆積のための装置およびプロセス閉じ込め Active JP6359567B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361765899P 2013-02-18 2013-02-18
US61/765,899 2013-02-18
PCT/US2014/016924 WO2014127363A1 (en) 2013-02-18 2014-02-18 Apparatus and process containment for spatially separated atomic layer deposition

Publications (2)

Publication Number Publication Date
JP2016511797A JP2016511797A (ja) 2016-04-21
JP6359567B2 true JP6359567B2 (ja) 2018-07-18

Family

ID=51354622

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015558200A Active JP6359567B2 (ja) 2013-02-18 2014-02-18 空間分離原子層堆積のための装置およびプロセス閉じ込め

Country Status (6)

Country Link
US (1) US20150368798A1 (ja)
JP (1) JP6359567B2 (ja)
KR (2) KR102403666B1 (ja)
CN (1) CN105026614A (ja)
TW (1) TWI624560B (ja)
WO (1) WO2014127363A1 (ja)

Families Citing this family (253)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9598769B2 (en) 2013-07-24 2017-03-21 Uchicago Argonne, Llc Method and system for continuous atomic layer deposition
JP6320824B2 (ja) * 2014-03-31 2018-05-09 株式会社東芝 ガス供給管、およびガス処理装置
EP2960059B1 (en) 2014-06-25 2018-10-24 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US11267012B2 (en) * 2014-06-25 2022-03-08 Universal Display Corporation Spatial control of vapor condensation using convection
US11220737B2 (en) 2014-06-25 2022-01-11 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US9617638B2 (en) 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
JP6811732B2 (ja) * 2015-06-17 2021-01-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 処理チャンバ中のガス制御
TWI723997B (zh) 2015-06-19 2021-04-11 美商應用材料股份有限公司 用於批次處理之注射器及使用方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10204790B2 (en) 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
US9508547B1 (en) * 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
KR102420015B1 (ko) * 2015-08-28 2022-07-12 삼성전자주식회사 Cs-ald 장치의 샤워헤드
US10566534B2 (en) 2015-10-12 2020-02-18 Universal Display Corporation Apparatus and method to deliver organic material via organic vapor-jet printing (OVJP)
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
WO2017103333A1 (en) * 2015-12-17 2017-06-22 Beneq Oy A coating precursor nozzle and a nozzle head
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11015246B2 (en) * 2016-04-24 2021-05-25 Applied Materials, Inc. Apparatus and methods for depositing ALD films with enhanced chemical exchange
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US9738977B1 (en) 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR101861008B1 (ko) 2016-08-26 2018-05-25 한양대학교 산학협력단 원자층 증착 장비 및 그를 이용한 원자층 증착 방법
JP6495875B2 (ja) * 2016-09-12 2019-04-03 株式会社東芝 流路構造及び処理装置
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR101887193B1 (ko) * 2016-11-01 2018-09-06 주식회사 엔씨디 롤투롤 원자층 증착장치
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) * 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CN107419239A (zh) 2017-07-28 2017-12-01 京东方科技集团股份有限公司 用于镀膜的喷头、设备和相应方法
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR102093968B1 (ko) * 2017-08-18 2020-03-26 주식회사 엘지화학 적층 필름
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102230936B1 (ko) * 2017-09-12 2021-03-23 주식회사 엘지화학 원자층 증착 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
KR102435693B1 (ko) * 2017-11-28 2022-08-23 주식회사 엘지에너지솔루션 원자층 증착장치 및 이를 이용한 원자층 증착방법
KR20200091491A (ko) * 2017-12-20 2020-07-30 램 리써치 코포레이션 합금 원자 층 증착에서 전구체들의 균질 혼합을 위한 시스템들 및 방법들
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US20190386257A1 (en) * 2018-06-18 2019-12-19 Universal Display Corporation Depositor and print head for depositing a non-emissive layer of graded thickness
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
JP7296699B2 (ja) * 2018-07-02 2023-06-23 東京エレクトロン株式会社 ガス供給システム、プラズマ処理装置およびガス供給システムの制御方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11306396B2 (en) * 2018-11-30 2022-04-19 Meidensha Corporation Oxide film forming device
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20210127768A (ko) * 2019-03-11 2021-10-22 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버들을 위한 덮개 조립체 장치 및 방법들
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
TW202045753A (zh) * 2019-06-04 2020-12-16 金碳洁股份有限公司 循環式磊晶沉積系統
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2021011950A1 (en) 2019-07-17 2021-01-21 Lam Research Corporation Modulation of oxidation profile for substrate processing
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7149431B2 (ja) * 2019-09-24 2022-10-06 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7098677B2 (ja) * 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
KR102621695B1 (ko) * 2021-05-21 2024-01-08 주식회사 인피니티테크놀로지 진공커튼 및 그의 시스템
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6203619B1 (en) * 1998-10-26 2001-03-20 Symetrix Corporation Multiple station apparatus for liquid source fabrication of thin films
JP2002151494A (ja) * 2000-11-14 2002-05-24 Sekisui Chem Co Ltd 常圧プラズマ処理方法及びその装置
US7378127B2 (en) * 2001-03-13 2008-05-27 Micron Technology, Inc. Chemical vapor deposition methods
KR20030038396A (ko) * 2001-11-01 2003-05-16 에이에스엠엘 유에스, 인코포레이티드 우선적인 화학 기상 증착 장치 및 방법
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
JP2004355921A (ja) * 2003-05-28 2004-12-16 Sekisui Chem Co Ltd 常圧プラズマ処理装置
KR20070098104A (ko) * 2006-03-31 2007-10-05 삼성전자주식회사 가스커튼을 구비한 박막증착장치
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
JP5303984B2 (ja) * 2008-03-26 2013-10-02 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5812606B2 (ja) * 2010-02-26 2015-11-17 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
EP2481830A1 (en) * 2011-01-31 2012-08-01 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus for atomic layer deposition.
US20120225191A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US20120222620A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use

Also Published As

Publication number Publication date
WO2014127363A1 (en) 2014-08-21
TWI624560B (zh) 2018-05-21
US20150368798A1 (en) 2015-12-24
JP2016511797A (ja) 2016-04-21
KR20150119005A (ko) 2015-10-23
KR20210095963A (ko) 2021-08-03
CN105026614A (zh) 2015-11-04
KR102403666B1 (ko) 2022-05-30
TW201437426A (zh) 2014-10-01

Similar Documents

Publication Publication Date Title
JP6359567B2 (ja) 空間分離原子層堆積のための装置およびプロセス閉じ込め
US11821083B2 (en) Gas separation control in spatial atomic layer deposition
US20120225191A1 (en) Apparatus and Process for Atomic Layer Deposition
KR102257183B1 (ko) 다중-성분 필름 증착
KR102271731B1 (ko) 배치 프로세싱을 위한 경사진 플레이트 및 사용 방법들
KR102197576B1 (ko) 재순환을 이용하는 공간적인 원자 층 증착을 위한 장치 및 사용 방법들
US8187679B2 (en) Radical-enhanced atomic layer deposition system and method
US20130210238A1 (en) Multi-Injector Spatial ALD Carousel and Methods of Use
US20120225204A1 (en) Apparatus and Process for Atomic Layer Deposition
JP2014513203A (ja) 原子層堆積のための装置及び方法
US20160024653A1 (en) Plasma Source For Rotating Platen ALD Chambers

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170208

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20171122

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180109

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180402

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180522

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180620

R150 Certificate of patent or registration of utility model

Ref document number: 6359567

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250