KR102403666B1 - 공간적으로 분리된 원자 층 증착을 위한 장치 및 프로세스 격납 - Google Patents

공간적으로 분리된 원자 층 증착을 위한 장치 및 프로세스 격납 Download PDF

Info

Publication number
KR102403666B1
KR102403666B1 KR1020217023600A KR20217023600A KR102403666B1 KR 102403666 B1 KR102403666 B1 KR 102403666B1 KR 1020217023600 A KR1020217023600 A KR 1020217023600A KR 20217023600 A KR20217023600 A KR 20217023600A KR 102403666 B1 KR102403666 B1 KR 102403666B1
Authority
KR
South Korea
Prior art keywords
gas
ports
distribution plate
reactive
port
Prior art date
Application number
KR1020217023600A
Other languages
English (en)
Other versions
KR20210095963A (ko
Inventor
개리 케이. 광
조셉 유도브스키
스티븐 디. 마르쿠스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20210095963A publication Critical patent/KR20210095963A/ko
Application granted granted Critical
Publication of KR102403666B1 publication Critical patent/KR102403666B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

복수의 세장형 가스 포트들을 포함하는 가스 분배 플레이트를 포함하는 원자 층 증착 장치 및 방법들이 제공되며, 가스 커튼들이 가스 분배 플레이트의 외측 길이를 따라서 연장된다. 또한, 가스 커튼들과 함께 복수의 세장형 가스 포트들을 갖는 가스 분배 플레이트를 포함하는 원자 층 증착 장치들 및 방법들이 제공된다.

Description

공간적으로 분리된 원자 층 증착을 위한 장치 및 프로세스 격납{APPARATUS AND PROCESS CONTAINMENT FOR SPATIALLY SEPARATED ATOMIC LAYER DEPOSITION}
[0001] 본 발명의 실시예들은 일반적으로, 재료들을 증착시키기 위한 장치 및 방법에 관한 것이다. 더 구체적으로, 본 발명의 실시예들은, 프로세스 가스들을 특정 지역 내에 수용하고 그리고 프로세스 가스들이 프로세스 지역 밖으로 누설되어 프로세스 챔버를 오염시키는 것을 방지하는 원자 층 증착 챔버들에 관한 것이다.
[0002] 반도체 프로세싱, 플랫-패널 디스플레이 프로세싱 또는 다른 전자 디바이스 프로세싱 분야에서, 증기(vapor) 증착 프로세스들은 기판들 상에 재료들을 증착시키는 데에 중요한 역할을 해왔다. 전자 디바이스들의 기하 형상들(geometries)이 지속적으로 축소(shrink)되고 디바이스들의 밀도가 지속적으로 증가함에 따라, 피쳐들(features)의 크기 및 종횡비는 점점 공격적으로(aggressive) 되어가는데, 예를 들어, 피쳐 크기들은 0.07㎛ 이고 종횡비는 10 또는 그 초과이다. 따라서, 이러한 디바이스들을 형성하기 위한 재료들의 컨포멀한(conformal) 증착이 점점 더 중요해지고 있다.
[0003] 원자 층 증착(ALD) 프로세스 동안, 반응물 가스들(reactant gases)은, 기판을 수용하는 프로세스 챔버 내에 도입된다. 일반적으로, 제 1 반응물이 프로세스 챔버 내로 도입되어 기판 표면 상에 흡착된다(adsorbed). 증착(deposited) 재료를 형성하기 위해, 제 2 반응물이 프로세스 챔버 내로 도입되어 제 1 반응물과 반응한다. 발생하는 반응들(reactions)만이 기판 표면 상에 있는 것을 보장하기 위해, 퍼지 단계가 수행될 수 있다. 퍼지 단계는 캐리어 가스를 이용한 연속적인 퍼지이거나 또는, 반응물 가스들의 전달 사이의 펄스식 퍼지(pulse purge)일 수 있다.
[0004] 몇몇 공간적 ALD 가스 분배 장치에서, 가스들은 프로세스 지역 밖으로 누설되어 챔버를 오염시킬 수 있다. 이는, 결과적으로, 입자들 및 부식 문제들을 생성할 수 있다. 본 발명의 실시예들은, 입자들 및 부식 문제들이 더이상 존재하지 않도록, 프로세스 가스들이 프로세스 지역 밖으로 누설되는 것을 방지한다.
[0005] 원자 층 증착에 의해 기판들을 프로세싱하기 위한 개선된 장치들 및 방법들에 대한 계속적인 필요가 당업계에 존재한다.
[0006] 본 발명의 실시예들은, 길이, 폭, 좌측부(left side), 우측부 및 전면(front face)을 갖는 본체를 포함하는 가스 분배 플레이트들에 관한 것이다. 본체는, 전면에 개구부들을 갖는 복수의 세장형(elongate) 가스 포트들을 갖는다. 세장형 가스 포트들은 본체의 폭을 따라 연장된다. 왼쪽 가스 커튼 채널은 본체의 좌측부에 인접하여 본체의 길이를 따라서 연장되고, 복수의 세장형 가스 포트들의 적어도 일부에 대해 경계를 이룬다(bounding). 오른쪽 가스 커튼 채널은 본체의 우측부에 인접하여 본체의 길이를 따라서 연장되고, 복수의 세장형 가스 포트들의 적어도 일부에 대해 경계를 이룬다.
[0007] 몇몇 실시예들에서, 왼쪽 가스 커튼 채널과 오른쪽 가스 커튼 채널 중 하나 또는 그 초과는 전체 세장형 가스 포트들에 대해 경계를 이룬다. 하나 또는 그 초과의 실시예들에서는, 왼쪽 가스 커튼 채널과 오른쪽 가스 커튼 채널 중 하나 또는 그 초과는 전체 미만의 세장형 가스 포트들에 대해 경계를 이룬다.
[0008] 몇몇 실시예들에서, 왼쪽 가스 커튼 채널과 오른쪽 가스 커튼 채널 중 하나 또는 그 초과는 퍼지 가스 커튼 채널을 포함한다. 하나 또는 그 초과의 실시예들에서, 왼쪽 가스 커튼 채널과 오른쪽 가스 커튼 채널 중 하나 또는 그 초과는 진공 커튼 채널을 포함한다. 몇몇 실시예들에서, 왼쪽 가스 커튼 채널과 오른쪽 가스 커튼 채널 중 하나 또는 그 초과는 퍼지 가스 커튼 채널 및 진공 커튼 채널을 포함한다. 하나 또는 그 초과의 실시예들에서, 퍼지 가스 커튼 채널은 진공 커튼 채널과 복수의 세장형 가스 포트들 사이에 있다. 몇몇 실시예들에서, 진공 커튼 채널은 퍼지 가스 커튼 채널과 복수의 세장형 가스 포트들 사이에 있다.
[0009] 몇몇 실시예들에서, 복수의 세장형 가스 포트들은, 제 1 반응성 가스와 유체 소통(fluid communication)하는 적어도 하나의 제 1 반응성 가스 포트, 및 제 1 반응성 가스와 상이한 제 2 반응성 가스와 유체 소통하는 적어도 하나의 제 2 반응성 가스 포트를 포함한다. 하나 또는 그 초과의 실시예들에서, 복수의 세장형 가스 포트들은 본질적으로, 순서대로, 선두의(leading) 제 1 반응성 가스 포트, 제 2 반응성 가스 포트, 및 말미의(trailing) 제 1 반응성 가스 포트로 구성된다. 몇몇 실시예들에서, 복수의 세장형 가스 포트들은, 선두의 제 1 반응성 가스 포트와 제 2 반응성 가스 포트 사이의 퍼지 가스 포트, 및 제 2 반응성 가스 포트와 말미의 제 1 반응성 가스 포트 사이의 퍼지 가스 포트를 더 포함하고, 각각의 퍼지 가스 포트는 진공 포트에 의해 반응성 가스 포트들로부터 분리된다. 하나 또는 그 초과의 실시예들에서, 세장형 가스 포트들은, 선두의 제 1 반응성 가스 포트 이전에 그리고 말미의 제 1 반응성 가스 포트 이후에, 순서대로, 진공 포트, 퍼지 가스 포트, 및 다른 진공 포트를 포함한다.
[0010] 몇몇 실시예들에서, 복수의 세장형 가스 포트들은 적어도 하나의 반복 단위(repeating unit)의 제 1 반응성 가스 포트 및 제 2 반응성 가스 포트를 포함한다. 하나 또는 그 초과의 실시예들에서, 2 내지 24 범위의 반복 단위들이 있다.
[0011] 본 발명의 부가적인 실시예들은 원자 층 증착 시스템들에 관한 것이다. ALD 시스템들은 프로세싱 챔버, 개시된 실시예들 중 임의의 실시예에 따른 가스 분배 플레이트, 및 기판 캐리어를 포함한다. 기판 캐리어는, 세장형 가스 주입기들의 축에 대해 수직한 축을 따라서 전진 및 후진 운동(back and forth motion)으로, 가스 분배 플레이트에 대해 왕복으로(reciprocally) 기판을 이동시킬 수 있다.
[0012] 몇몇 실시예들에서, 기판 캐리어는 기판을 회전시킨다. 하나 또는 그 초과의 실시예들에서, 회전은 연속적이다. 몇몇 실시예들에서, 회전은 불연속적인 단계들(discrete steps)이다. 몇몇 실시예들에서, 각각의 불연속적인 단계 회전은, 기판 캐리어가 가스 분배 플레이트에 인접하지 않을 때 발생한다.
[0013] 본 발명의 상기 열거된 특징들이 달성되고 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된, 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0014] 도 1은 본 발명의 하나 또는 그 초과의 실시예들에 따른 원자 층 증착 챔버의 개략적인 측면도를 도시하고;
[0015] 도 2는 본 발명의 하나 또는 그 초과의 실시예들에 따른 서셉터(susceptor)를 도시하며;
[0016] 도 3은 본 발명의 하나 또는 그 초과의 실시예들에 따른 원자 층 증착 챔버의 부분 사시도를 도시하고;
[0017] 도 4a 및 4b는 본 발명의 하나 또는 그 초과의 실시예들에 따른 가스 분배 플레이트의 도면들을 도시하며;
[0018] 도 5는 본 발명의 하나 또는 그 초과의 실시예들에 따른 가스 분배 플레이트의 개략적인 단면도를 도시하고;
[0019] 도 6은 본 발명의 하나 또는 그 초과의 실시예들에 따른 가스 분배 플레이트의 개략적인 단면도를 도시하며;
[0020] 도 7은 본 발명의 하나 또는 그 초과의 실시예들에 따른 가스 분배 플레이트의 전면의 개략도를 도시하고;
[0021] 도 8은 본 발명의 하나 또는 그 초과의 실시예들에 따른 가스 분배 플레이트의 개략적인 단면도를 도시하며;
[0022] 도 9는 본 발명의 하나 또는 그 초과의 실시예들에 따른 가스 분배 플레이트의 전면의 개략도를 도시하고;
[0023] 도 10은 본 발명의 하나 또는 그 초과의 실시예들에 따른 가스 분배 플레이트의 개략적인 단면도를 도시하며;
[0024] 도 11은 본 발명의 하나 또는 그 초과의 실시예들에 따른 가스 분배 플레이트의 전면의 개략도를 도시하고;
[0025] 도 12는 본 발명의 하나 또는 그 초과의 실시예들에 따른 가스 분배 플레이트의 전면의 개략도를 도시하며;
[0026] 도 13은 본 발명의 하나 또는 그 초과의 실시예들에 따른 가스 분배 플레이트의 전면의 개략도를 도시하고; 그리고
[0027] 도 14는 본 발명의 하나 또는 그 초과의 실시예들에 따른 클러스터 툴을 도시한다.
[0028] 본 발명의 실시예들은, 기판들의 개선된 이동을 제공하는 원자 층 증착 장치 및 방법들에 관한 것이다. 본 발명의 특정 실시예들은, 상세한 구성 및 왕복 선형 운동을 갖는 가스 분배 플레이트가 통합된 (또한 주기적 증착으로 불리는) 원자 층 증착 장치들에 관한 것이다.
[0029] 본 발명의 실시예들은 일반적으로, 공간적 원자 층 증착 장치에 관한 것이다. 특히, 본 발명의 실시예들은, 어떻게 특정 지역 내에 프로세스를 수용하고(contain), 프로세스 가스들이 프로세스 지역 밖으로 누설되어 프로세스 챔버를 오염시키는 것을 방지하는지를 설명한다. 몇몇 공간적 ALD 유형의 가스 분배 장치에서, 가스들은 프로세스 지역 밖으로 누설되어 챔버를 오염시킬 수 있다. 이는, 결과적으로, 입자들 및 부식 문제들을 생성할 수 있다. 본 발명의 실시예들은, 입자들 및 부식 문제들이 더이상 존재하지 않도록, 프로세스 가스들이 프로세스 지역 밖으로 누설되는 것을 방지한다.
[0030] 본 발명의 하나 또는 그 초과의 실시예들은 공간적 ALD 장치의 모든 엣지들에 부가적인 불활성 가스 퍼지 채널 및/또는 배기 채널을 부가한다. 몇몇 실시예들에서, 이러한 배기 채널들에서의 압력은 프로세스 가스들이 장치 지역 밖으로 누설되는 것을 방지한다. 본 발명의 실시예들은 프로세스 가스들, 임의의 부산물들, 및/또는 파편(debris)을 장치(프로세스 지역) 내에 수용하는 것을 돕는데, 이는, 전체 프로세스 챔버를 깨끗하게(clean) 유지할 수 있고, 입자 및 부식 문제들을 제거할 수 있으며, 부품들(parts)의 수명을 증가시킬 수 있어서, 이로써, 비용들을 감소시키고, 주기적인 유지보수 지속 기간을 단축할 수 있다.
[0031] 도 1은 본 발명의 하나 또는 그 초과의 실시예들에 따른 원자 층 증착 시스템(100) 또는 반응기의 개략적인 단면도이다. 시스템(100)은 로드 록 챔버(10) 및 프로세싱 챔버(20)를 포함한다. 프로세싱 챔버(20)는 일반적으로, 밀봉 가능한 엔클로저(sealable enclosure)인데, 이는 진공 하에서, 또는 적어도 저압에서 작동한다. 프로세싱 챔버(20)는 격리 밸브(15)에 의해 로드 록 챔버(10)로부터 격리된다. 격리 밸브(15)는, 폐쇄 포지션에서, 로드 록 챔버(10)로부터 프로세싱 챔버(20)를 밀봉하고, 개방 포지션에서는, 기판(60)이 로드 록 챔버(10)로부터 밸브를 통해 프로세싱 챔버(20)로 그리고 그 반대로 이송되는 것을 허용한다.
[0032] 시스템(100)은 기판(60)에 걸쳐 하나 또는 그 초과의 가스들을 분배할 수 있는 가스 분배 플레이트(30)를 포함한다. 가스 분배 플레이트(30)는 당업자에게 공지된 임의의 적합한 분배 플레이트일 수 있고, 설명되는 특정 가스 분배 플레이트가 본 발명의 범위를 제한하는 것으로 이해되어서는 안된다. 가스 분배 플레이트(30)의 출력면은 기판(60)의 제 1 표면(61)을 대면한다.
[0033] 본 발명의 실시예들에 사용하기 위한 기판들은 임의의 적합한 기판일 수 있다. 상세한 실시예들에서, 기판은, 강성이고(rigid), 불연속적이며(discrete), 일반적으로 평면인(planar) 기판이다. 본 명세서와 첨부된 청구항들에서 사용되는 바와 같이, "불연속적인"이라는 용어는, 기판을 지칭하는 경우, 기판이 고정된 치수를 갖는다는 것을 의미한다. 특정 실시예들의 기판은, 200mm 또는 300mm 직경의 실리콘 웨이퍼와 같은 반도체 웨이퍼이다.
[0034] 가스 분배 플레이트(30)는, 하나 또는 그 초과의 가스 스트림들을 기판(60)에 전송하도록 구성된 복수의 가스 포트들, 및 각각의 가스 포트 사이에 배치되고 가스 스트림들을 프로세싱 챔버(20) 밖으로 전송하도록 구성된 복수의 진공 포트들을 포함한다. 도 1의 상세한 실시예에서, 가스 분배 플레이트(30)는 제 1 전구체 주입기(120), 제 2 전구체 주입기(130), 및 퍼지 가스 주입기(140)를 포함한다. 주입기들(120, 130, 140)은 메인프레임과 같은 시스템 컴퓨터(도시되지 않음), 또는 프로그램 가능한 로직 제어기와 같은 챔버-특정의(chamber-specific) 제어기에 의해 제어될 수 있다. 전구체 주입기(120)는 화합물(A)의 반응성 전구체의 연속적인(또는 펄스식) 스트림을 복수의 가스 포트들(125)을 통해 프로세싱 챔버(20) 내로 주입하도록 구성된다. 전구체 주입기(130)는 화합물(B)의 반응성 전구체의 연속적인(또는 펄스식) 스트림을 복수의 가스 포트들(135)을 통해 프로세싱 챔버(20) 내로 주입하도록 구성된다. 퍼지 가스 주입기(140)는 비-반응성 또는 퍼지 가스의 연속적인(또는 펄스식) 스트림을 복수의 가스 포트들(145)을 통해 프로세싱 챔버(20) 내로 주입하도록 구성된다. 퍼지 가스는 프로세싱 챔버(20)로부터 반응성 재료 및 반응성 부산물들을 제거하도록 구성된다. 퍼지 가스는 전형적으로, 불활성 가스, 예컨대, 질소, 아르곤, 및 헬륨이다. 가스 포트들(145)은, 화합물(A)의 전구체를 화합물(B)의 전구체로부터 분리시키기 위해, 가스 포트들(125)과 가스 포트들(135) 사이에 배치되고, 이로써, 전구체들 간의 교차-오염(cross-contamination)을 피한다.
[0035] 다른 양태에서, 전구체들을 챔버(20) 내에 주입하기에 앞서서, 원격 플라즈마 소스(도시되지 않음)가 전구체 주입기(120) 및 전구체 주입기(130)에 연결될 수 있다. 반응성 종의 플라즈마는 원격 플라즈마 소스 내의 화합물에 전기장을 인가함으로써 생성될 수 있다. 의도된 화합물들을 활성화할 수 있는 임의의 전력 소스가 사용될 수 있다. 예를 들어, DC, 무선 주파수(RF), 및 마이크로파(MW) 기반 방전 기술들을 사용하는 전력 소스들이 사용될 수 있다. RF 전력 소스가 사용되는 경우, 소스는 용량 결합식 또는 유도 결합식일 수 있다. 활성화는 또한, 열 기반 기술, 가스 분해(gas breakdown) 기술, 고강도 광 소스(예를 들어, UV 에너지), 또는 x-레이 소스에 대한 노출에 의해 생성될 수 있다. 예시적인 원격 플라즈마 소스들은 MKS Instruments, Inc. 및 Advanced Energy Industries, Inc.와 같은 판매 회사들로부터 입수 가능하다.
[0036] 시스템(100)은 프로세싱 챔버(20)에 연결된 펌핑 시스템(150)을 더 포함한다. 펌핑 시스템(150)은 일반적으로, 가스 스트림들을 하나 또는 그 초과의 진공 포트들(155)을 통해서 프로세싱 챔버(20) 밖으로 진공배기하도록 구성된다. 진공 포트들(155)은, 가스 스트림들이 기판 표면과 반응한 이후에, 가스 스트림들을 프로세싱 챔버(20) 밖으로 진공배기하기 위해, 그리고 전구체들 간의 교차-오염을 추가적으로 제한하기 위해, 각각의 가스 포트 사이에 배치된다.
[0037] 시스템(100)은, 프로세싱 챔버(20) 상에서 각각의 포트 사이에 배치된 복수의 파티션들(160)을 포함한다. 각각의 파티션의 하부 부분은 기판(60)의 제 1 표면(61)에 근접하게, 예를 들어, 제 1 표면(61)으로부터 약 0.5mm까지 연장된다. 이러한 거리는, 가스 스트림들이 기판 표면과 반응한 이후에 가스 스트림들이 하부 부분들 주위에서 진공 포트들(155)을 향하여 유동하는 것을 허용하기에 충분한 거리만큼, 파티션들(160)의 하부 부분들이 기판 표면으로부터 분리되도록 하는 거리이어야 한다. 화살표들(198)은 가스 스트림들의 방향을 나타낸다. 파티션들(160)이 가스 스트림들에 대한 물리적 장벽으로서 작동하기 때문에, 그러한 파티션들은 또한, 전구체들 사이의 교차-오염을 제한한다. 도시된 배열은 단지 예시적인 것이고, 본 발명의 범위를 제한하는 것으로 이해되지 않아야 한다. 당업자는, 도시된 가스 분배 시스템이 단지 하나의 가능한 분배 시스템이고 그리고 다른 유형들의 샤워헤드들 및 가스 분배 시스템들이 채용될 수 있다는 것을 이해할 것이다.
[0038] 동작 중에, 기판(60)이 (예를 들어, 로봇에 의해) 로드 록 챔버(10)로 전달되고 그리고 캐리어(65) 상에 배치된다. 격리 밸브(15)가 개방된 후에, 캐리어(65)가 트랙(70)을 따라서 이동되고, 상기 트랙은 레일 또는 프레임 시스템일 수 있다. 일단 캐리어(65)가 프로세싱 챔버(20) 내로 진입(enter)하면, 격리 밸브(15)가 폐쇄되어, 프로세싱 챔버(20)를 밀봉한다. 이어서, 캐리어(65)가 프로세싱을 위해 프로세싱 챔버(20)를 통해 이동된다. 하나의 실시예에서, 캐리어(65)는 챔버를 통해 선형 경로를 따라 이동된다.
[0039] 기판(60)이 프로세싱 챔버(20)를 통해 이동함에 따라, 기판(60)의 제 1 표면(61)은 가스 포트들(125)로부터 유입되는 화합물(A)의 전구체 및 가스 포트들(135)로부터 유입되는 화합물(B)의 전구체, 그리고 그들 사이의 가스 포트들(145)로부터 유입되는 퍼지 가스에 반복적으로 노출된다. 퍼지 가스의 주입은, 제 1 표면(61)을 다음 전구체에 노출하기 전에, 이전 전구체로부터의 미반응(unreacted) 재료를 제거하도록 디자인된다. 다양한 가스 스트림들(예를 들어, 전구체들 또는 퍼지 가스)에 대한 각각의 노출 후에, 가스 스트림들은 펌핑 시스템(150)에 의해 진공 포트들(155)을 통해 배기된다. 진공 포트가 각각의 가스 포트의 양쪽 측부들 상에 배치될 수 있기 때문에, 가스 스트림들은 양쪽 측부들 상에서 진공 포트들(155)을 통해 배기된다. 그에 따라, 가스 스트림들은 각각의 가스 포트들로부터 기판(60)의 제 1 표면(61)을 향해서 수직 하향으로, 제 1 표면(61)에 걸쳐 그리고 파티션들(160)의 하부 부분들 주위로, 그리고 마지막으로 진공 포트들(155)을 향해서 위쪽으로 유동한다. 이러한 방식으로, 각각의 가스가 제 1 표면(61)에 걸쳐 균일하게 분배될 수 있다. 화살표(198)는 가스 유동의 방향을 나타낸다. 기판(60)은 또한, 다양한 가스 스트림들에 노출되는 동안 회전될 수 있다. 기판의 회전은 형성된 층들 내에 스트립들이 형성되는 것을 방지하는 데에 유용할 수 있다. 기판의 회전은 연속적이거나, 또는 불연속적인 단계들로 이루어질 수 있다.
[0040] 일반적으로, 프로세싱 챔버(20) 내에서의 마지막 가스 포트에 의한 완전한 노출을 보장하기 위해, 프로세싱 챔버(20)의 단부에 충분한 공간이 제공된다. 일단 기판(60)이 프로세싱 챔버(20)의 단부에 도달하면(즉, 제 1 표면(61)이 챔버(20)에서 모든 가스 포트에 완전히 노출되면), 기판(60)은 로드 록 챔버(10)를 향하는 방향으로 다시 복귀한다(return back). 기판(60)이 다시 로드 록 챔버(10)를 향해서 이동함에 따라, 기판 표면은, 제 1 노출과 반대되는 순서로, 화합물(A)의 전구체, 퍼지 가스, 및 화합물(B)의 전구체에 다시 노출될 수 있다.
[0041] 제 1 표면(61)이 각각의 가스에 노출되는 정도(extent)는, 예를 들어, 가스 포트로부터 나오는 각각의 가스의 유량들 및 기판(60)의 이동 레이트(rate)에 의해 결정될 수 있다. 하나의 실시예에서, 흡착된 전구체들을 제 1 표면(61)으로부터 제거하지 않도록, 각각의 가스의 유량들이 구성된다. 각각의 파티션 사이의 폭, 프로세싱 챔버(20) 상에 배치된 가스 포트들의 수, 및 기판이 전진 및 후진으로 통과하는 횟수(number of times)가 또한, 제 1 표면(61)이 다양한 가스들에 노출되는 정도를 결정할 수 있다. 결과적으로, 상기 참조된 인자들을 변화시킴으로써, 증착되는 필름의 품질 및 양이 최적화될 수 있다.
[0042] 다른 실시예에서, 시스템(100)은, 퍼지 가스 주입기(140) 없이, 전구체 주입기(120) 및 전구체 주입기(130)를 포함할 수 있다. 결과적으로, 기판(60)이 프로세싱 챔버(20)를 통해 이동함에 따라, 제 1 표면(61)은, 중간에 퍼지 가스에 대한 노출 없이, 화합물(A)의 전구체와 화합물(B)의 전구체에 교번적으로 노출될 것이다.
[0043] 도 1에 도시된 실시예는 기판 위에 가스 분배 플레이트(30)를 갖는다. 이러한 수직 방위(upright orientation)와 관련하여 실시예들을 설명하고 도시하였지만, 반전된 방위(inverted orientation)가 또한 가능하다는 것이 이해될 것이다. 그러한 상황에서는, 기판(60)의 제 1 표면(61)이 아래쪽으로 대면할 것이고, 반면에 기판을 향하는 가스 유동들은 위쪽으로 지향될 것이다.
[0044] 또 다른 실시예에서, 시스템(100)은 복수의 기판들을 프로세싱하도록 구성될 수 있다. 그러한 실시예에서, 시스템(100)은 제 2 로드 록 챔버(상기 로드 록 챔버(10)의 대향 단부에 배치됨) 및 복수의 기판들(60)을 포함할 수 있다. 기판들(60)이 로드 록 챔버(10)로 전달될 수 있고 그리고 제 2 로드 록 챔버로부터 회수될(retrieved) 수 있다.
[0045] 하나 또는 그 초과의 실시예들에서, 적어도 하나의 복사 열 램프(radiant heat lamp)들(90)이 기판의 제 2 측부를 가열하도록 위치된다. 복사 열 소스는 일반적으로 기판으로부터 가스 분배 플레이트(30)의 반대쪽 측부 상에 위치된다. 이러한 실시예들에서, 가스 쿠션 플레이트(gas cushion plate)는, 복사 열 소스로부터의 광의 적어도 일부의 투과를 허용하는 재료로 제조된다. 예를 들어, 가스 쿠션 플레이트는 석영으로 제조될 수 있으며, 이에 의해, 가시광 소스로부터의 복사 에너지가 플레이트를 통과할 수 있게 허용하고 그리고 기판의 후면(back side)과 접촉할 수 있게 허용하며 그리고 기판의 온도 증가를 유발하도록 허용할 수 있다.
[0046] 일부 실시예들에서, 캐리어(65)는 기판(60)을 운반하기 위한 서셉터(66)이다. 일반적으로, 서셉터(66)는, 기판에 걸쳐 균일한 온도를 형성하는 것을 돕는 캐리어이다. 서셉터(66)는 로드 록 챔버(10)와 프로세싱 챔버(20) 사이에서 양 방향들로(도 1의 배열에 대해서, 왼쪽으로부터 오른쪽으로 그리고 오른쪽으로부터 왼쪽으로) 이동될 수 있다. 서셉터(66)는 기판(60)을 운반하기 위한 정상부 표면(67)을 갖는다. 서셉터(66)는 가열형 서셉터일 수 있고, 그에 따라 기판(60)은 프로세싱을 위해 가열될 수 있다. 예로서, 서셉터(66)는, 서셉터(66)의 아래에 배치된, 복사 열 램프들(90), 가열 플레이트, 저항형 코일들, 또는 기타 가열 디바이스들에 의해 가열될 수 있다.
[0047] 또 다른 실시예에서, 도 2에 도시된 바와 같이, 서셉터(66)의 정상부 표면(67)은 기판(60)을 수용하도록 구성된 오목부(recess)(68)를 포함한다. 일반적으로, 서셉터(66)는 기판의 두께보다 더 두꺼우며, 그에 따라 기판의 아래에 서셉터 재료가 존재하게 된다. 상세한 실시예들에서, 기판(60)이 오목부(68) 내에 배치될 때, 기판(60)의 제 1 표면(61)이 서셉터(66)의 정상부 표면(67)과 같은 높이가 되도록, 오목부(68)가 구성된다. 다르게 설명하면, 기판(60)이 내부에 배치될 때, 기판(60)의 제 1 표면(61)이 서셉터(66)의 정상부 표면(67) 위로 돌출하지 않도록, 일부 실시예들의 오목부(68)가 구성된다.
[0048] 도 3은 본 발명의 하나 또는 그 초과의 실시예들에 따른 프로세싱 챔버(20)의 부분적인 횡단면도를 도시한다. 프로세싱 챔버(20)는 적어도 하나의 가스 주입기 유닛(31)을 갖는 가스 분배 플레이트(30)를 갖는다. 본 명세서 및 첨부된 청구항들에서 사용된 바와 같이, "가스 주입기 유닛"이라는 용어는 기판 표면 상에 불연속적인 필름을 증착할 수 있는 가스 분배 플레이트(30) 내의 가스 배출구들의 시퀀스를 설명하기 위해 사용된다. 예를 들어, 불연속적인 필름이 2개의 컴포넌트들의 조합에 의해 증착되는 경우, 하나의 가스 주입기 유닛은 적어도 그러한 2개의 컴포넌트들을 위한 배출구들을 포함할 것이다. 가스 주입기 유닛(31)은 또한, 불연속적인 필름을 증착할 수 있는 가스 배출구들 내에 그리고 그 주위에 임의의 퍼지 가스 포트들 또는 진공 포트들을 포함할 수 있다. 도 1에 도시된 가스 분배 플레이트(30)가 단일 가스 주입기 유닛(31)으로 구성되어 있으나, 하나 초과의 가스 주입기 유닛(31)이 가스 분배 플레이트(30)의 일부가 될 수 있다는 것을 이해하여야 할 것이다.
[0049] 일부 실시예들에서, 프로세싱 챔버(20)는, 기판을 세장형 가스 주입기들에 대해서 수직인 축을 따른 선형 왕복 경로를 따라서 이동시키도록 구성된 기판 캐리어(65)를 포함한다. 본 명세서 및 첨부된 청구항들에서 사용된 바와 같이, "선형 왕복 경로"라는 용어는, 기판이 전진 및 후진으로 이동될 수 있는 직선형의(straight) 또는 약간 곡선형의 경로를 지칭한다. 다르게 설명하면, 기판 캐리어는, 세장형 가스 주입기들의 축에 대해 수직으로 전진 및 후진 운동으로 가스 주입기 유닛에 대해서 왕복으로 기판을 이동시키도록 구성될 수 있다. 도 3에 도시된 바와 같이, 캐리어(65)는, 캐리어(65)를 왼쪽으로부터 오른쪽으로 그리고 오른쪽으로부터 왼쪽으로 왕복 이동시킬 수 있는, 또는 이동 중에 캐리어(65)를 지지할 수 있는 레일들(74) 상에 지지될 수 있다. 이동은 당업자에게 공지된 많은 메커니즘들에 의해 달성될 수 있다. 예를 들어, 스텝퍼 모터가 레일들 중 하나를 구동할 수 있으며, 결과적으로 그러한 레일의 구동이 캐리어(65)와 상호작용하여, 기판(60)의 왕복 운동을 초래할 수 있다. 상세한 실시예들에서, 기판 캐리어는, 기판(60)을 세장형 가스 주입기들(32) 아래에서 그리고 세장형 가스 주입기들(32)에 수직인 축을 따른 선형 왕복 경로를 따라서 이동시키도록 구성된다. 특정 실시예들에서, 전체 기판(60) 표면이, 가스 분배 플레이트(30)에 의해 점유된 영역(78)을 통과할 수 있도록, 기판(60)을 가스 분배 플레이트(30) 전방의 영역(76)으로부터 가스 분배 플레이트(30) 뒤의 영역(77)까지 이송하도록, 기판 캐리어(65)가 구성된다.
[0050] 도 4a는 본 발명의 하나 또는 그 초과의 실시예들에 따른 가스 분배 플레이트(30)의 저면 사시도를 도시한다. 도 3 및 4 모두를 참조하면, 각각의 가스 주입기 유닛(31)은 복수의 세장형 가스 주입기들(32)을 포함한다. 세장형 가스 주입기들(32)은 도 4a에 도시된 예들과 함께 임의의 적합한 형상 또는 구성이 될 수 있다. 도면 왼쪽의 세장형 가스 주입기(32)는 일련의 조밀하게 이격된 홀들이다. 이러한 홀들은 가스 분배 플레이트(30)의 면(face) 내에 형성된 트렌치(33)의 바닥부에 위치된다. 트렌치(33)가 가스 분배 플레이트(30)의 단부들까지 연장되는 것으로 도시되어 있지만, 이는 단지 설명 목적들을 위한 것이고 그리고 트렌치가 반드시 엣지까지 연장될 필요가 없다는 것이 이해될 것이다. 중간의 세장형 가스 주입기(32)는 일련의 조밀하게 이격된 직사각형 개구들이다. 이러한 주입기는 트렌치(33) 내에 위치되는 것과 반대로 가스 분배 플레이트(30)의 면 상에서 직접적으로 도시되어 있다. 상세한 실시예들의 트렌치는 약 8 mm 깊이를 가지며 약 10 mm의 폭을 갖는다. 도 4a의 오른쪽의 세장형 가스 주입기(32)는 2개의 세장형 채널들로서 도시되어 있다. 도 4b는 가스 분배 플레이트(30)의 일부의 측면도를 도시한다. 더 큰 부분 및 묘사는 도 11에 포함되어 있다. 도 4b는 단일 펌핑 플레넘(150a)의 진공 포트들(155)과의 관계를 도시한다. 펌핑 플레넘(150a)은 2개의 채널들(151a)을 통해 이러한 진공 포트들(155)에 연결된다. 이러한 채널들(151a)은 도 4a에 도시된 세장형 가스 주입기들(32)에 의해 진공 포트들(155)과 유동 소통(flow communication)한다. 특정 실시예들에서, 세장형 주입기들(32)은 직경이 약 4.5 mm인 약 28개의 홀들을 갖는다. 다양한 실시예들에서, 세장형 주입기들(32)은 약 10개 내지 약 100개 범위의 홀들, 또는 약 15개 내지 약 75개 범위의 홀들, 또는 약 20개 내지 약 50개 범위의 홀들, 또는 10개 초과의 홀들, 20개 초과의 홀들, 30개 초과의 홀들, 40개 초과의 홀들, 50개 초과의 홀들, 60개 초과의 홀들, 70개 초과의 홀들, 80개 초과의 홀들, 90개 초과의 홀들 또는 100개 초과의 홀들을 갖는다. 실시예들의 한 분류(assortment)에서, 홀들은 약 1 mm 내지 약 10 mm 범위, 또는 약 2 mm 내지 약 9 mm 범위, 또는 약 3 mm 내지 약 8 mm 범위, 또는 약 4 mm 내지 약 7 mm 범위, 또는 약 5 mm 내지 약 6 mm 범위, 또는 1 mm 초과, 2 mm 초과, 3 mm 초과, 4 mm 초과, 5 mm 초과, 6 mm 초과, 7 mm 초과, 8 mm 초과, 9 mm 초과 또는 10 mm 초과의 직경을 갖는다. 홀들은 둘 또는 그 초과의 로우(row)들로 정렬(line up)될 수 있고, 흩어질(scattered) 수 있고, 또는 균일하게 분포될 수 있고, 또는 하나의 로우로 정렬될 수 있다. 가스 공급 플레넘(120a)이 2개의 채널들(121a)에 의해 세장형 가스 주입기(32)에 연결된다. 상세한 실시예들에서, 가스 공급 플레넘(120a)은 약 14 mm 의 직경을 갖는다. 다양한 실시예들에서, 가스 공급 플레넘은 약 8 mm 내지 약 20 mm 범위, 또는 약 9 mm 내지 약 19 mm 범위, 또는 약 10 mm 내지 약 18 mm 범위, 또는 약 11 mm 내지 약 17 mm 범위, 또는 약 12 mm 내지 약 16 mm 범위, 또는 약 13 mm 내지 약 15 mm 범위, 또는 4 mm 초과, 5 mm 초과, 6 mm 초과, 7 mm 초과, 8 mm 초과, 9 mm 초과, 10 mm 초과, 11 mm 초과, 12 mm 초과, 13 mm 초과, 14 mm 초과, 15 mm 초과, 16 mm 초과, 17 mm 초과, 18 mm 초과, 19 mm 초과 또는 20 mm 초과의 직경을 갖는다. 특정 실시예들에서, (플레넘들로부터의) 이러한 채널들은 약 0.5 mm의 직경을 가지고, 그리고 2개의 로우들에는 이러한 채널들이 엇갈리거나(staggered) 균일하게 이격되어 약 121개가 존재한다. 다양한 실시예들에서, 상기 직경은 약 0.1 mm 내지 약 1 mm 범위, 또는 약 0.2 mm 내지 약 0.9 mm 범위, 또는 약 0.3 mm 내지 약 0.8 mm 범위 또는 약 0.4 mm 내지 약 0.7 mm 범위, 또는 0.2 mm 초과, 0.3 mm 초과, 0.4 mm 초과, 0.5 mm 초과, 0.6 mm 초과, 0.7 mm 초과, 0.8 mm 초과, 0.9 mm 초과 또는 1 mm 초과이다. 비록 가스 공급 플레넘(120a)이 제 1 전구체 가스와 수치적으로 연관되지만, 유사한 구성들이 제 2 반응성 가스들 및 퍼지 가스들에 대해서도 이루어질 수 있다는 것이 이해될 것이다. 동작의 임의의 특정 이론에 의해 구속되지 않으면서, 플레넘들, 채널들 및 홀들의 치수들이 채널들의 전도도(conductance) 및 균일성을 정의하는 것으로 믿어진다.
[0051] 도 5-13은 본 발명의 다양한 실시예들에 따른 가스 분배 플레이트들(30)의 부분적인 측단면도들을 도시한다. 이러한 도면들에서 사용된 문자들은 시스템에서 사용될 수 있는 상이한 가스들의 일부를 나타낸다. 참조로서, A는 제 1 반응성 가스이고, B 는 제 2 반응성 가스이며, C 는 제 3 반응성 가스이고, P 는 퍼지 가스이며, 그리고 V 는 진공이다. 본 명세서 및 첨부된 청구항들에서 사용된 바와 같이, "반응성 가스"라는 용어는 기판, 기판 표면 상의 필름 또는 부분적인 필름과 반응할 수 있는 임의의 가스를 지칭한다. 반응성 가스들의 비-제한적인 예들은 하프늄 전구체들, 물, 세륨 전구체들, 과산화물, 티타늄 전구체들, 오존, 플라즈마들, Ⅲ-Ⅴ 족 원소들을 포함한다. 퍼지 가스들은 그러한 퍼지 가스들과 접촉하는 표면 또는 종과 비-반응적인 임의의 가스이다. 퍼지 가스들의 비-제한적인 예들에는 아르곤, 질소 및 헬륨이 포함된다.
[0052] 도시된 실시예들에서, 가스 분배 플레이트(30)의 양 단부 상의 반응성 가스 주입기들은 동일하고, 그에 따라 가스 분배 플레이트(30)를 통과하는 기판이 만나게 되는(seen) 제 1 반응성 가스와 마지막 반응성 가스는 동일하다. 예를 들어, 제 1 반응성 가스가 A인 경우, 마지막 반응성 가스는 또한 A가 될 것이다. 가스 A 와 B가 전환되는(switched) 경우에는, 기판이 만나게 되는 제 1 가스 및 마지막 가스는 가스 B가 될 것이다. 이는 단지, 가스 분배의 구성 및 순서 중 하나의 가능한 예일 뿐이다. 당업자는, 사용 가능한 대안적인 구성들이 존재하고, 본 발명의 범위는 그러한 구성들에 제한되어서는 안된다는 것을 이해할 것이다.
[0053] 도 5를 참조하면, 일부 실시예들의 가스 주입기 유닛(31)은, 적어도 2개의 제 1 반응성 가스 주입기들(A), 및 상기 제 1 반응성 가스 주입기들의 가스와 상이한 가스인 적어도 하나의 제 2 반응성 가스 주입기(B)를 포함하는 복수의 세장형 가스 주입기들을 포함한다. 제 1 반응성 가스 주입기들(A)은 제 1 반응성 가스와 유체 소통하고, 그리고 제 2 반응성 가스 주입기들(B)은 상기 제 1 반응성 가스와 상이한 제 2 반응성 가스와 유체 소통한다. 적어도 2개의 제 1 반응성 가스 주입기들(A)이 적어도 하나의 제 2 반응성 가스 주입기(B)를 둘러싸고, 그에 따라 왼쪽으로부터 오른쪽으로 이동하는 기판은, 순서대로, 선두의 제 1 반응성 가스(A), 제 2 반응성 가스(B), 및 말미의 제 1 반응성 가스(A)를 만날(see) 것이고, 결과적으로 기판 상에 전체(full) 층이 형성될 것이다. 동일한 경로를 따라서 복귀하는 기판은 반대되는 순서의 반응성 가스들을 만날 것이고, 결과적으로 각각의 전체 사이클(full cycle)에 대해서 2개의 층들이 초래된다. 유용한 축약어(abbreviation)로서, 이러한 구성은 ABA 주입기 구성으로서 언급될 수 있다. 이러한 가스 주입기 유닛(31)을 가로질러 전진 및 후진으로 이동되는 기판은,
AB AAB AAB (AAB)n ... AABA
의 펄스 시퀀스를 만날 것이고, B의 균일한 필름 조성을 형성할 것이다. 시퀀스의 끝에서의 제 1 반응성 가스(A)에 대한 노출은 중요하지 않은데, 이는 후속되는 제 2 반응성 가스(B)가 없기 때문이다. 당업자는, 필름 조성이 B로서 언급되지만, 이는 실제로 반응성 가스(A)와 반응성 가스(B)의 표면 반응 생성물들 중 하나의 생성물(product)이라는 것, 그리고 단지 B를 이용한 것은 필름들을 설명함에 있어서의 편의성을 위한 것임을 이해할 것이다.
[0054] 도 6은 가스 분배 플레이트(30)의 상세한 실시예를 도시한다. 여기에서 도시된 바와 같이, 가스 분배 플레이트(30)는 하나의 가스 주입기 유닛(31)을 포함하고, 그러한 하나의 가스 주입기 유닛은 외부 퍼지 가스(P) 주입기들 및 외부 진공(V) 포트들을 포함할 수 있다. 도시된 상세한 실시예에서, 가스 분배 플레이트(30)는 펌핑 시스템(150)에 연결된 적어도 2개의 펌핑 플레넘들을 포함한다. 제 1 펌핑 플레넘(150a)은, 제 1 반응성 가스(A) 주입기들(32a, 32c)과 연관된 가스 포트들(125)에 인접하여(그 가스 포트들의 양쪽 측부 상에서) 진공 포트들(155)과 유동 소통한다. 제 1 펌핑 플레넘(150a)은 2개의 진공 채널들(151a)을 통해 진공 포트들(155)에 연결된다. 제 2 펌핑 플레넘(150b)은, 제 2 반응성 가스(B) 주입기(32b)와 연관된 가스 포트(135)에 인접하여(그 가스 포트들의 양쪽 측부 상에서) 진공 포트들(155)과 유동 소통한다. 제 2 펌핑 플레넘(150b)은 2개의 진공 채널들(152a)을 통해 진공 포트들(155)에 연결된다. 이러한 방식으로, 제 1 반응성 가스(A) 및 제 2 반응성 가스(B)가 가스 상으로 반응하는 것이 실질적으로 방지된다. 단부 진공 포트들(155)과 유동 소통하는 진공 채널들은 제 1 진공 채널(150a) 또는 제 2 진공 채널(150b) 중 어느 하나, 또는 제 3 진공 채널이 될 수 있다. 펌핑 플레넘들(150, 150a, 150b)은 임의의 적합한 치수들을 가질 수 있다. 진공 채널들(151a, 152a)은 임의의 적합한 치수일 수 있다. 특정 실시예들에서, 진공 채널들(151a, 152a)은 약 22 mm의 직경을 갖는다. 단부 진공 플레넘들(150)은 실질적으로 퍼지 가스들만을 수집한다. 부가적인 진공 라인이 챔버 내로부터 가스들을 수집한다. 이러한 4개의 배기부들(A, B, 퍼지 가스 및 챔버)은 개별적으로 또는 조합되어, 하나 또는 그 초과의 펌프들로, 또는 2개의 개별적인 펌프들과의 임의의 조합으로, 하류로(downstream) 배기될 수 있다.
[0055] 본 발명의 특정 실시예는, 가스 분배 플레이트를 내부에 갖는 프로세싱 챔버를 포함하는 원자 층 증착 시스템에 관한 것이다. 가스 분배 플레이트는 복수의 가스 주입기들을 포함하고, 상기 복수의 가스 주입기들은 본질적으로, 순서대로, 진공 포트, 퍼지 가스 주입기, 진공 포트, 제 1 반응성 가스 주입기, 진공 포트, 퍼지 포트, 진공 포트, 제 2 반응성 가스 주입기, 진공 포트, 퍼지 포트, 진공 포트, 제 1 반응성 가스 주입기, 진공 포트, 퍼지 포트, 및 진공 포트로 이루어진다.
[0056] 일부 실시예들에서, 가스 플레넘들 및 가스 주입기들은 퍼지 가스 공급부(예를 들어, 질소)와 연결될 수 있다. 이는, 플레넘들 및 가스 주입기들로부터 잔류 가스들을 퍼지할 수 있게 허용하고, 그에 따라 가스 구성이 전환될 수 있게 하여, B 가스가 A 플레넘 및 주입기들로부터 유동할 수 있게 허용하고, 그 반대가 되도록 허용한다. 추가적으로, 원치 않는 가스 누설을 제어하는데 도움을 주기 위해, 가스 분배 플레이트(30)는 측부들 또는 엣지들을 따라서 부가적인 진공 포트들을 포함할 수 있다. 주입기 하의 압력이 챔버보다 약 1torr 더 높기 때문에, 부가적인 진공 포트들은 반응성 가스들이 챔버 내로 누설되는 것을 방지하는데 도움이 될 수 있다. 일부 실시예들에서, 가스 분배 플레이트(30)는 또한 하나 또는 그 초과의 히터 또는 냉각기를 포함한다.
[0057] 도 7을 참조하면, 하나 또는 그 초과의 실시예에 따른 가스 분배 플레이트(30)가 도시된다. 가스 분배 플레이트(30)는, 전면(201), 길이(L), 및 폭(W)을 갖는 본체(200)를 포함한다. 본체(200)는 (바닥부에 도시된) 좌측부(202) 및 (정상부에 도시된) 우측부(203)를 갖는다. 좌측부 및 우측부는, 제일 왼쪽의 가스 주입기가, 기판이 만나는 제 1 가스 주입기가 되는, 왼쪽에서 오른쪽으로 이동하는 기판에 기초하여 결정된다. 가스 분배 플레이트(30)는, 전면(201)에 개구부들을 갖는 복수의 세장형 가스 포트들(125, 135, 145)을 포함한다. 개구부들은 전면(201) 및 본체(200)의 폭(W)을 따라 연장된다.
[0058] 가스 커튼 채널들은, 세장형 주입기들로부터의 가스들이 전면(201) 앞의 영역으로부터 이동하는 것을 방지하기 위해, 가스 분배 플레이트(30)의 우측부(203) 및 좌측부(202)를 따라 포지셔닝된다. 도 7에 도시된 실시예는 왼쪽 가스 커튼 채널(210) 및 오른쪽 가스 커튼 채널(211)을 포함한다. 왼쪽 가스 커튼 채널(210) 및 오른쪽 가스 커튼 채널(211) 양쪽 모두는, 각각, 본체(200)의 좌측부와 우측부에 인접하여, 본체(200)의 길이(L)를 따라서 연장된다.
[0059] 가스 커튼 채널들(210, 211)은 복수의 세장형 가스 포트들(125, 135, 145) 중 적어도 일부에 대해 경계를 이룬다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 이러한 관점에서 사용되는, "경계를 이룬다" 등의 용어는, 가스 커튼 채널이, 세장형 가스 포트들의 엣지와 가스 분배 플레이트의 엣지 사이에 경계를 형성한다는 것을 의미한다. 가스 커튼 채널들(210, 211)의 길이는 다양한 사용들을 위해 조정될 수 있다. 가스 커튼 채널들은 전체 세장형 가스 주입기들을 통해 세장형 가스 포트들 중 적어도 하나에 대해 경계를 이루기에 충분하도록 길 수 있다. 도 8은 도 7에 도시된 가스 분배 플레이트(30)의 측단면도를 도시한다. 본체(200)를 통과하는 개별 가스 주입기들(120, 130, 140)이 단면으로 도시되고, 왼쪽 가스 커튼 채널(210)은 가스 분배 플레이트(30)의 길이(L)만큼 연장된다. 도 7에 도시된 실시예에서, 왼쪽 가스 커튼 채널(210) 및 오른쪽 가스 커튼 채널(211) 양쪽 모두는, 세장형 가스 포트들(125, 135, 145)의 양쪽 측부 상의 진공 포트들(155)을 포함하여, 전체 세장형 가스 포트들(125, 135, 145)에 대해 경계를 이룬다. 몇몇 실시예들에서, 가스 커튼 채널들은 전체 미만의 세장형 가스 포트들에 대해 경계를 이룬다. 왼쪽 가스 커튼 채널(210) 및 오른쪽 가스 커튼 채널(211) 양쪽 모두는, 더 낮은 압력의 영역을 제공하는 진공 커튼 채널들로서 도시된다. 진공 커튼 채널들의 압력은 진공 포트들(155)에서의 압력과 동일할 수 있거나, 또는 그와 상이할 수 있다. 진공 커튼 채널들의 압력이 너무 낮은 경우, 세장형 가스 포트들로부터의 반응성 가스들이 우선적으로 커튼을 향해 끌어당겨진다. 진공 커튼 채널의 압력이 너무 높은 경우, 반응성 가스들은 가스 분배 플레이트(30)의 전면(201) 앞의 반응 지역을 탈출할 수 있다.
[0060] 가스 커튼 채널들은 진공 채널들 및/또는 퍼지 가스 채널들일 수 있다. 도 7 및 8에 도시된 실시예는, 가스 분배 플레이트(30)의 왼쪽과 오른쪽의 양쪽 측부들 상에서 세장형 가스 포트들에 대해 경계를 이루는 진공 가스 커튼 채널을 갖는다. 도 9 및 10에 도시된 실시예는, 가스 분배 플레이트(30)의 좌측부 및 우측부에 대해, 각각, 경계를 이루는 퍼지 가스 커튼 채널(212, 213)을 갖는다.
[0061] 도 7에 도시된 실시예는 단부 진공 포트들(155) 이외에, 분리된 진공 커튼 채널(210, 211)을 갖는다. 그러나, 이들은, 단부 진공 포트(155) 및 진공 커튼 채널들(210, 211) 양자 모두로서 역할을 하는 단일의 연속적인 진공 포트일 수 있다. 도 9에 도시된 실시예는, 전체 세장형 가스 포트들 주위로 연장되는 단일 퍼지 가스 커튼 채널을 포함하며, 단부 진공 포트들(155)이 그러한 커튼 외부에 있다. 여기서, 퍼지 가스 커튼 채널 및 퍼지 가스 포트들은 단일 유닛으로 통합되지만, 유닛의 어느 부분이 해당하느냐에 따라 상이한 기능들을 갖는다. 도 9를 살펴보면, 퍼지 가스 커튼의 좌측부 및 우측부는 퍼지 가스 포트들(145)로서 역할을 하는 반면, 바닥 측부는 왼쪽 퍼지 가스 커튼 채널(212)로서 역할을 하고 정상부는 오른쪽 퍼지 가스 커튼 채널(213)로서 역할을 할 것이다. 이러한 경우에, 채널에서의 압력은 전체 가스 분배 플레이트(30) 주위에서 대략 동일할 것이다. 퍼지 가스 포트들(145)과 퍼지 가스 커튼 채널들(212, 213)이 분리된 실시예에서, 이러한 포트들에서의 가스 압력은 상이할 수 있다. 퍼지 가스 포트들(145)과 퍼지 가스 커튼 채널들(212, 213)이 분리된 경우, 반응성 가스들이 가스 분배 플레이트(30)의 전면(201) 앞의 프로세스 영역 내에 남는 것을 보장하기 위해, 압력이 개별적으로 제어될 수 있다. 퍼지 가스 커튼 채널들(212, 213)의 퍼지 가스 압력이 너무 낮은 경우, 퍼지 가스 커튼 채널들(212, 213)은 전체 반응성 가스들을 프로세스 영역에 수용하기에 효과적이지 않을 수 있다. 그러나, 퍼지 가스 커튼 채널들(212, 213)의 퍼지 가스 압력이 너무 높은 경우, 커튼 채널들을 빠져나가는 퍼지 가스는 세장형 가스 포트들로부터의 반응성 가스들에 충격을 줄 수 있고, 전체 증착 품질에 영향을 미칠 수 있다.
[0062] 도 11은, 2개의 커튼 채널들이 있는, 본 발명의 실시예를 도시한다. 내측 커튼 채널은 퍼지 가스 커튼 채널이고 외측 커튼 채널은 진공 커튼 채널이다. 이러한 채널들 양쪽 모두는 가장 마지막의(end-most) 세장형 가스 포트들과 통합되는 것으로 도시된다. 도 12는 커튼 채널들이 세장형 가스 포트들로부터 분리되어 이러한 커튼 채널들 및 가스 포트들에서의 압력들의 독립적인 제어를 허용하는 실시예를 도시한다.
[0063] 왼쪽 가스 커튼 채널과 오른쪽 가스 커튼 채널 중 하나 또는 그 초과는 퍼지 가스 커튼 채널 및 진공 커튼 채널을 포함한다. 도 12에 도시된 경우에서, 왼쪽 가스 커튼 채널 및 오른쪽 가스 커튼 채널 양쪽 모두는 진공 커튼 채널(210, 211) 및 퍼지 가스 커튼 채널(212, 213) 양쪽 모두를 포함한다. 퍼지 가스 커튼 채널들(212, 213)은 진공 커튼 채널들(210, 211)과 복수의 세장형 가스 채널들(125, 135, 145) 사이에 있다. 도 13은 진공 커튼 채널들(210, 211)이 퍼지 가스 커튼 채널들(212, 213)과 복수의 세장형 가스 채널들(125, 135, 145) 사이에 있는 실시예를 도시한다. 특정 실시예들에서, 모든 행정(stroke) 후에, 또는 복수의 행정들 이후에, 회전 이동(rotational movement)이 또한 채용될 수 있다. 회전 이동은 불연속적인 이동들, 예를 들어, 10, 20, 30, 40, 또는 50 도의 이동들, 또는 다른 적합한 증분적인 회전 이동일 수 있다. 그러한 회전 이동은, 선형 이동(linear movement)과 함께, 기판 상에서의 더 균일한 필름 형성을 제공할 수 있다.
[0064] 상세한 실시예들에서, 기판 캐리어는, 제 1 범위(97) 외부의 기판을 로딩 위치까지 운반하도록 구성된다. 일부 실시예들에서, 기판 캐리어는, 제 2 범위(98) 외부의 기판을 언로딩 위치까지 운반하도록 구성된다. 필요한 경우에, 로딩 및 언로딩 위치들이 반대가 될 수 있다.
[0065] 본 발명의 부가적인 실시예들은 기판을 프로세싱하는 방법들에 관한 것이다. 기판의 일부가 제 1 방향으로 가스 주입기 유닛을 가로질러 통과된다. 본 명세서 및 첨부된 청구항들에서 사용된 바와 같이, "가로질러 통과된(passed across)" 이라는 용어는, 가스 분배 플레이트로부터의 가스들이 기판과 또는 기판 상의 층과 반응할 수 있도록, 기판이 가스 분배 플레이트의 위, 아래, 등으로 이동되었다는 것을 의미한다. 제 1 방향으로 기판을 이동시킴에 있어서, 기판은, 순서대로, 선두의 제 1 반응성 가스 스트림, 제 2 반응성 가스 스트림, 및 말미의 제 1 반응성 가스 스트림에 노출되어, 제 1 층을 증착한다. 이어서, 기판의 일부는 제 1 방향에 반대되는 방향으로 가스 주입기 유닛을 가로질러 통과되며, 그에 따라 기판의 일부는, 순서대로, 말미의 제 1 반응성 가스 스트림, 제 2 반응성 가스 스트림, 및 선두의 제 1 반응성 가스 스트림에 노출되어, 제 2 층을 생성한다. 단지 하나의 가스 주입기 유닛만이 존재하는 경우에는, 기판은 가스 분배 플레이트의 전체적인 관련 부분 아래를 통과할 것이다. 반응성 가스 주입기들 외부의 가스 분배 플레이트의 영역들은 관련 부분의 일부가 아니다. 하나 초과의 가스 주입기 유닛이 존재하는 실시예들에서, 기판은 가스 주입기 유닛들의 수를 기초로 기판의 길이의 일부 만큼 이동할 것이다. 그에 따라, 모든(every) n 개의 가스 주입기 유닛들에 대해, 기판은 그 기판의 전체 길이의 1/n번째 만큼(1/nth) 이동할 것이다.
[0066] 상세한 실시예들에서, 상기 방법은, 제 2 반응성 가스 스트림들과 제 1 반응성 가스 스트림들의 각각의 사이에서 기판의 일부를 퍼지 가스 스트림에 노출시키는 것을 더 포함한다. 일부 실시예들의 가스들은 연속적으로 유동한다. 일부 실시예들에서, 기판이 가스 분배 플레이트 아래에서 이동할 때 가스들은 펄스화된다.
[0067] 하나 또는 그 초과의 실시예들에 따르면, 제 1 방향으로 기판 일부를 통과시키게 되면, 기판의 일부를, 순서대로, 선두의 제 1 반응성 가스 스트림, 선두의 제 2 반응성 가스 스트림, 제 1의 중간의 제 1 반응성 가스 스트림, 제 3 반응성 가스 스트림, 제 2의 중간의 제 1 반응성 가스 스트림, 말미의 제 2 반응성 가스 스트림, 및 말미의 제 1 반응성 가스 스트림에 노출시키고, 그리고 제 2 방향으로 기판의 일부를 통과시키게 되면, 기판의 일부를 반대 순서의 가스 스트림들에 노출시킨다.
[0068] 본 발명의 부가적인 실시예들은 개시된 적어도 하나의 원자 층 증착 시스템을 포함하는 클러스터 툴들에 관한 것이다. 클러스터 툴은 중앙 부분을 가지며, 상기 중앙 부분으로부터 하나 또는 그 초과의 분지들(branches)이 연장된다. 상기 분지들이 증착, 또는 프로세싱 장치들이다. 짧은 행정 운동을 포함하는 클러스터 툴들은 통상적인 증착 챔버들을 갖는 툴들보다 상당히 더 적은 공간을 필요로 한다. 클러스터 툴의 중앙 부분은, 로드 록 챔버로부터 프로세싱 챔버 내로 그리고 프로세싱 후에 다시 로드 록 챔버로 기판들을 이동시킬 수 있는 적어도 하나의 로봇 아암을 포함할 수 있다. 도 14를 참조하면, 예시적인 클러스터 툴(300)은 중앙 이송 챔버(304)를 포함하고, 상기 중앙 이송 챔버는 일반적으로, 로드 록 챔버(320) 및 다양한 프로세스 챔버들(20) 내외로 복수의 기판들을 이송하도록 구성된 복수-기판 로봇(310)을 포함한다. 비록 클러스터 툴(300)이 3개의 프로세싱 챔버들(20)과 함께 도시되어 있지만, 당업자는, 3개 초과의 또는 3개 미만의 프로세싱 챔버들이 존재할 수 있다는 것을 이해할 것이다. 추가적으로, 프로세싱 챔버들은 상이한 유형들의 기판 프로세싱 기술들(예를 들어, ALD, CVD, PVD)을 위한 것일 수 있다.
[0069] 비록 특정 실시예들을 참조하여 본원에서 본 발명을 설명하였지만, 이러한 실시예들은 단지 본 발명의 원리들 및 어플리케이션들을 예시하는 것임이 이해되어야 한다. 본 발명의 사상 및 범위로부터 벗어나지 않으면서, 본 발명의 방법 및 장치에 대한 다양한 수정들 및 변경들이 이루어질 수 있다는 것이 당업자에게 자명할 것이다. 따라서, 본 발명은 첨부된 청구항들 및 그들의 균등물들의 범위 내에 있는 수정들 및 변경들을 포함하도록 의도된다.

Claims (19)

  1. 가스 분배 플레이트로서,
    길이, 폭, 좌측부(left side), 우측부, 및 전면(front face)을 갖는 본체;
    상기 본체의 전면에 개구부들을 갖는 복수의 세장형(elongate) 가스 포트들 ― 상기 세장형 가스 포트들은 상기 본체의 폭을 따라 연장되고, 상기 본체의 길이를 따라 이격되며, 상기 복수의 세장형 가스 포트들은 하나 또는 그 초과의 제 1 반응성 가스 포트들, 하나 또는 그 초과의 제 2 반응성 가스 포트들, 하나 또는 그 초과의 퍼지 가스 포트들 및 하나 또는 그 초과의 진공 포트들을 포함함 ―;
    상기 본체의 좌측부에 인접하여 상기 본체의 길이를 따라 연장되고, 상기 복수의 세장형 가스 포트들의 적어도 일부에 대해 경계를 이루는(bounding) 하나 또는 그 초과의 왼쪽 가스 커튼 채널들; 및
    상기 본체의 우측부에 인접하여 상기 본체의 길이를 따라 연장되고, 상기 복수의 세장형 가스 포트들의 적어도 일부에 대해 경계를 이루는 하나 또는 그 초과의 오른쪽 가스 커튼 채널들;을 포함하고,
    상기 하나 또는 그 초과의 왼쪽 가스 커튼 채널들 및 하나 또는 그 초과의 오른쪽 가스 커튼 채널들은 각각 퍼지 가스 커튼 채널을 포함하고,
    상기 퍼지 가스 커튼 채널들 및 상기 하나 또는 그 초과의 퍼지 가스 포트들이 분리되어서, 상기 하나 또는 그 초과의 제 1 반응성 가스 포트들로부터의 제 1 반응성 가스 및 상기 하나 또는 그 초과의 제 2 반응성 가스 포트들로부터의 제 2 반응성 가스가 상기 가스 분배 플레이트의 프로세스 영역 내에 개별적으로 유지되도록, 상기 퍼지 가스 커튼 채널들 및 상기 하나 또는 그 초과의 퍼지 가스 포트들의 압력들이 개별적으로 제어되는,
    가스 분배 플레이트.
  2. 제 1 항에 있어서,
    상기 하나 또는 그 초과의 왼쪽 가스 커튼 채널들과 상기 하나 또는 그 초과의 오른쪽 가스 커튼 채널들 중 하나 또는 그 초과는 상기 세장형 가스 포트들 전체에 대해 경계를 이루는,
    가스 분배 플레이트.
  3. 제 1 항에 있어서,
    상기 하나 또는 그 초과의 왼쪽 가스 커튼 채널들과 상기 하나 또는 그 초과의 오른쪽 가스 커튼 채널들 중 하나 또는 그 초과는 상기 세장형 가스 포트들 전체 미만의 세장형 가스 포트들에 대해 경계를 이루는,
    가스 분배 플레이트.
  4. 제 1 항에 있어서,
    상기 하나 또는 그 초과의 왼쪽 가스 커튼 채널들과 상기 하나 또는 그 초과의 오른쪽 가스 커튼 채널들 중 하나 또는 그 초과는 진공 커튼 채널을 포함하는,
    가스 분배 플레이트.
  5. 제 4 항에 있어서,
    상기 퍼지 가스 커튼 채널은 상기 진공 커튼 채널과 상기 복수의 세장형 가스 포트들 사이에 있는,
    가스 분배 플레이트.
  6. 제 4 항에 있어서,
    상기 진공 커튼 채널은 상기 퍼지 가스 커튼 채널과 상기 복수의 세장형 가스 포트들 사이에 있는,
    가스 분배 플레이트.
  7. 제 1 항에 있어서,
    상기 하나 또는 그 초과의 제 1 반응성 가스 포트들은 제 1 반응성 가스와 유체 소통(fluid communication)하고, 상기 하나 또는 그 초과의 제 2 반응성 가스 포트들은 상기 제 1 반응성 가스와 상이한 제 2 반응성 가스와 유체 소통하는,
    가스 분배 플레이트.
  8. 제 7 항에 있어서,
    상기 복수의 세장형 가스 포트들은 본질적으로, 순서대로, 선두의(leading) 제 1 반응성 가스 포트, 제 2 반응성 가스 포트, 및 말미의(trailing) 제 1 반응성 가스 포트로 구성되는,
    가스 분배 플레이트.
  9. 제 8 항에 있어서,
    상기 복수의 세장형 가스 포트들은, 상기 선두의 제 1 반응성 가스 포트와 상기 제 2 반응성 가스 포트 사이의 퍼지 가스 포트, 및 상기 제 2 반응성 가스 포트와 상기 말미의 제 1 반응성 가스 포트 사이의 퍼지 가스 포트를 더 포함하고,
    각각의 퍼지 가스 포트는 진공 포트에 의해 반응성 가스 포트들로부터 분리되는,
    가스 분배 플레이트.
  10. 제 9 항에 있어서,
    상기 세장형 가스 포트들은, 상기 선두의 제 1 반응성 가스 포트 이전에 그리고 상기 말미의 제 1 반응성 가스 포트 이후에, 순서대로, 진공 포트, 퍼지 가스 포트, 및 다른 진공 포트를 포함하는,
    가스 분배 플레이트.
  11. 제 1 항에 있어서,
    상기 복수의 세장형 가스 포트들은 적어도 하나의 반복 단위(repeating unit)의 제 1 반응성 가스 포트 및 제 2 반응성 가스 포트를 포함하는,
    가스 분배 플레이트.
  12. 제 11 항에 있어서,
    2 내지 24의 범위의 반복 단위들이 존재하는,
    가스 분배 플레이트.
  13. 원자 층 증착 시스템으로서,
    프로세싱 챔버;
    제 1 항의 가스 분배 플레이트; 및
    세장형 가스 주입기들의 축에 대해 수직한 축을 따라서 전진 및 후진 운동으로, 상기 가스 분배 플레이트에 대해 왕복으로(reciprocally) 기판을 이동시키기 위한 기판 캐리어를 포함하는,
    원자 층 증착 시스템.
  14. 제 13 항에 있어서,
    상기 기판 캐리어는 상기 기판을 회전시키는,
    원자 층 증착 시스템.
  15. 제 14 항에 있어서,
    상기 회전은 연속적인,
    원자 층 증착 시스템.
  16. 제 14 항에 있어서,
    상기 회전은 불연속적인 단계들(discrete steps)인,
    원자 층 증착 시스템.
  17. 제 16 항에 있어서,
    각각의 불연속적인 단계 회전은, 상기 기판 캐리어가 상기 가스 분배 플레이트에 인접하지 않을 때 발생하는,
    원자 층 증착 시스템.
  18. 삭제
  19. 삭제
KR1020217023600A 2013-02-18 2014-02-18 공간적으로 분리된 원자 층 증착을 위한 장치 및 프로세스 격납 KR102403666B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361765899P 2013-02-18 2013-02-18
US61/765,899 2013-02-18
KR1020157024403A KR20150119005A (ko) 2013-02-18 2014-02-18 공간적으로 분리된 원자 층 증착을 위한 장치 및 프로세스 격납
PCT/US2014/016924 WO2014127363A1 (en) 2013-02-18 2014-02-18 Apparatus and process containment for spatially separated atomic layer deposition

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020157024403A Division KR20150119005A (ko) 2013-02-18 2014-02-18 공간적으로 분리된 원자 층 증착을 위한 장치 및 프로세스 격납

Publications (2)

Publication Number Publication Date
KR20210095963A KR20210095963A (ko) 2021-08-03
KR102403666B1 true KR102403666B1 (ko) 2022-05-30

Family

ID=51354622

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020157024403A KR20150119005A (ko) 2013-02-18 2014-02-18 공간적으로 분리된 원자 층 증착을 위한 장치 및 프로세스 격납
KR1020217023600A KR102403666B1 (ko) 2013-02-18 2014-02-18 공간적으로 분리된 원자 층 증착을 위한 장치 및 프로세스 격납

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020157024403A KR20150119005A (ko) 2013-02-18 2014-02-18 공간적으로 분리된 원자 층 증착을 위한 장치 및 프로세스 격납

Country Status (6)

Country Link
US (1) US20150368798A1 (ko)
JP (1) JP6359567B2 (ko)
KR (2) KR20150119005A (ko)
CN (1) CN105026614A (ko)
TW (1) TWI624560B (ko)
WO (1) WO2014127363A1 (ko)

Families Citing this family (232)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9598769B2 (en) 2013-07-24 2017-03-21 Uchicago Argonne, Llc Method and system for continuous atomic layer deposition
JP6320824B2 (ja) * 2014-03-31 2018-05-09 株式会社東芝 ガス供給管、およびガス処理装置
US11220737B2 (en) 2014-06-25 2022-01-11 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US11267012B2 (en) * 2014-06-25 2022-03-08 Universal Display Corporation Spatial control of vapor condensation using convection
EP2960059B1 (en) 2014-06-25 2018-10-24 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US9617638B2 (en) 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
KR102638572B1 (ko) * 2015-06-17 2024-02-21 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버 내의 가스 제어
TWI723997B (zh) 2015-06-19 2021-04-11 美商應用材料股份有限公司 用於批次處理之注射器及使用方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10204790B2 (en) 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US9508547B1 (en) * 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
KR102420015B1 (ko) * 2015-08-28 2022-07-12 삼성전자주식회사 Cs-ald 장치의 샤워헤드
US10566534B2 (en) 2015-10-12 2020-02-18 Universal Display Corporation Apparatus and method to deliver organic material via organic vapor-jet printing (OVJP)
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
WO2017103333A1 (en) * 2015-12-17 2017-06-22 Beneq Oy A coating precursor nozzle and a nozzle head
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11015246B2 (en) * 2016-04-24 2021-05-25 Applied Materials, Inc. Apparatus and methods for depositing ALD films with enhanced chemical exchange
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US9738977B1 (en) 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR101861008B1 (ko) * 2016-08-26 2018-05-25 한양대학교 산학협력단 원자층 증착 장비 및 그를 이용한 원자층 증착 방법
JP6495875B2 (ja) * 2016-09-12 2019-04-03 株式会社東芝 流路構造及び処理装置
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR101887193B1 (ko) * 2016-11-01 2018-09-06 주식회사 엔씨디 롤투롤 원자층 증착장치
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CN107419239A (zh) 2017-07-28 2017-12-01 京东方科技集团股份有限公司 用于镀膜的喷头、设备和相应方法
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR102093968B1 (ko) * 2017-08-18 2020-03-26 주식회사 엘지화학 적층 필름
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102230936B1 (ko) * 2017-09-12 2021-03-23 주식회사 엘지화학 원자층 증착 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102435693B1 (ko) * 2017-11-28 2022-08-23 주식회사 엘지에너지솔루션 원자층 증착장치 및 이를 이용한 원자층 증착방법
US11827976B2 (en) * 2017-12-20 2023-11-28 Lam Research Corporation Systems and methods for homogenous intermixing of precursors in alloy atomic layer deposition
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US20190386257A1 (en) * 2018-06-18 2019-12-19 Universal Display Corporation Depositor and print head for depositing a non-emissive layer of graded thickness
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
JP7296699B2 (ja) * 2018-07-02 2023-06-23 東京エレクトロン株式会社 ガス供給システム、プラズマ処理装置およびガス供給システムの制御方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
CN113196455B (zh) * 2018-11-30 2023-06-13 株式会社明电舍 氧化膜形成装置
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20210127768A (ko) * 2019-03-11 2021-10-22 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버들을 위한 덮개 조립체 장치 및 방법들
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
TW202045753A (zh) * 2019-06-04 2020-12-16 金碳洁股份有限公司 循環式磊晶沉積系統
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7149431B2 (ja) * 2019-09-24 2022-10-06 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7098677B2 (ja) * 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
KR102621695B1 (ko) * 2021-05-21 2024-01-08 주식회사 인피니티테크놀로지 진공커튼 및 그의 시스템
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012118946A2 (en) * 2011-03-01 2012-09-07 Applied Materials, Inc. Apparatus and process for atomic layer deposition

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6203619B1 (en) * 1998-10-26 2001-03-20 Symetrix Corporation Multiple station apparatus for liquid source fabrication of thin films
JP2002151494A (ja) * 2000-11-14 2002-05-24 Sekisui Chem Co Ltd 常圧プラズマ処理方法及びその装置
US7378127B2 (en) * 2001-03-13 2008-05-27 Micron Technology, Inc. Chemical vapor deposition methods
KR20030038396A (ko) * 2001-11-01 2003-05-16 에이에스엠엘 유에스, 인코포레이티드 우선적인 화학 기상 증착 장치 및 방법
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
JP2004355921A (ja) * 2003-05-28 2004-12-16 Sekisui Chem Co Ltd 常圧プラズマ処理装置
KR20070098104A (ko) * 2006-03-31 2007-10-05 삼성전자주식회사 가스커튼을 구비한 박막증착장치
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
JP5303984B2 (ja) * 2008-03-26 2013-10-02 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5812606B2 (ja) * 2010-02-26 2015-11-17 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
EP2481830A1 (en) * 2011-01-31 2012-08-01 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus for atomic layer deposition.
US20120222620A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012118946A2 (en) * 2011-03-01 2012-09-07 Applied Materials, Inc. Apparatus and process for atomic layer deposition

Also Published As

Publication number Publication date
TW201437426A (zh) 2014-10-01
KR20210095963A (ko) 2021-08-03
TWI624560B (zh) 2018-05-21
KR20150119005A (ko) 2015-10-23
US20150368798A1 (en) 2015-12-24
JP6359567B2 (ja) 2018-07-18
CN105026614A (zh) 2015-11-04
JP2016511797A (ja) 2016-04-21
WO2014127363A1 (en) 2014-08-21

Similar Documents

Publication Publication Date Title
KR102403666B1 (ko) 공간적으로 분리된 원자 층 증착을 위한 장치 및 프로세스 격납
US20120225191A1 (en) Apparatus and Process for Atomic Layer Deposition
US11821083B2 (en) Gas separation control in spatial atomic layer deposition
KR102257183B1 (ko) 다중-성분 필름 증착
KR102197576B1 (ko) 재순환을 이용하는 공간적인 원자 층 증착을 위한 장치 및 사용 방법들
KR102271731B1 (ko) 배치 프로세싱을 위한 경사진 플레이트 및 사용 방법들
US20120225204A1 (en) Apparatus and Process for Atomic Layer Deposition
US20120225219A1 (en) Apparatus And Process For Atomic Layer Deposition
US20120225206A1 (en) Apparatus and Process for Atomic Layer Deposition
WO2008016836A2 (en) Radical-enhanced atomic layer deposition system and method
US20160024653A1 (en) Plasma Source For Rotating Platen ALD Chambers
KR101076172B1 (ko) 기상 증착 반응기

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant