KR100943695B1 - 원자층 증착 반응기 - Google Patents

원자층 증착 반응기 Download PDF

Info

Publication number
KR100943695B1
KR100943695B1 KR1020047002218A KR20047002218A KR100943695B1 KR 100943695 B1 KR100943695 B1 KR 100943695B1 KR 1020047002218 A KR1020047002218 A KR 1020047002218A KR 20047002218 A KR20047002218 A KR 20047002218A KR 100943695 B1 KR100943695 B1 KR 100943695B1
Authority
KR
South Korea
Prior art keywords
precursor
substrate
plasma
reaction chamber
reaction
Prior art date
Application number
KR1020047002218A
Other languages
English (en)
Other versions
KR20040063893A (ko
Inventor
올리 킬페라
빌레 사니라
웨이민 리
카이에릭 엘러스
주하나 코스타모
이보 라이즈마커스
언스트 그라네만
Original Assignee
에이에스엠 인터내셔널 엔.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 인터내셔널 엔.브이. filed Critical 에이에스엠 인터내셔널 엔.브이.
Publication of KR20040063893A publication Critical patent/KR20040063893A/ko
Application granted granted Critical
Publication of KR100943695B1 publication Critical patent/KR100943695B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판(16)이 기상 반응물의 표면반응을 교대로 반복하여 겪게 함으로써 기판(16)상에 박막을 형성할 수 있는 여러가지 반응기가 개시되어 있다. 일 실시예에서, 반응기(12)는 반응 챔버(14)를 포함한다. 샤워헤드 플레이트(67)는 반응 챔버(14)를 상부와 하부로 나눈다. 제1전구체는 반응 챔버(14)의 하부부분을 향하고 제2전구체는 반응 챔버(14)의 상부부분을 향하게 된다. 기판(16)은 반응 챔버(14)의 하부부분에 위치한다. 샤워헤드 플레이트(67)는 상부부분이 반응 챔버의 하부부분과 연통되도록 복수의 통로(72)를 포함한다. 다른 실시예에서, 반응 챔버(14)는 셔터 플레이트(120)를 포함한다. 다른 예에서, 샤워헤드 플레이트(67)는 반응 챔버(14)를 통과하는 가스 흐름의 국부적인 패턴을 변형하도록 형성되어 있다.

Description

원자층 증착 반응기{Atomic Layer Deposition Reactor}
본 발명은 기판의 표면 위에 박막을 성장시키는 장치에 관한 것이다. 구체적으로는, 본 발명은 상기 기판에 교대로 반복되는(alternately and repeated) 기상 반응물의 표면반응을 행하여 상기 기판의 표면 위에 박막을 성장시키는 장치에 관한 것이다.
기판의 표면 위에 박막을 성장시키는 방법은 여러가지가 있다. 이러한 방법은 진공증발증착, 분자빔에피탁시(molecular beam epitaxy, MBE), 화학기상증착의 여러 변형(저압 화학기상증착과 유기금속 화학기상증착, 플라즈마-강화 화학기상증착을 포함한다), 원자층 에피탁시(ALE)가 있다. 이들 방법은 반도체공정응용과 전자발광표시응용을 위해 많이 연구되었으며, 최근에는 다양한 물질의 증착을 위한 원자층 증착(ALD)라고 불리우고 있다.
ALD는 반응 챔버내에 위치하는 기판에 전구체 종(species)(예를 들어 제1전구체와 제2전구체)을 연속적으로 도입하는 것에 기초하는 증착방법이다. 성장 메카니즘은 제1전구체가 기판의 활성점(active site)에 흡착하는데 의지한다. 공정이 자기-종료 또는 포화되도록 단일층만이 형성되는 것이 조건이다. 예를 들어, 제1전구체는, 흡착종에 남아서 더 이상의 흡착을 방지하는 리간드를 포함할 수 있다. 이에 따라, 온도는 전구체 응축온도보다 높으며 전구체의 열적 분해 온도보다 낮게 유지되어야 한다. 흡착의 초기단계 후에는 통상 제1퍼지 단계가 이어지는데, 제1퍼지단계에서는 과잉의 제1전구체와 가능한 반응 부산물이 반응 챔버로부터 제거된다. 이 후에 제2전구체가 반응 챔버내로 도입된다. 제1전구체와 제2전구체는 통상 서로 반응한다. 이렇게 제1전구체의 흡착된 단일층은 도입된 제2전구체와 즉시 반응하여 원하는 박막을 만든다. 반응은 흡착된 제1전구체가 소비되면 종료된다. 과잉의 제2전구체와 가능한 반응 부산물은 제2퍼지 단계에서 제거된다. 이 사이클은 필름의 두께가 원하는 만큼 될 때까지 반복될 수 있다. 사이클은 또한 더 복잡할 수 있다. 예를 들어, 사이클은 퍼지 그리고/또는 진공 단계로 분리된 3개 이상의 반응물 펄스를 포함할 수 있다.
ALD는 핀란드 특허 공개 제52,359호와 제57,975호 그리고 미국 특허 제4,058,430호와 제4,389,973호에 개시되어 있다. 이러한 방법을 수행하기에 적합한 장치는 미국 특허 제 5,855,680, 핀란드 특허 제 100,409호, 머티리얼 사이언스 리포트 4(7) (1989), 페이지 261, 그리고 티히지오테크니카(진공 기술에 대한 핀란드 간행물), ISBN 951-794-422-5, 페이지 253-261에 개시되어 있으며 여기에 참조로서 결합된다.
이상적으로는, ALD에 있어서, 반응기 챔버 설계는 기판상에서 성장하는 필름의 조성, 균일도, 물성에 어떠한 역할도 해서는 안되는데, 이는 반응이 표면 특정(surface specific)하기 때문이다. 그러나, 극히 일부의 전구체만이 이러한 이상적 거동 또는 이상적에 근접한 거동을 나타내고 있다. 이상적인 성장 모드를 방해하는 요소로는 : 시간에 의존하는 흡착-탈착 현상 ; 주된 반응의 부산물로 인한 주된 반응의 저지(예를 들어 부산물이 흐름의 방향으로 이동함에 따라, 다운스트림에서는 성장속도가 저하되고, 이에 의해 불균일성이 발생한다, 예를 들어 TiCl4 + NH3 -> TiN 공정); 반응기 챔버의 업스트림부분에서 제2전구체가 모두 소비(즉, 파괴)되는 것(예를 들어 뜨거운 영역에서 오존의 분해); 반응 챔버내에서의 불균일한 흐름 조건에 의한 제1전구체의 불균일한 흡착/탈착 등이 있다.
플라즈마 ALD는 전도필름, 반도체필름, 절연필름을 증착하는데 상당히 흥미있는 방법이다. 이 방법에서는 ALD 반응은 라디칼의 생성으로 인해 촉진된다. 몇몇 종래 기술에서는, 직접 용량 플라즈마는 기판의 상부에서 점화된다.(즉, 인-시츄 라디칼 생성). 그러나, 이 방법은 플라즈마에 의한 스퍼터링이 될 수 있는데, 이 스퍼터링은 반응 챔버의 각 부분에서 스퍼터링된 물질이 기판과 접촉하게 되어 막(film)을 오염시킬 수 있다. 또한 다른 불리한 점은, 전도물질을 증착할 때, 챔버내에서 아킹이 발생할 수 있는데, 이는 RF를 그라운드로부터 절연시키 위해 사용하는 절연체도 증착되는 전도 물질에 의해 코팅될 수 있기 때문이다.
다른 종래의 플라즈마 ALD방법은 원격으로 마이크로파 방전을 점화하여 플라즈마를 생성하는 방법과 관련된다. 이 방법은 기판과 라디칼 소스사이에 큰 거리를 필요로 하는 단점이 있는데, 큰 거리로 인해 라디칼이 기판에 도달하기 전에 재결합할 수 있다. 추가적으로, 이 방법에서는 라디칼의 분포가 통상 불균일하고, 반응기 내의 가스 흐름 패턴이 불명확하다.
따라서 위에서 언급한 문제중 적어도 일부를 해결한 개선된 ALD 장치 그리고/또는 방법이 요구되어 왔다.
따라서, 본 발명의 한 관점은 기판에 교대로 반복되는 기상 반응물의 표면반응이 행하여지는 반응기를 제공하는 것이다. 반응기는 반응 챔버를 포함하며, 반응 챔버에는 반응 공간이 형성되어 있다. 샤워헤드 플레이트는 반응 공간내에 위치하며, 반응 공간을 제1부분과 제2부분으로 나눈다. 샤워헤드 플레이트는 반응 챔버의 제2부분에서 제1부분으로 연장되는, 적어도 부분적인(at least in part), 복수의 통로가 형성되어 있다. 반응기는 또한 반응 공간의 제1부분과 연통하는 제1전구체 소스와, 반응 공간의 제2부분과 연통하는 제2전구체 소스를 더 포함한다. 기판은 반응 공간의 제1부분내에 위치한다.
어떤 실시예에서는, 샤워헤드 플레이트는 일체로 형성된 단일의 플레이트이다. 다른 실시예에서는, 반응 챔버는 기계적 힘에 의하여 서로 고정된 제1섹션과 제2섹션으로 이루어지며, 샤워헤드 플레이트는 기계적 힘에 의하여 반응 챔버의 제1섹션과 제2섹션 사이에 지지되어 있다. 또 다른 실시예에서는, 샤워헤드 플레이트는 기판 상의 반응이 수평방향이 되도록 조정되어 있다. 또 다른 실시예에서는, 샤워헤드 플레이트는 두께가 변할 수 있다. 또 다른 실시예에서는, 제2플레이트에 대하여 움직일 수 있도록 형성된 셔터 플레이트를 포함하는데, 여기서, 각 플레이트의 개구부사이의 겹침은 기판 전체에 걸쳐 가스 흐름을 조절하도록 변화할 수 있다.
본 발명의 다른 관점은 기판에 교대로 반복되는 기상 반응물의 표면반응이 행하여지는 반응기를 제공하는 것이다. 반응기는 반응 챔버를 포함하며, 반응 챔버에는 반응 공간이 형성되어 있다. 반응기는 반응 공간과 연통하는 제1전구체 소스를 더 포함한다. 기판은 반응 공간 내에 위치한다. 반응기는 유도결합 플라즈마 생성 전원 장치를 더 포함하는데, 이는 반응 챔버 내에 위치하며 기판 바로 상부에 플라즈마를 직접 생성하도록 형성되어 있다.
본 발명의 또 다른 관점은 기판에 교대로 반복되는 기상 반응물의 표면반응이 행하여지는 반응기를 제공하는 것이다. 반응기는 반응 챔버를 포함하며, 반응 챔버에는 반응 공간이 형성되어 있다. 기판은 반응 챔버 내에 위치한다. 플라즈마 생성 장치는 상부표면과 하부표면을 가진다. 플라즈마 생성 장치는 반응 챔버 내에 위치하여, 플라즈마는 플라즈마 생성 장치의 상부표면과 반응 챔버의 상부벽 사이에서 생성된다. 제1전구체 소스는 입구을 통하여 반응 공간과 연통하여 있다. 흐름 안내부는, 플라즈마 생성 장치의 상부에 있는 제1전구체를 플라즈마 발생 장치의 측면을 따라, 플라즈마 생성 장치의 하부표면과 기판 사이의 공간으로 가게 하도록 형성되어 있다. 제1전구체는 실질적으로 제1방향으로 흐르는데, 제1방향은 기판에 실질적으로 평행하다.
본 발명의 한 관점은 기판에 교대로 반복되는 기상 반응물의 표면반응이 행하여지는 반응기를 제공하는 것이다. 반응기는 반응 챔버를 포함하고, 반응 챔버에는 반응 공간이 형성되어 있는데, 반응 공간은 기계적 힘으로 상호 고정되어 있는 제1섹션과 제2섹션을 포함한다. 샤워헤드 플레이트는 반응 공간을 제2부분과 반응 공간 내에 위치하며 기판이 위치하는 제1부분으로 나눈다. 샤워헤드 플레이트는 반응 챔버의 제2부분에서 제1부분으로 연장되는 적어도 부분적인 다수의 통로를 규정한다. 샤워헤드 플레이트는 반응 챔버의 제1섹션과 제2섹션의 적어도 일부에 형성된 홈통 사이에 지지되어 있다. 제1전구체 소스는 반응 공간의 제1부분과 연통하여 있다. 제2전구체는 반응 공간의 제2부분과 연통하여 있다.
본 발명의 또 다른 면은 복수의 사이클을 포함하는 원자층 증착 방법을 제공하는 것이다. 각 사이클은 기판이 위치하는 반응 공간에 제1전구체를 공급하는 단계, 반응 공간으로부터 제1전구체를 제거하는 단계, 제2전구체를 공급하는 단계, 실질적으로 손상받지 않고(intact) 기판 상부로 연장된 샤워헤드 플레이트를 통하여 제2전구체를 보내는 단계, 흡착종과 제2전구체와의 반응하는 단계, 그리고, 반응 공간으로부터 제2전구체 제거하는 단계를 포함한다.
본발명의 다른 관점, 특징, 이점은 다음의 바람직한 실시예로부터 분명해질 것이다.
본발명의 위에서 설명한 특징과 또 다른 특징이, 기판이 기상 반응물의 표면반응을 교대로 반복하여 겪게 함으로써 기판의 표면 상에 박막을 만드는 반응기의 바람직한 실시예의 도면을 참조로 설명될 것이다. 도면으로 나타낸 실시예는 설명하기 위한 것이며, 본발명을 한정하지 않는다.
도 1은 종래의 ALD 반응기의 일 예에 대한 측단면도이고,
도 2는 본 발명에 따른 ALD 반응기의 일 실시예에 대한 측단면도이고,
도 3a는 본 발명에 따른 샤워헤드 플레이트의 일 실시예에 대한 측단면도이고,
도 3b는 본 발명에 따른 플레이트의 다른 실시예에 대한 측단면도이고,
도 4a와 4b는 본 발명에 다른 ALD 반응기의 다른 실시예에 대한 측단면도인데, 도 4a에서는 셔터 플레이트가 개방되어 있으며 도 4b에서는 셔터 플레이트가 폐쇄되어 있으며,
도 5a는 본 발명에 따른 샤워헤드의 일 실시예에 대한 평면도이고,
도 5b는 본 발명에 따른 셔터 플레이트의 일 실시예에 대한 평면도이고,
도 6a 내지 6f는 도 5a와 도 5b의 샤워헤드 플레이트와 셔터 플레이트의 다양한 위치에 대한 평면도이고,
도 7a는 본 발명에 따른 ALD 반응기의 다른 실시예에 대한 측단면도이고,
도 7b는 본 발명에 따른 ALD 반응기의 또 다른 실시예에 대한 측단면도이고,
도 7c는 본 발명에 따른 ALD 반응기의 또한 다른 실시예에 대한 측단면도이고,
도 8은 본 발명에 따른 플라즈마 강화 ALD 반응기에 대한 측단면도이고,
도 9는 본 발명에 따른 변형된 플라즈마 강화 ALD 반응기에 대한 측단면도이고,
도 10은 본 발명에 따른 다른 변형된 플라즈마 강화 ALD 반응기에 대한 측단면도이고,
도 11은 본발명에 따른 또 다른 플라즈마 강화 ALD 반응기에 대한 측단면도 이다.
도 1은 종래의 ALD 반응기(10)의 일 예를 나타낸 것이다. 반응기(10)는 반응 공간(14)을 적어도 부분적으로 한정하는 반응 챔버(12)를 포함한다. 웨이퍼 또는 기판(16)은 반응 챔버(14)내에 위치하고 받침대(18)에 의하여 지지되고 있다. 받침대(18)는 웨이퍼(16)를 반응 챔버(12) 안으로 또는 밖으로 운반하도록 형성되어 있다. 다른 예에서는, 반응기는 입구/출구 포트와 로보트 팔을 가진 외부의 로보트를 포함할 수 있다. 로보트 팔은 (ⅰ) 기판을 입구/출구 포트를 통해 반응기 내로 운반하고, (ⅱ) 기판을 받침대 위에 위치시키며, (ⅲ) 기판은 받침대로부터 들어올리고 그리고/또는 (ⅳ) 기판을 입구/출구 포트를 통해 반응기로부터 제거하도록 형성되어 있다.
도시된 반응기(10)에는, 두개의 전구체, A와 B가, 반응 공간(14)으로 공급된다. 제1전구체 A는 제1공급도관(20)을 통하여 반응 챔버(14)로 공급된다. 비슷하게, 제2전구체 B는 제2공급도관(22)을 통하여 반응 챔버(14)으로 공급된다. 제1공급도관(20)은 제1전구체 공급소스(도시하지 않음)와 퍼지가스 공급소스(도시하지 않음)와 연통한다. 마찬가지로, 제2공급도관(22)은 제2전구체 공급소스(도시하지 않음)와 퍼지가스 공급소스(도시하지 않음)와 통한다. 퍼지가스는 비활성가스인 것이 바람직하고, 두 가지 예로는, 질소 또는 아르곤이 있다. 퍼지가스는 바람직하게는 공급 소스로부터 반응 챔버(12)로 제1전구체 그리고/또는 제2전구체를 운송하는데도 사용된다. 퍼지 가스는 제1전구체 또는 제2전구체가 공급되지 않을 때, 반응 챔버 그리고/또는 공급도관(20,22)을 퍼지하는데도 사용되는데, 이는 뒤에서 자세히 설명된다. 변형된 예에서, 반응기는 퍼지가스를 반응 챔버(12)에 공급하기 위해 독립되고 분리된 퍼지가스 공급도관을 포함할 수 있다. 배출 통로(23)는 반응 공간(14)으로부터 가스를 제거하기 위하여 제공된다.
분할 플레이트(24)는 통상 반응 챔버(12)내에 위치한다. 분할 플레이트(24)는 제1측면(26)과 제2측면(28)을 가진다. 분할 플레이트(24)는 일반적으로 제1공급도관(20)과 제2공급도관(22)의 출구사이에 위치한다. 즉, 제1측면(26)은 일반적으로 제1전구체 공급도관(20)의 출구에 노출되어 있으며, 제2측면(28)은 일반적으로 제2전구체 공급도관(22)의 출구에 노출되어 있다. 분할 플레이트(24)는 공급도관(20,22)의 표면에서의 반응에 제1전구체와 제2전구체가 고갈되지 않도록 하면서, 반응 챔버(12)내에 제1전구체와 제2전구체가 균일하게 공급되도록 한다. 즉, 분할 플레이트(24)는 반응 공간(14)이 제1전구체와 제2전구체에 교대로 노출되는 유일한 공통공간이 되도록 만들어 주는데, 이로써 제1전구체와 제2전구체는 기판(16)상에서만 반응한다. 제1전구체와 제2전구체가 제1공급도관과 제2공급도관의 벽에 흡착될수 있기 때문에, 제1공급도관과 제2공급도관을 반응 공간의 업스트림에서 하나의 공급도관으로 합치는 것은 공급도관의 벽에 반응과 증착이 계속적으로 일어나게 되어 바람직하지 않다.
도시한 반응기(10)는 여러가지 IC 웨이퍼 공정 적용에 사용될 수 있다. 이러한 적용은 : 백-엔드 공정을 위한 배리어와 금속 ; 게이트, 스택, 캐패시터, 산소박막층, 중간박막층의 각각에 사용되는 고유전물질과 저유전물질을 포함하나 이에 한정되지는 않는다.
반응기(10)의 일반적인 운전 순서를 설명한다. 제1단계에서, 제1전구체 A가 반응 챔버(12)에 공급된다. 자세히 말하면, 제2전구체 공급 소스는 닫힌 채로, 제1전구체 공급 소스가 열리고 제1전구체 A는 제1공급도관(20)을 통하여 반응 챔버(12)내로 흘러 들어간다. 제2전구체 흐름은 예를 들어 펄싱밸브(pulsing valve)를 사용하여 폐쇄되거나, 본 명세서에 참조에 의해 그 전체가 포함되어 있는 2002년 1월 21일자로 공개된 제WO 02/08488호의 8쪽에 개시된 비활성가스 밸빙(valving) 구성에 의해 폐쇄될 수 있다. 퍼지가스는 제1공급도관(20)과 제2공급도관(22) 모두를 통하여 흐르는 것이 바람직하다. 이 단계 동안에, 제1전구체 A는 기판(16)의 활성점에 흡착하여 흡착된 단일층을 형성한다. 제2단계 동안에, 과잉의 제1전구체 A와 부산물은 반응기(10)에서 제거된다. 이는 제1공급도관(20)과 제2공급도관(22)을 통해 퍼지가스를 계속 흘리면서 제1전구체 흐름을 차단함으로써 이루어진다. 변형된 실시예에서, 퍼지가스는 반응기(10)에 독립적으로 연결된 제3공급도관을 통하여 공급될 수도 있다. 제3단계에서는, 제2전구체 B가 반응 챔버(12)로 공급된다. 구체적으로는 제1전구체 공급소스는 닫힌 상태에서, 제2전구체 공급소스를 개방한다. 퍼지가스는 제1공급도관(20), 제2공급도관(22) 모두를 통하여 계속 공급되는 것이 바람직하다. 제1전구체와 제2전구체는 상호 매우 반응성이 좋다. 따라서, 제1전구체 A의 흡착된 단일층은 반응 챔버(12)로 도입된 제2전구체 B와 즉시 반응한다. 이로써 기판(16)에 원하는 박막을 형성한다. 반응은 흡착된 제1전구체가 모두 소비되면 종료된다. 제4단계에서, 과잉의 제2전구체와 부산물은 반응 챔버(12)로부터 제거된다. 이는 퍼지가스가 제1공급도관(20)과 제2공급도관(22) 모두를 흐르게 하면서, 제2전구체를 차단함으로써 이루어진다. 위에서 설명한 사이클은 막이 필요한 두께로 성장할 때까지 반복될 수 있다. 물론, 퍼지 상태는 펌프 다운(pump down) 상태로 바뀔수 있다. 위에서 설명한 일반적인 운전 순서와, 제1도관(20) 및 제2도관(22)의 배치와 이들의 변형은 아래에 설명한 실시예에도 적용될 수 있다.
위에서 언급한 바와 같이, 반응이 자기제한(self-limiting)적이기 때문에 반응 챔버(12)의 형상은 기판(16)상에서 성장한 막의 조성, 균일성, 물성에 영향을 주어서는 안된다. 그러나 적은 수의 전구체만이 그러한 이상적 또는 이상적에 가까운 거동을 나타낸다는 것이 발견되었다. 이상적인 성장 모드를 방해하는 요소로는 : 시간에 의존하는 흡착-탈착 현상 ; 주된 반응의 부산물로 인한 주된 반응의 저지(예를 들어 부산물이 흐름의 방향으로 이동함에 따라, 다운스트림에서는 성장속도가 저하되고, 이에 의해 불균일성이 발생한다, 예를 들어 TiCl4 + NH3 -> TiN 공정); 반응기 챔버의 업스트림부분에서 제2전구체가 모두 소비(즉, 파괴)되는 것(예를 들어 뜨거운 영역에서 오존의 분해); 반응 챔버내에서의 불균일한 흐름 조건에 의한 제1전구체의 불균일한 흡착/탈착 등이 있다.
도 2는 본 발명에 따른 일부 특징과 이점을 가진 ALD 반응기(50)의 일 실시예를 도시한 것이다. 바람직하게는, 반응기(50)는 위에서 설명한 관찰된 비이상적 거동을 완화하도록 구성된다. 전술한 반응기와 같이, 도시된 실시예는 반응 공간(54)을 한정하는 반응 챔버(52)를 포함한다. 웨이퍼 또는 기판(56)은 반응 챔버(52)내에 위치하며 받침대(58)에 의하여 지지되며, 받침대(58)는 기판(56)을 반응 챔버(52)의 안으로 또는 밖으로 움직일 수 있게 구성되어 있는 것이 바람직하다. 변형된 예에서는, 반응기(50)는 입구/출구 포트와 로보트 팔을 가지는 외부 로보트(도시하지 않음)를 포함한다. 로보트 팔은 (ⅰ) 기판을 입구/출구 포트를 통해 반응기 내로 운반하고, (ⅱ) 기판을 받침대 위에 위치시키며, (ⅲ) 기판은 받침대로부터 들어올리고 그리고/또는 (ⅳ) 기판을 입구/출구포트를 통해 반응기로부터 제거하도록 형성되어 있다.
도시된 실시예에서, 두개의 전구체, A와 B가, 반응 챔버(52)로 공급된다. 제1전구체 A는 제1공급도관(60)을 통하여 반응 챔버(52)로 공급된다. 비슷하게, 제2전구체 B는 제2공급도관(62)을 통하여 반응 챔버(52)로 공급된다. 각 공급도관은 전구체 공급소스(도시하지 않음)와 바람직하게는 퍼지가스 공급소스(도시하지 않음)와 연결되어 있다. 퍼지가스는 비활성가스인 것이 바람직하고, 예로는, 질소 또는 아르곤이 있다. 퍼지가스는 제1전구체 그리고/또는 제2전구체를 운송하는데도 사용된다. 반응기(50)는 반응 챔버(52)에서 물질을 제거하기 위한 배출구(66)를 포함한다.
샤워헤드 플레이트(67)는 반응 챔버(52) 내부에 위치한다. 바람직하게는, 샤워헤드 플레이트(67)는 일체로 된 단일 부품이다. 샤워헤드 플레이트(67)는 바람직하게는 전체 반응 공간(54)에 걸쳐 있으며, 반응 공간(54)을 상부챔버(68)와 하부챔버(70)로 나눈다. 변형된 예에서는, 샤워헤드 플레이트(67)가 반응 공간(54)의 일부만 상부챔버(68)와 하부챔버(70)로 나눌 수 있다. 바람직하게는, 이러한 일부는 통상 기판(56)의 상부에 있으며, 제1도관(60)과 제2도관(62)의 출구 사이의 공간을 향해 연장된다.
샤워헤드 플레이트(67)는 상부챔버(68)를 하부챔버(70)로 연결하는 복수의 통로들(72)을 적어도 부분적으로 한정한다. 도시된 실시예에서, 이 통로(72)는 통상 기판(56)의 위쪽에 위치하는 샤워헤드 플레이트(67)에 작은 구멍을 마련하는 것으로 형성된다. 이런 식으로, 샤워헤드(67)은 제2도관(62)로부터의 흐름이 전반적으로 기판(56)위에 오기 전에 제2전구체 B가 하부챔버(70)에 들어오는 것을 실질적으로 방지한다.
전술한 바와 같이, 샤워헤드 플레이트(67)는 바람직하게는 전체 반응 공간(54)에 걸치는 단일 부품으로 만들어진다. 이같은 실시예에서, 샤워헤드 플레이트(67)은 반응 챔버(52)의 상부와 하부 사이에 꼭 알맞게 가공된 공간(tightly fitting machined space)을 제공함으로써 지지된다. 샤워헤드 플레이트(67)는 상부와 하부의 대향 측면들에 의해 이에 인가되는 정(positive)의 기계적인 힘에 의해 고정될 수 있다. 즉, 샤워헤드 플레이트(67)는 반응 챔버(52)의 상대적 이동이 가능한 상부와 하부 사이에서 클램핑되며, 부가적인 설비 없이도 샤워헤드 플레이트(67)를 고정시킬 수 있게 된다. 다른 실시예에서는, 샤워헤드 플레이트(67)가 복수의 조각으로부터 제조될 수 있고/또는 예를 들면, 반응 챔버(52)내의 위치하는 지지부에 의한 것과 같이 다른 방법으로도 지지될 수 있다.
일반적으로 통로들(72)은 제2전구체 B가 기판(56)위에 균일하게 분포될 수 있도록 형성된다. 도시한 실시예에서, 통로(72)는 기판(56) 상부에 균일하게 분포되어 있다. 그러나, 다른 예에서는, 기판 표면에서 제2전구체 B를 최대한 균일하게 하기 위하여 통로(72)의 패턴, 크기, 모양, 분포가 조정될 수 있다. 또 다른 실시예에서, 필요에 따라, 기판에서 제2전구체 B의 불균일한 농도를 얻기 위하여 패턴, 크기, 모양, 분포가 조정될 수 있다. 위에서 설명한 단일 부품으로 된 샤워헤드 플레이트(67)는 쉽게 교체되고 교환될 수 있기 때문에 특히 바람직하다. 예를 들어, 샤워헤드 플레이트(67)가 반응 챔버(52)의 상부와 하부 사이에 고정되어 있는 경우에, 샤워헤드 플레이트(67)를 반응 챔버(52)의 상부와 하부를 분리함으로써 용이하게 제거할 수 있고, 이러한 분리는 정상적인 로딩과 언로딩시에 행해진다. 따라서, 필요하다면, 다른 패턴, 분포, 그리고/또는 크기의 통로를 가지는 샤워헤드 플레이트(67)로 쉽게 교체될 수 있다. 따라서, 통로의 최적 패턴, 분포, 그리고/또는 크기를 결정하기 위한 반복적인 실험들이 용이하게 수행될 수 있다. 또한, 이러한 샤워헤드 플레이트는 제조하는데 비교적 쉬우며 경제적이다.
본 발명에 따른 특징과 장점을 가진 변형된 실시예에서, 샤워헤드 플레이트(67)는 반응 챔버(52)내의 흐름 패턴을 변형하기 위하여 사용될 수 있다. 이러한 실시예의 한 예를 도 3a에 도시하였다. 이 실시예에서, 샤워헤드 플레이트(67)는 가변적인 두께 t를 가진다. 즉, 샤워헤드 플레이트(67)의 두께 t는 다운스트림 방향을 따라 증가한다. 그 결과, 기판(56)과 샤워헤드 플레이트(67) 사이의 흐름 공간 s 은 다운스트림 방향을 따라 감소한다. 흐름 공간 s가 변함에 따라 기판(56)에서의 지배적인 흐름 조건은 기판(56) 상의 다양한 위치에서의 성장속도를 변화시킨다. 따라서, 이러한 구조 또는 변형은 기판 표면에서의 성장 속도의 불균일성을 감소시킬 수 있다. 예를 들면, 제1전구체의 수평 흐름에 의하여 유도되는 불균일성은 이러한 방법으로 상쇄될 수 있다.
다른 실시예에서는, 샤워헤드 플레이트와 상기 기판 사이의 거리가 도 3a의 실시예와 다른 방법으로 변하도록 샤워헤드 플레이트가 구성될 수 있다. 예를 들면, 도 3bdp 도시된 바와 같이, 흐름 공간 s는 다운스트림 방향을 따라 증가될 수 있다. 다른 실시예에서, 흐름 공간 s는 반응 챔버 전체에 걸쳐 변할 수 있다(예를 들면, 기판(56)과 샤워헤드 플레이트(67)의 거리는 반응 챔버(52)의 측벽 부근에서 더 커질 수 있다). 또 다른 실시예에서, 기판과 샤워헤드 플레이트의 거리는 증가하다가 감소하거나, 그 역일 수도 있다. 또 다른 실시예에서는, 샤워헤드 플레이트와 반응 챔버의 상부 사이의 거리가, 전술한 변화에 대해 추가적으로 또는 이와 선택적으로 변경될 수도 있다.
다른 변형된 실시예에서, ALD 반응기(100)는 샤워헤드 플레이트(67)의 통로들(72)을 통한 흐름을 제어하도록 구성된 셔터 플레이트(102)를 포함한다. 도 4a는 이러한 예를 도시한 것이며, 도 2의 구성 요소와 유사한 부분을 지칭하기 위해 동일한 참조 번호가 사용되었다. 도시된 실시예에서, 셔터 플레이트(102)는 샤워헤드 플레이트(67)의 상부에 인접하여 그 위에 위치한다. 셔터 플레이트(102)와 샤워헤드 플레이트(67)의 적어도 대향 면들은, 바람직하게는, 고도로 평탄하고 연마된다. 셔터 플레이트(102)는 복수의 통로들(104)을 가지고 있으며, 바람직하게는, 이에 대응되는 샤워헤드 플레이트(67)의 통로들(72)과 동일하거나 이와 유사한 패턴으로 배치된다. 변형된 실시예에서는, 셔터 플레이트(102)가 샤워헤드 플레이트(67)의 아래에 배치될 수 있다.
셔터 플레이트(102)는 기동 부재(106)에 기계적으로 결합되며, 이에 의해, 샤워헤드 플레이트(67)에 대하여, 바람직하게는, x-y 평면 상에서 상대적으로 이동할 수 있다. 도시된 실시예에서, 기동 부재(106)는 셔터 플레이트(102)를 x-방향으로 움직이도록 형성되어 있다. 기동 부재(106)는 여러가지 형태가 가능하며, 예를 들어, 압전기, 자석, 그리고/또는 전기적인 것이 가능하다. 도 4b에서와 같이, 셔터 플레이트(102)는, 셔터 플레이트(102)와 샤워헤드 플레이트(67)와의 상대적 위치에 따라, 셔터 플레이트(102)와 샤워헤드 플레이트(67) 모두의 통로(72, 104)를 폐쇄하거나 개방하는데 사용될 수 있다. 바람직하게는, 셔터 플레이트(102)가 폐쇄 위치(도 4b)일 때, 반응 챔버의 상부(68)에 있는 가스가 배출구(66)를 통하여 빠져나갈 수 있도록, 셔터 플레이트(102)와 샤워헤드 플레이트(67)의 다운스트림 끝에 하나 또는 그 이상의 바이패스 통로(110)를 형성한다. 바이패스 통로(102)는 도 4a와 같이, 셔터 플레이트(102)가 개방상태일 때는 폐쇄되는 것이 바람직하다.
도 5a와 도 5b는 본 발명에 따른 특징과 이점을 갖는 셔터 플레이트(120, 도 5b)와 샤워헤드 플레이트(122, 도 5a)에 관한 일 실시예를 나타낸 것이다. 이 실시예에서, 셔터 플레이트(120)의 통로들(124)과 샤워헤드 플레이트(122)의 통로들(126)은 기하학적으로 서로 오프셋(offset)되어, 기판 상에서의 가스 분포를 변화시킨다. 그에 따라, x-y 평면상에서의 셔터 플레이트(120)의 위치를 제어함으로써, 제2전구체의 공급량은 기판에 대하여 xy 평면 내에서 점진적으로 및 공간적으로 변화될 수 있다. 특히, 상기 공급량은 샤워헤드 플레이트(112, 즉 x방향 또는 흐름 방향)의 전방부(업스트림)에서 0 내지 100%로부터 후방쪽(다운스트림)에서의 100 내지 0%로 변화할 수 있다. 비슷한 유형이 제어가, 정밀한 기하학적 설계에 의하여, 측면방향(즉, y방향 또는 흐름방향의 교차방향)에서도 가능하다. 또한, 당업자에게 있어서, 셔터 플레이트와 샤워헤드 플레이트의 홀의 기하학적 형상들에 관한 상세한 사항들은 변경될 수 있으며, 이 원리는 플레이트당 4 개보다 적거나 많은 경우로도 확장될 수 있다는 것이 이해될 수 있다.
도 6a 내지 6f는 도 5a와 도 5b에서 도시한 플레이트들의 오프-세팅(off-setting) 통로들을 사용하여 달성된 다양한 구성들을 도시한다. 도 6a에서, 셔터 플레이트(120)는 통로(124)가 100% 개방되도록 위치하고 있다. 도 6b에서는 플레이트(120) 앞쪽의 통로들(124)이 100% 개방되고, 플레이트(120) 뒤쪽의 통로(124)는 50% 개방된다. 도 6c에서는, 플레이트(120) 뒤쪽의 통로(124)는 100% 개방되어 있는 반면에 플레이트(120)의 앞쪽에 있는 통로(124)는 50% 개방되어 있다. 도 6d에서는, 플레이트(120)의 오른편의 통로(124)는 100% 개방되어 있는 반면에 플레이트(120)의 왼편에 있는 통로(124)는 50% 개방되어 있다. 도 6e에서는, 앞쪽 왼편의 통로(124)는 50% 개방, 앞쪽 오른편 통로(124)는 25% 개방, 뒤쪽 왼편 통로(124)는 100% 개방, 뒤쪽 오른편 통로(124)는 50% 개방되어 있다. 도 6f에서는, 앞쪽 왼편의 통로(124)는 50% 개방, 앞쪽 오른쪽 통로(124)는 100% 개방, 뒤쪽 왼편 통로(124)는 25% 개방, 뒤쪽 오른편 통로(124)는 50% 개방되어 있다.
전술한 배치에 따라, 반응기(100)(도 4a 및 도4b 참조)내의 흐름은 반응 공정의 불균일성을 상쇄하도록 조절될 수 있다. 특히, 셔터 플레이트(120)의 위치를 조정함으로써, 반응 공정의 불균일성을 상쇄하기 위한 다양한 흐름 패턴들을 얻을 수 있다.
변형된 예에서, 셔터 플레이트는 수직(즉 z방향)으로 이동하도록 배치될 수 있다. 이러한 배치에서는, 셔터 플레이트가 구멍들(apertures)을 필요로하지 않으며, 상기 플레이트는 상기 샤워헤드 플레이트 내의 통로들을 교번하여 개방 및 폐쇄하는데 사용될 수 있다.
위에서 설명한 셔터 플레이트의 배치는 도 2a 내지 도 3b에서 설명한 실시예 그리고 아래 설명할 실시예들과 결합 또는 부결합되어 사용될 수 있음을 이해하여야 한다.
도 7a는 본발명에 따른 특징과 이점을 가진 ALD 반응기(150)의 다른 실시예를 도시한 것이다. 이 실시예에서, 반응 챔버(52)는 인-시츄 라디칼이나 여기된 종을 생성하기 위한 분리된 플라즈마 캐비티(152)를 한정한다. 위에서 설명한 바와 같이, 인-시츄 라디칼 또는 여기된 종은 기판 표면상에서의 반응을 용이하게 하는데 사용된다. 인-시츄 라디칼을 생성하거나 종을 여기하기 위하여, 플라즈마는 여러가지 방법으로 플라즈마 캐비티(152)내에서 생성될 수 있다. 플라즈마를 생성하는 방법은, 예를 들면, 플라즈마 캐비티의 내부 또는 외부에 위치하는 용량 전극을 이용하는 방법(즉, 용량 결합 플라즈마), RF 코일(즉 유도 결합 플라즈마), 빛, 단파, 이온화 방사, 열(예를 들어, 가열된 텅스텐 필라멘트는 수소 분자로부터 수소 라디칼을 형성하는데 사용될 수 있다), 그리고/또는 화학반응이 있다.
도 7a에 도시한 실시예에서, 용량전극(153)은 RF 전원 소스(155)에 연결되어 있고, 반응 챔버(52)와 플라즈마 캐비티(152)의 외부에 위치하고 있다. 샤워헤드 플레이트(67)는 플라즈마 캐비티(152)와 기판(56)사이에 위치하며, 도시된 실시예에서는 용량결합을 위한 다른 쪽 전극으로도 사용된다. 이 실시예는 여러가지 장점을 가진다. 예를 들어, 라디칼의 수명이 매우 짧아도, 성장 표면(즉, 기판(56))까지의 경로가 매우 짧아서, 성장 반응에 기여할 수 있도록 한다. 또한 플라즈마 챔버(152)는 플라즈마 점화를 위한 공간을 제공하고 성장표면으로부터 플라즈마를 분리하기에 충분하게 크게 만들수 있는데, 이로써, 플라즈마 내의 에너지 파티클과 전하의 손상 영향(damaging effect)으로부터 플라즈마 챔버를 지킬 수 있다. 다른 장점의 한 예는 플라즈마 캐비티(152)가 한가지 타입의 전구체에만 노출되며, 따라서, 플라즈마 캐비티(152)의 내부 표면에 박막이 성장하지 않는다는 것이다. 따라서 플라즈마 캐비티(152)는 장기간 동안 깨끗하게 유지된다.
한 실시예에서, 제1전구체 A는, 기판(56)의 표면에 흡착되며, 제2전구체 B와 직접적으로 반응하지 않는다. 대신, 제1전구체 A는 제2전구체 B의 여기된 종과 반응하는데, 제2전구체 B의 여기된 종은 플라즈마 캐비티(152)내에서 생성된다.(예를 들어 N2가 있는데 이는 흡착종과 반응하지 않지만, N 라디칼은 흡착종과 반응한다) 변형된 실시예에서, 제1전구체 A는 재결합 라디칼과 반응성이 좋은데, 재결합 라디칼은 플라즈마 캐비티(152)나 플라즈마 캐비티(152)의 다운스트림에서 생성된다. 두가지 실시예 모두, 플라즈마 캐비티 내에서의 플라즈마의 생성은 온, 오프를 사이클링하는 반면, 제2공급도관(62)를 통한 제2전구체 B의 흐름은 일정하게 유지된다. 변형된 실시예에서, 플라즈마 캐비티를 온과 오프로 사이클링하는 방법은 원격 플라즈마 캐비티를 이용하는 변형된 반응기에도 사용될 수 있다. 또한 다른 실시예 에서, 위에서 설명한 반응기(150)는, 플라즈마 생성을 위한 전원이 온을 유지하면서 제2전구체의 흐름이 온과 오프를 사이클링하는 식으로 운전될 수 있다.
도 7b는 또한 플라즈마 캐비티(162)를 사용하는 반응기(160)의 변형된 실시예를 나타내고 있다. 이 실시예에서, 반응기(160)는 반응 챔버(163)을 포함하는데, 반응 챔버(163)에는 반응 공간(164)이 형성되어 있다. 기판(166)은 반응 공간(164)내에 위치하고 서셉터(170)에 의해 지지된는데, 서셉터(170)는 가열될 수 있다. 제1전구체는 제1공급도관(172)을 거쳐 반응 공간으로 도입된다. 제1공급도관(172)과 반응 챔버(163)는 반응 챔버 내의 제1전구체의 흐름이 기판(166)의 반응 표면에 대체적으로 평행하도록 형성되는 것이 바람직하다. 반응 챔버(163)으로부터의 물질 제거를 보조하기 위해 배출구(174)와 펌프(도시하지 않음)가 제공되는 것이 바람직하다.
반응기(160)는 또한 플라즈마 챔버(175)를 포함하는데, 이는 도시된 실시예에서, 반응 공간(164)의 위에 위치한다. 플라즈마 챔버(175)에는 인-시츄 여기된 종 또는 라디칼이 생성되는 플라즈마 캐비티(162)가 형성되어 있다. 라디칼을 생성하기 위해 제2전구체는 제2공급도관(176)을 거쳐 플라즈마 캐비티(162)로 도입된다. 라디칼과 여기된 종은, 플라즈마 챔버(175)내에서 생성된 플라즈마로부터 흐른다. 플라즈마를 생성하기 위하여, 도시된 실시예는 RF 코일(177)과 RF 실드(179)를 이용하는데, 이는 플라즈마 캐비티(162)와는 예를 들어 석영으로 만든 창(178)에 의해 분리되어 있다. 다른 실시예에서, 플라즈마를 평면 유도 코일을 사용하여 생성하면 유리하다. 이 같은 평면 유도 코일의 예는 저널 오브 어플라이드 피직스, 볼륨 88, 넘버 7, 3889(2000)과 저널 오브 배큠 사이언스 테크놀로지, A19(3), 718(2001)에 개시되어 있으며, 참조로서 결합된다.
플라즈마 캐비티(162)와 반응 공간(164)는 라디칼 또는 샤워헤드 플레이트(180)에 의하여 분리된다. 샤워헤드 플레이트(180)에는 플라즈마 캐비티내에서 형성된 라디칼이 반응 공간(164)으로 흘러갈 수 있는, 적어도 부분적인, 복수의 통로(182)가 형성되어 있다. 바람직하게는, 통로(182)를 통한 흐름은 일반적으로 기판(166)의 반응표면을 향해 있다. 몇몇 실시예에서, 샤워헤드 플레이트(180)과 기판(166)사이의 공간은 수 밀리미터 정도로 작다. 이와 같은 배치는 라디칼의 수명이 짧아도 웨이퍼 표면에 충분한 라디칼 농도를 제공한다.
도시한 실시예에서, 퍼지 가스는 퍼지 입구(184)를 통해 플라즈마 캐비티에 연속적으로 공급될 수 있다. 이와 같은 실시예에서, 플라즈마 챔버(175)는 실질적으로 일정한 압력 영역에서 운전될 수 있다.
도시한 실시예에서, 샤워헤드 플레이트(180)와 반응 챔버(163)에 인접한 주위 구성요소는 가열될 수 있다. 가열은 샤워헤드 플레이트(180)의 일측면 그리고/또는 타측의 가열된 서셉터(170)의 플라즈마의 결과이거나, 샤워헤드 플레이트(180)를 따로 가열함으로써 이루어진다.
일부 실시예에서, RF 전원은 흐름에서 라디칼의 농도를 교대적으로 스위칭하기 위해 사용된다. 다른 실시예에서는, 플라즈마 캐비티로의 전구체 공급이 교대적으로 스위칭될 수 있다. 플라즈마 캐비티(162)로부터 반응 공간(164)으로는 연속적인 흐름이 있는 것이 바람직하다. 예를 들어 비활성가스와 교대되는 라디칼과 같은 가스의 연속적이 흐름이 바람직한데, 이는 반응 공간(164) 아래의 제1전구체가 플라즈마 캐비티(162)를 오염시키는 것을 방지하기 때문이다. 이는 아킹없이 전도화합물이 증착되는 것을 용이하게 한다. 또한 플라즈마 캐비티(162)와 반응 공간(164)사이에는, 플라즈마 캐비티(162)의 압력이 높은 상태에서, 양의 압력차이가 있는 것이 바람직하다. 이러한 배치는 플라즈마 챔버(175)내의 플라즈마 점화를 촉진시킨다.
도 7C는 또한 플라즈마 캐비티를 사용하는 ALD 반응기(200)의 다른 실시예를 도시하고 있다. 같은 번호 (예를 들어, 162, 163, 166, 170, 174, 176, 184 등)는 도 7B과 같은 부분을 참조하는데 사용하였다. 이 실시예에서, 플라즈마 캐비티(162)내의 플라즈마는 용량 커플링되어 있다. 도시된 도면은 용량 전극(202)를 포함하는데, 이는 RF 공급 쓰루(RF feed through, 203)를 통해 RF 소스(도시하지 않음)와 연결되며 샤워헤드 플레이트(180)의 상부의 플라즈마 캐비티(162)내에 위치한다. 이러한 배치는 전극이 반응 챔버(163)내에 위치하는 점을 제외하면, 도 7A에서 나타낸 배치와 유사하다.
도 7A 내지 7C를 참조로 위에서 논의한 실시예의 일부 관점은 CVD반응기와 같이도 사용될 수 있다(예를 들어, 박막을 생성하기 위하여 증착과 농도증대(densification)를 반복하는 것을 이용하는 반응기). 박막을 형성하는데 CVD 그리고/또는 펄스 플라즈마 CVD(pulsed plasma CVD)와 관련된 공지의 문제는 아킹이다. 플라즈마 생성 공간(즉, 플라즈마 캐비티)과 CVD 환경(즉 반응 공간)을 분리하는 샤위해드 플레이트의 도입은, 이러한 아킹을 감소시킨다. 종래의 원격 플라즈마 프로세서과 달리, 분리된 플라즈마 캐비티는 반응 공간에 인접하여 있는데, 이로 인해 기판까지의 이동 거리가 감소하여 라디칼 재결합이 감소된다. 이 같은 실시예에서 웨이퍼는 이온 충격(bombardment)를 생성하기 위해 플라즈마에 비하여 상대적으로 음(negative)으로 바이어스되어 있다. 이 실시예는 라디칼로 임시적으로 가능한 새로운 CVD 반응을 생성하는데도 사용될 수 있다. 이러한 반응은 가스상에서 일어날 수 있다. 라디칼을 생성하기 위한 RF 펄스의 시간이 충분히 짧으면, 이러한 반응에서는 큰 입자가 생기지 않는다. 이러한 방법은 새로운 막 특성을 나타낼 것이다.
도 7a 내지 7c를 참조로 설명한 실시예에서, 코일의 모양과 국부전류농도 그리고 석영 창의 모양은 여러가지 반응 공정 요소을 조절하기 위해 조정될 수 있다. 반응 공정 요소로는 예를 들어, 균일성, 증착속도, 플라즈마 발화 등이 있다. 일부 실시예에서, 벽(wall)의 부식을 억제하고 막의 균일성을 향상시키기 위해 플라즈마를 한정(shape and confine)하는데 자기장이 사용될 수 있다. 샤워헤드 플레이트 통로의 크기, 모양, 배치, 방향 등은 예를 들어 막의 특성, 증착속도, 플라즈마 발화 등을 최적화시키기 위하여 조정될 수 있다. 비슷한 방법으로, 샤워헤드 플레이트와 기판간의 거리는 어떤 라디칼이 반응에 참여할 지를 선택하는데 사용될 수 있다. 예를 들어, 더 큰 거리가 선택되면, 수명이 짧은 라디칼은 긴 확산 또는 흐름 패스에서 생존하지 못하다. 더구나 높은 압력에서는 샤워헤드 플레이트로부터 기판으로의 이동에서 생존하는 라디칼의 수가 적어진다.
도 7a 내지 7c에 대하여 설명한 특징은 벽 청소 그리고/또는 챔버 조건 조절을 위해 NF3에서 개시되는 것과 같은 라디칼을 반응 챔버에 도입하는데 또한 사용될 수 있다.
도 7a 내지 7c를 참조로 설명한 실시예는 여러 장점이 있다. 예를 들어, 수명이 짧은 종의 라디칼에 대하여도 전체 기판에 걸쳐 균일한 농도를 제공한다. 반응기의 모양과 흐름 패턴은 RF 소스와 독립적으로 최적화될 수 있는데, 이는 짧은 펄스와 짧은 퍼지 시간을 가지는 반응기를 설계하는 데 매우 큰 유연성을 부여한다. 반응 챔버에서 보다 라디칼 소스에서 더 높은 압력이 사용될 수 있기 때문에, 플라즈마 포텐셜이 낮고, 플라즈마는 유도결합되어 있다. 따라서, 벽에 있는 구성부품에 대한 스퍼터링은 덜 문제된다. 유도 결합 방전은 매우 유효하다. 플라즈마 볼륨과 반응 볼륨간의 분리는 금속, 메탈로이드, 전이금속이나 카바이드와 같은 좋은 전기적 전도체인 다른 물질이 증착될 때 아킹문제를 일으키지 않는다. 이들 실시예는 챔버의 청소 그리고/또는 조건 조절(conditioning)을 하는 쉬운 방법을 제공하고 있다.
도 7a 내지 7c를 참조로 하여 설명한 실시예의 특징은 도 3a 내지 6f를 참조로 설명한 실시예에 결합될 수 있음을 이해하여야 한다.
도 8은 플라즈마 강화 변형 ALD 반응기(250)의 다른 실시예이다. 반응기(250)는 바람직하게는 밀봉된 환경(252)내에 위치하고, 상부부재(254)와 하부부재(256)을 포함한다. 상부부재(254)와 하부부재(256)은 바람직하게는 절연물질(예를 들어 세라믹)로 만들어 진다.
하부부재(256)에는 반응 챔버(260)에 부분적으로 형성된 함몰부(258)가 형성되어 있다. 전구체 입구(262)는 반응 챔버(260)가 전구체 소스(도시하지 않음)와 연통하도록 상부부재(254)와 하부부재(256)까지 연장되는 것이 바람직하다. 비슷한 식으로, 퍼지가스 입구(264)도 퍼지가스 소스와 반응 챔버(260)가 연통하게 하기 위하여 상부부재(254)와 하부부재(256)까지 연장된다. 반응기 챔버(260)으로부터 물질을 제거하기 위한 배출구(266)도 역시 형성되어 있다. 도시되지는 않았지만, 추가적인 전구체를 반응 챔버(260)에 제공하기 위한 하나 또는 그 이상의 추가 전구체입구(262)를 포함할 수 있다는 것을 이해하여야 한다. 덧붙여, 퍼지가스는 하나의 전구체 입구 중 하나를 통해 반응 챔버에 공급될 수 있다.
기판(268)은 반응 챔버(260)내의 서셉터(270)위에 자리한다. 도시된 실시예에서, 서셉터(270)는 서셉터 승강 기구(272)내에 위치하는데, 서셉터 승강 기구(272)는 기판(270)을 가열하는 가열부를 포함할 수 있다. 서셉터 승강 기구(272)는 기판(268)을 반응 챔버(260)의 안으로 또는 밖으로 이동시키고, 공정중에 하부부재(256)가 반응 챔버(260)를 밀봉하도록 형성되어 있다.
RF 코일은 석영 또는 세라믹 인클로저(enclosure, 276)내에 위치한다. 도시된 실시예에서, RF 인클로저(276)와 RF 코일(274)은 하부부재(256)내에 형성된 제2함몰부(278, 제1함몰부(258)내에 있음)내에 위치한다. 함몰부(258)는 RF 코일(274)이 대체적으로 기판(268)상부에 위치하도록 형성되어 있다. 코일(274)은, 유도 결합 플라즈마(282)가 기판(268)의 상부에 있는 반응 챔버(260)내에서 생성될 수 있도록 RF 생성기와 매칭 네트워크(280)에 연결되어 있다. 이러한 배치에서, 다른 반응기 구성요소가 절연체라면, 기판은, 플라즈마 포텐셜이 스스로 조절(adjust)함에 따라, 부동(floating)하거나 접지되는데, 이로써 기판(268)으로의 원자와 이온 플럭스가 동일하게 된다.
이 배치는 여러가지 장점이 있다. 예를 들어, 플라즈마가 유도 결합되기 때문에, 플라즈마 포텐셜이 낮으며, 이에 따라 스퍼터링도 감소한다. 추가로, 플라즈마가 기판(268)의 바로 위에 위치하기 때문에, 수명이 짧은 라디칼이나 여기된 종이어도 기판표면에서 균일한 농도를 얻을 수 있다.
도 9는 플라즈마 강화 ALD 반응기(300)의 다른 실시예를 도시한 것이다. 도 8과 유사한 부분에 대하여는 동일한 번호를 사용하였다. 이 실시예에서, 반응 챔버(260)는 챔버벽(302)에 형성된 함몰부(301)를 포함한다. 이전의 실시예와 같이 기판(268)은 반응챕버(260)내에서 서셉터(270)위에 위치하는데, 서셉터(270)는 서셉터 상승 기구(272)내에 위치한다. 서셉터 상승 기구(272)는 기판(268)을 반응 챔버(260)의 안으로 또는 밖으로 운반하고 공정 중에 반응 챔버(260)을 밀봉하도록 형성되어 있다.
전구체 입구(304)는 반응 챔버(260)를 전구체 소스(도시하지 않음)에 연결하기 위해 마련된다. 비록 도시하지는 않았지만, 반응기(300)는 퍼지가스나 추가의 전구체를 반응 챔버(260)으로 공급하기 위한 분리된 퍼지 입구 그리고/또는 하나 또는 그 이상의 전구체 입구를 포함할 수 있다는 것을 이해하여야 한다. 가스출구(306)는 반응 챔버(260)로부터 물질을 제거하기 위해 또한 제공되는 것이 바람직하다.
도시된 실시예에서, RF 코일(274)과 인클로저(276)는 반응 챔버(260)내에 배치되어, 입구(304)로부터 인입되는 전구체가 기판(268) 위로 흐르기 위해서 RF 코일(274)의 위, 주위, 아래로 흘러야 한다. 따라서, 흐름 안내부(308)는 전구체를 RF 코일 주위로 일 방향으로 안내하기 위해 반응 챔버(260)내에 위치한다. 비록 도시되지는 않았지만, 도시된 배치에서, 흐름 안내부(308)는 전구체를 RF 코일(274) 위로 수평한 일 방향으로 안내하기 위해 RF 코일(274) 상부로 채널이 형성됨을 이해되어야 한다. 이렇게 되면, 전구체는 RF 코일(274) 일부분을 따라 수직으로 흐르고, 이 때 기판(268)상부에 전구체가 실질적으로 수평하게 한방향으로 흐르도록 흐름은 수평이 되고 확장된다. 기판(268)의 다운스트림에서, 흐름은 수직 상승방향으로 안내되고, 그 후 흐름은 출구(306)를 향해 RF 코일(274)위에서 수평방향으로 안내된다. 변형된 실시예에서, 출구(306)는 RF 코일(274)의 아래에 위치할 수 있다.
도시된 실시예는 여러가지 장점이 있다. 예를 들어, 도 7a와 7b의 실시예를 비교하면, 전구체의 흐름 경로가 덜 제한적이다. 이로써 기판으로 가는 과정에서 여기된 종이 재결합되는 것이 감소된다. 추가적으로, 펄스 사이에, 전구체를 수평 흐름 경로로 퍼지하는 것이 더 쉬워진다.
도전성 플레이트(310)가 RF 인클로저(276)의 하부에 위치하여 플라즈마(282)는 RF 코일(274)의 상부에서만 생성된다. 추가로, 도전성 플레이트(310)와 기판(268) 사이의 공간이 바람직하게 보통의 조건에서 플라즈마가 존재하기에 필요한 암부(dark space)보다 작기 때문에, 플라즈마는 RF 코일(274)상부의 더 넓은 공간에서만 생성된다.
도시된 실시예는 여러 장점을 가진다. 예를 들어, 플라즈마가 기판 바로 상부에서 발생되지 않기 때문에 스퍼터링에 대한 우려가 적고, 따라서 본 실시예는 민감한 부품(예를 들어 게이트 스택)을 가진 기판의 처리, 그리고/또는 플라즈마 손상이 특히 치명적인 프론트-엔드 응용분야에 특히 유용하다.
도시된 실시예에서, 플라즈마(282)는 또한 반응기의 출구 측면에서 생성된다. 그러나 변형된 실시예에서는 출구 측면의 플라즈마(282)는 제거될 수 있다는 것을 이해하여야 한다.
도 10은 플라즈마를 이용하는 다른 실시예를 나타낸 것이다. 이 실시예는 도 9의 실시예와 유사하다. 따라서 같은 번호가 사용되었다. 이 실시예에서, 플라즈마는 용량 결합된다. 용량 플레이트(303)는 반응 챔버(260)내에 위치한다. 상부 챔버벽(302)는 플라즈마(282)가 용량 플레이트(303)와 상부 챔버(302)사이에서 생성되도록 접지되어 있으며 도전성이다. 도 10의 실시예에서, 흐름 안내부(308)는 전구체가 기판위에 실질적으로 수평방향으로 흐르도록, 전구체를 용량 플레이트(303)의 둘레에서 기판(268)의 상부로 안내한다.
도 11은 플라즈마 강화 ALD 반응기(320)의 또 다른 실시예를 나타낸 것이다. 이 실시예에서, 반응기(320)는 서셉터(326)위에 위치하는 기판(324)이 있는 반응 공간(322)을 포함한다. 로드락(load lock, 328)이 기판(324)을 반응 공간(322)으로 들여가거나 꺼내기 위해 마련되어 있다.
반응기는 제1입구(330)를 포함한다, 도시된 실시예에서, 제1입구(330)는 3-방향 밸브(332)와 연통하여 있는데, 이는 번갈아 제1전구체소스(334)와 퍼지가스 소스(336)와 통하게 된다. 아래에서 상세히 설명하겠지만, 제1전구체는 금속전구체인 것이 바람직하다.
반응기(320)는 또한 제2입구(338)를 포함한다. 도시된 실시예에서, 제2입구(338)는 반응기(320)의 상부벽(340)과 중간벽(342)의 사이에 형성된다. 제2입구(338)는 제2전구체소스(344)와 통하는데, 바람직하게는 비금속 전구체이다. 선택적으로, 제2입구는 또한 퍼지가스 소스(도시하지 않음)와 통한다. 제2입구(338)는 반응 공간(322) 위의 제2입구(338)내에 플라즈마(348)를 만들기 위한 한 쌍의 전극(346)을 포함한다. 반응기는 또한 반응 공간(322)으로부터 물질을 제거하기 위한 배출구 라인(347)을 포함한다.
제1단계에서, 제1전구체는 반응 챔버(320)로 공급된다. 자세히 말하면, 제2공급소스(344)는 닫혀 있고, 제1전구체 소스(334)로부터 제1금속전구체가 흐르도록 3-방향밸브가 작동하는 것이다. 이 단계 동안에, 제1금속전구체는 흡착단일층을 형성하기 위하여 기판(324)의 활성점위에 흡착된다. 제2단계 중에는, 과잉의 제1전구체와 부산물이 반응기(320)로부터 제거된다. 이는 3-방향 밸브(332)를 통해 퍼지가스를 계속 흘리면서, 제1전구체 흐름을 차단하는 것으로 달성된다. 제3단계에서, 제2전구체가 반응 챔버(322)로 공급된다. 자세히 말하면, 제2전구체 공급 소스(344)가 개방되고, 제2입구(338)내에서 플라즈마(348)를 생성하기 위해 전극(346)이 활성화된다. 플라즈마(348)에 의해 생성된 반응물은 반응성이 매우 크다. 따라서, 제1전구체의 흡착된 단일층은 챔버(322)내로 도입된 제2전구체의 반응물과 즉시 반응한다. 이로써 기판(324)위에 원하는 박막이 형성된다. 반응은 기판위에 흡착된 제1전구체가 모두 반응하면 종료된다. 제4단계에서, 과잉의 제2전구체와 부산물은 반응 챔버(322)에서 제거된다. 이는 퍼지소스(336)으로부터의 퍼지흐름을 유지하면서 제2전구체를 폐쇄하는 것으로 달성된다. 변형된 예에서, 반응기에서 실질적으로 모든 과잉의 제2전구체와 부산물이 제거될 때까지, 제2입구(338)와 연통된 퍼지가스 소스(도시하지 않음)가 개방되고 퍼지가스는 잔류 제2전구체를 전극(346)사이의 공간으로부터 반응 챔버(322)를 향해 밀어낸다. 위에서 설명한 사이클은 막이 원하는 두께가 될 때까지 반복할 수 있다. 물론, 퍼지상은 진공상으로도 대체될 수 있다.
도시된 실시예는 여러가지 장점을 가진다. 예를 들어, 전극(346)은 제2입구(338)내에 위치하고 있기 때문에, 금속 전구체에 노출되지 않는다. 또한, 전극(346)은, 전기적으로 도전하는 막이 전극(346)상에 증착되면 발생할 수 있는 단락이 발생하지 않는다.
물론 본발명의 바람직한 실시예에 대한 설명과 여러가지 변화, 변형, 조합, 일부조합은 청구범위에서 규정하는 본발명의 요지를 벗어나지 않고서 가능하다.
본 발명은 기판에 교번하여 반복되는(alternately and repeated) 기상 반응물의 표면반응을 행하여 기판의 표면 위에 박막을 성장시키는 장치에 사용된다.

Claims (27)

  1. 기판이 기상 반응물들의 교번하여 반복되는 표면 반응을 겪도록 구성된 반응기로서,
    반응 공간을 한정하는 반응 챔버;
    상기 반응 챔버 내에 배치되는 기판;
    상부 표면과 하부 표면을 가지는 플라즈마 생성 장치;
    제 1 입구를 통하여 상기 반응 공간과 연통된 제 1 전구체 소스; 및
    상기 플라즈마 생성 장치의 상기 상부 표면 상의 상기 제 1 전구체가 상기 플라즈마 생성 장치의 측부를 따라 상기 플라즈마 생성 장치의 상기 하부 표면과 상기 기판 사이의 공간으로 향하도록 구성된 흐름 안내부를 포함하고,
    상기 플라즈마 생성 장치는, 상기 플라즈마 생성 장치의 상기 상부 표면과 상기 반응 챔버의 상부 벽 사이에서 플라즈마가 생성되고 상기 플라즈마 생성 장치의 상기 하부 표면과 상기 기판 사이에서는 플라즈마가 생기지 않도록, 상기 반응 챔버 내에 배치되며;
    상기 제 1 전구체가 상기 기판에 대하여 평행한 제 1 방향으로 흐르는 반응기.
  2. 제 1 항에 있어서,
    상기 플라즈마 생성 장치는 유도 결합 플라즈마를 생성하도록 구성된 것을 특징으로 하는 반응기.
  3. 제 2 항에 있어서,
    상기 플라즈마 생성 장치의 상기 하부 표면은 도전성 플레이트를 포함하는 것을 특징으로 하는 반응기.
  4. 제 1 항에 있어서,
    상기 플라즈마 생성 장치는 용량 결합 플라즈마를 생성하도록 구성된 것을 특징으로 하는 반응기.
  5. 제 1 항에 있어서,
    상기 기판은 서셉터 상에 배치되는 것을 특징으로 하는 반응기.
  6. 제 5 항에 있어서,
    상기 서셉터는 서셉터 승강 기구 내에 배치되는 것을 특징으로 하는 반응기.
  7. 제 6 항에 있어서,
    상기 서셉터 승강 기구는 공정 동안에 상기 반응 챔버를 밀봉하도록 구성된 것을 특징으로 하는 반응기.
  8. 제 1 항에 있어서,
    상기 기판을 상기 반응 공간으로 반입 및 반출하기 위한 로드락(load lock)을 더 포함하는 것을 특징으로 하는 반응기.
  9. 제 1 항에 있어서,
    제 2 입구를 통하여 상기 반응 공간과 연통하는 제 2 전구체 소스를 더 포함하는 것을 특징으로 하는 반응기.
  10. 제 9 항에 있어서,
    상기 제 1 전구체 소스는 비금속 전구체를 포함하고, 상기 제 2 전구체 소스는 금속 전구체를 포함하는 것을 특징으로 하는 반응기.
  11. 제 10 항에 있어서,
    상기 반응기는 상기 제 2 입구로부터 인입되는 제 2 전구체 소스가 상기 플라즈마 생성 장치의 상기 상부 표면 위로 흐르지 않도록 구성된 것을 특징으로 하는 반응기.
  12. 제 11 항에 있어서,
    퍼지(purge) 가스 소스를 더 포함하는 것을 특징으로 하는 반응기.
  13. 제 12 항에 있어서,
    상기 퍼지 가스 소스는 상기 제 2 입구를 통하여 상기 반응 공간과 연통된 것을 특징으로 하는 반응기.
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
KR1020047002218A 2001-08-15 2002-08-15 원자층 증착 반응기 KR100943695B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US31262801P 2001-08-15 2001-08-15
US60/312,628 2001-08-15
PCT/US2002/026192 WO2003016587A1 (en) 2001-08-15 2002-08-15 Atomic layer deposition reactor

Publications (2)

Publication Number Publication Date
KR20040063893A KR20040063893A (ko) 2004-07-14
KR100943695B1 true KR100943695B1 (ko) 2010-02-22

Family

ID=23212311

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047002218A KR100943695B1 (ko) 2001-08-15 2002-08-15 원자층 증착 반응기

Country Status (5)

Country Link
US (2) US6820570B2 (ko)
JP (1) JP2004538374A (ko)
KR (1) KR100943695B1 (ko)
TW (1) TW578212B (ko)
WO (1) WO2003016587A1 (ko)

Families Citing this family (512)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6671223B2 (en) * 1996-12-20 2003-12-30 Westerngeco, L.L.C. Control devices for controlling the position of a marine seismic streamer
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
WO2003030224A2 (en) * 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
AU2002343583A1 (en) * 2001-10-29 2003-05-12 Genus, Inc. Chemical vapor deposition system
KR100782529B1 (ko) * 2001-11-08 2007-12-06 에이에스엠지니텍코리아 주식회사 증착 장치
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US20060096531A1 (en) * 2002-06-10 2006-05-11 Tokyo Electron Limited Processing device and processing method
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US7342984B1 (en) 2003-04-03 2008-03-11 Zilog, Inc. Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character
US20050178336A1 (en) * 2003-07-15 2005-08-18 Heng Liu Chemical vapor deposition reactor having multiple inlets
US7470329B2 (en) * 2003-08-12 2008-12-30 University Of Maryland Method and system for nanoscale plasma processing of objects
US7282244B2 (en) * 2003-09-05 2007-10-16 General Electric Company Replaceable plate expanded thermal plasma apparatus and method
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050183824A1 (en) * 2004-02-25 2005-08-25 Advanced Display Process Engineering Co., Ltd. Apparatus for manufacturing flat-panel display
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
KR100590554B1 (ko) * 2004-05-28 2006-06-19 삼성전자주식회사 반응용기 및 시편홀더의 구조가 개선된 단원자층 증착장치
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
JP2006019414A (ja) * 2004-06-30 2006-01-19 Canon Inc プラズマ処理装置
US7381291B2 (en) * 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
DE102004056170A1 (de) * 2004-08-06 2006-03-16 Aixtron Ag Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung mit hohem Durchsatz
JP2006093557A (ja) * 2004-09-27 2006-04-06 Sharp Corp 気相成長装置
EP1866465A2 (en) * 2005-01-18 2007-12-19 ASM America, Inc. Reaction system for growing a thin film
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP4807960B2 (ja) * 2005-03-17 2011-11-02 株式会社アルバック 成膜装置及び成膜方法
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
WO2006106767A1 (ja) * 2005-03-30 2006-10-12 Matsushita Electric Industrial Co., Ltd. 伝送線路対及び伝送線路群
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
KR100721576B1 (ko) * 2005-04-06 2007-05-23 삼성에스디아이 주식회사 유기 전계 발광 소자 제조 방법
KR101272321B1 (ko) * 2005-05-09 2013-06-07 한국에이에스엠지니텍 주식회사 복수의 기체 유입구를 가지는 원자층 증착 장치의 반응기
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
TWI261313B (en) 2005-07-29 2006-09-01 Ind Tech Res Inst A method for a large dimension plasma enhanced atomic layer deposition cavity and an apparatus thereof
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7464917B2 (en) * 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US20070084408A1 (en) * 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
US20070084406A1 (en) * 2005-10-13 2007-04-19 Joseph Yudovsky Reaction chamber with opposing pockets for gas injection and exhaust
US7432513B2 (en) * 2005-10-21 2008-10-07 Asml Netherlands B.V. Gas shower, lithographic apparatus and use of a gas shower
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20070215036A1 (en) * 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
WO2007123102A1 (ja) * 2006-04-18 2007-11-01 Ulvac, Inc. 成膜装置、バリア膜製造方法
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
KR100799735B1 (ko) * 2006-07-10 2008-02-01 삼성전자주식회사 금속 산화물 형성 방법 및 이를 수행하기 위한 장치
WO2008016836A2 (en) * 2006-07-29 2008-02-07 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
KR20080027009A (ko) * 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20080176149A1 (en) * 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7692222B2 (en) * 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
KR101355638B1 (ko) * 2006-11-09 2014-01-29 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US20080131979A1 (en) * 2006-12-04 2008-06-05 Sumitomo Electric Industries, Ltd. Vapor-Phase Growth System and Vapor-Phase Growth Method
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US20080241384A1 (en) * 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
US20090096349A1 (en) * 2007-04-26 2009-04-16 Moshtagh Vahid S Cross flow cvd reactor
US8030212B2 (en) * 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
US7939447B2 (en) 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
KR101376336B1 (ko) 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US8628616B2 (en) * 2007-12-11 2014-01-14 Sumitomo Electric Industries, Ltd. Vapor-phase process apparatus, vapor-phase process method, and substrate
FI123322B (fi) * 2007-12-17 2013-02-28 Beneq Oy Menetelmä ja laitteisto plasman muodostamiseksi
US20090155488A1 (en) * 2007-12-18 2009-06-18 Asm Japan K.K. Shower plate electrode for plasma cvd reactor
DE102007063380A1 (de) * 2007-12-20 2009-06-25 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Beschichtungsvorrichtung zur Beschichtung eines Substrates bei Atmosphärenbedingungen
US7655543B2 (en) 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
JP5060324B2 (ja) * 2008-01-31 2012-10-31 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び処理容器
US8273178B2 (en) 2008-02-28 2012-09-25 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
US20100101491A1 (en) * 2008-10-29 2010-04-29 Asm Japan K.K. Wafer lift pins suspended and supported at underside of susceptor
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
KR100940770B1 (ko) * 2008-11-06 2010-02-10 주식회사 시스넥스 화학기상증착 반응기의 가스공급장치
CN102203910B (zh) * 2008-11-07 2014-12-10 Asm美国公司 反应室
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
EP2364380A4 (en) * 2008-12-05 2012-07-04 Lotus Applied Technology Llc HIGH SPEED DEPOSITION OF THIN LAYERS WITH ENHANCED BARRIER LAYER PROPERTIES
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US20100266765A1 (en) * 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5454575B2 (ja) * 2009-09-17 2014-03-26 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置用ガス供給機構
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
US8637123B2 (en) * 2009-12-29 2014-01-28 Lotus Applied Technology, Llc Oxygen radical generation for radical-enhanced thin film deposition
JP2011144412A (ja) * 2010-01-13 2011-07-28 Honda Motor Co Ltd プラズマ成膜装置
EP2553143B1 (en) * 2010-03-29 2017-10-04 Koolerheadz Modular gas injection device
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US8801858B2 (en) * 2010-12-23 2014-08-12 First Solar, Inc. Non-wear shutter apparatus for a vapor deposition apparatus
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
DE102011104132B3 (de) * 2011-06-14 2012-11-29 Oliver Feddersen-Clausen Plasmaunterstütztes ALD-Verfahren sowie Vorrichtung zur Bildung einer dünnen Schicht auf einem Substrat
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
KR101328980B1 (ko) 2011-07-13 2013-11-13 삼성디스플레이 주식회사 기상 증착 장치, 기상 증착 방법 및 유기 발광 표시 장치 제조 방법
KR101288129B1 (ko) 2011-07-13 2013-07-19 삼성디스플레이 주식회사 기상 증착 장치, 기상 증착 방법 및 유기 발광 표시 장치 제조 방법
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US9238865B2 (en) * 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
WO2014064779A1 (ja) * 2012-10-24 2014-05-01 株式会社Jcu プラズマ処理装置及び方法
US20140116336A1 (en) * 2012-10-26 2014-05-01 Applied Materials, Inc. Substrate process chamber exhaust
US8944003B2 (en) * 2012-11-16 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Remote plasma system and method
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US10316409B2 (en) * 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9399228B2 (en) 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) * 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9617637B2 (en) * 2014-07-15 2017-04-11 Lam Research Corporation Systems and methods for improving deposition rate uniformity and reducing defects in substrate processing systems
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP5938491B1 (ja) * 2015-03-20 2016-06-22 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
CN107835868B (zh) 2015-06-17 2020-04-10 应用材料公司 在处理腔室中的气体控制
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
CN106544646B (zh) * 2015-09-18 2019-02-01 沈阳拓荆科技有限公司 一种原子层沉积设备
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
FI127769B (en) * 2016-03-11 2019-02-15 Beneq Oy Apparatus and method
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
FI127503B (en) * 2016-06-30 2018-07-31 Beneq Oy Method of coating a substrate and device
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10752991B2 (en) 2017-02-06 2020-08-25 Applied Materials, Inc. Half-angle nozzle
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
FI129700B (en) * 2017-10-18 2022-07-15 Beneq Oy Nozzle head
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
CN112368802A (zh) * 2018-07-31 2021-02-12 应用材料公司 用于ald工艺的方法和设备
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
FI129502B (en) * 2019-04-25 2022-03-31 Beneq Oy Feedstock supply cabinet
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US11032945B2 (en) * 2019-07-12 2021-06-08 Applied Materials, Inc. Heat shield assembly for an epitaxy chamber
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210027601A (ko) * 2019-08-29 2021-03-11 삼성전자주식회사 플라즈마 표면처리 장치 및 이를 구비하는 기판 처리 시스템과 이를 이용한 플라즈마 표면처리 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11004703B1 (en) * 2019-10-25 2021-05-11 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Gas flow guiding device for semiconductor processing apparatus and method of using the same
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
JP2023507111A (ja) * 2019-12-17 2023-02-21 アプライド マテリアルズ インコーポレイテッド 高密度プラズマ化学気相堆積チャンバ
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
US20210355580A1 (en) * 2020-05-13 2021-11-18 Tokyo Electron Limited Systems and Methods for Depositing a Layer on a Substrate Using Atomic Oxygen
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220246403A1 (en) * 2021-01-29 2022-08-04 Applied Materials, Inc. Digital control of plasma processing
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62146268A (ja) * 1985-12-20 1987-06-30 Anelva Corp 薄膜製造装置
JPH01246365A (ja) * 1987-11-21 1989-10-02 Kureha Chem Ind Co Ltd 反応ガスの比重差を利用した固体膜の製造方法および装置
JPH05198512A (ja) * 1991-10-04 1993-08-06 Ulvac Japan Ltd 光cvd装置
KR20010007431A (ko) * 1999-06-19 2001-01-26 이경수 화학 증착 반응기 및 이를 이용한 박막 형성 방법

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2610556C2 (de) * 1976-03-12 1978-02-02 Siemens AG, 1000 Berlin und 8000 München Vorrichtung zum Verteilen strömender Medien über einen Strömungsquerschnitt
US4612432A (en) * 1984-09-14 1986-09-16 Monolithic Memories, Inc. Etching plasma generator diffusor and cap
US5244501A (en) * 1986-07-26 1993-09-14 Nihon Shinku Gijutsu Kabushiki Kaisha Apparatus for chemical vapor deposition
DE3721636A1 (de) * 1987-06-30 1989-01-12 Aixtron Gmbh Quarzglasreaktor fuer mocvd-anlagen
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US5304279A (en) 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5356673A (en) 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US5279669A (en) * 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
JP3131005B2 (ja) * 1992-03-06 2001-01-31 パイオニア株式会社 化合物半導体気相成長装置
US5292370A (en) * 1992-08-14 1994-03-08 Martin Marietta Energy Systems, Inc. Coupled microwave ECR and radio-frequency plasma source for plasma processing
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
ATE171546T1 (de) 1994-01-31 1998-10-15 Fraunhofer Ges Forschung Verwendung einer miniaturisierten spulenanordnung hergestellt in planartechnologie zur detektion von ferromagnetischen stoffen
US5811022A (en) 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5724015A (en) 1995-06-01 1998-03-03 California Institute Of Technology Bulk micromachined inductive transducers on silicon
US5767628A (en) 1995-12-20 1998-06-16 International Business Machines Corporation Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US5669975A (en) 1996-03-27 1997-09-23 Sony Corporation Plasma producing method and apparatus including an inductively-coupled plasma source
DE69719108D1 (de) * 1996-05-02 2003-03-27 Tokyo Electron Ltd Plasmabehandlungsgerät
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
EP0821395A3 (en) * 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JPH1060673A (ja) * 1996-08-21 1998-03-03 Sony Corp エッチング装置
US5942855A (en) 1996-08-28 1999-08-24 Northeastern University Monolithic miniaturized inductively coupled plasma source
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US20020011215A1 (en) * 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
US6112696A (en) * 1998-02-17 2000-09-05 Dry Plasma Systems, Inc. Downstream plasma using oxygen gas mixture
US6074953A (en) 1998-08-28 2000-06-13 Micron Technology, Inc. Dual-source plasma etchers, dual-source plasma etching methods, and methods of forming planar coil dual-source plasma etchers
WO2000012964A1 (fr) 1998-08-28 2000-03-09 Mitutoyo Corporation Dispositif et procede d'analyse et de generation d'un programme piece destine aux mesures de coordonnees et de proprietes de surface
US6117788A (en) 1998-09-01 2000-09-12 Micron Technology, Inc. Semiconductor etching methods
JP4109809B2 (ja) 1998-11-10 2008-07-02 キヤノン株式会社 酸化チタンを含む細線の製造方法
US6113759A (en) * 1998-12-18 2000-09-05 International Business Machines Corporation Anode design for semiconductor deposition having novel electrical contact assembly
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP3595853B2 (ja) * 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
US6266712B1 (en) 1999-03-27 2001-07-24 Joseph Reid Henrichs Optical data storage fixed hard disk drive using stationary magneto-optical microhead array chips in place of flying-heads and rotary voice-coil actuators
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
JP3668079B2 (ja) 1999-05-31 2005-07-06 忠弘 大見 プラズマプロセス装置
EP1125321B1 (en) * 1999-06-19 2007-08-15 ASM Genitech Korea Ltd. Chemical deposition reactor and method of forming a thin film using the same
SE9903213D0 (sv) 1999-06-21 1999-09-10 Carl Fredrik Carlstroem Dry etching process of compound semiconductor materials
US6306333B1 (en) 1999-06-24 2001-10-23 The Dow Chemical Company Process for making cellulose ethers having reduced yellowing and discoloration
KR100319494B1 (ko) 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
EP1212775A1 (en) * 1999-08-06 2002-06-12 Advanced Energy Industries, Inc. Inductively coupled ring-plasma source apparatus for processing gases and materials and method thereof
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6391146B1 (en) * 2000-04-11 2002-05-21 Applied Materials, Inc. Erosion resistant gas energizer
JP2001284269A (ja) * 2000-04-03 2001-10-12 Shiro Sakai 気相成長装置及び方法
FI20001694A0 (fi) 2000-07-20 2000-07-20 Asm Microchemistry Oy Menetelmä ohutkalvon kasvattamiseksi substraatille
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020104481A1 (en) * 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) * 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6553934B2 (en) 2001-01-03 2003-04-29 Senseability, Inc. Method and apparatus for monitoring milking facility pulsation
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
JP2002371361A (ja) * 2001-06-18 2002-12-26 Japan Pionics Co Ltd 気相成長装置及び気相成長方法
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62146268A (ja) * 1985-12-20 1987-06-30 Anelva Corp 薄膜製造装置
JPH01246365A (ja) * 1987-11-21 1989-10-02 Kureha Chem Ind Co Ltd 反応ガスの比重差を利用した固体膜の製造方法および装置
JPH05198512A (ja) * 1991-10-04 1993-08-06 Ulvac Japan Ltd 光cvd装置
KR20010007431A (ko) * 1999-06-19 2001-01-26 이경수 화학 증착 반응기 및 이를 이용한 박막 형성 방법

Also Published As

Publication number Publication date
US20050092249A1 (en) 2005-05-05
TW578212B (en) 2004-03-01
WO2003016587A1 (en) 2003-02-27
US20030075273A1 (en) 2003-04-24
JP2004538374A (ja) 2004-12-24
US6820570B2 (en) 2004-11-23
KR20040063893A (ko) 2004-07-14

Similar Documents

Publication Publication Date Title
KR100943695B1 (ko) 원자층 증착 반응기
US20130263783A1 (en) Atomic layer deposition reactor
US6720260B1 (en) Sequential electron induced chemical vapor deposition
US8168269B2 (en) Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7138336B2 (en) Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US6417111B2 (en) Plasma processing apparatus
US7033937B2 (en) Apparatus and method for use in manufacturing a semiconductor device
US6858120B2 (en) Method and apparatus for the fabrication of ferroelectric films
US20060137608A1 (en) Atomic layer deposition apparatus
US20040086434A1 (en) Apparatus and method for treating objects with radicals generated from plasma
JP2014515790A (ja) ホットワイヤ原子層堆積装置及び使用方法
US7442615B2 (en) Semiconductor processing system and method
KR20060085334A (ko) 성막 장치 및 성막 방법
US11725278B2 (en) Systems and methods for a plasma enhanced deposition of material on a semiconductor substrate
CN109524289B (zh) 批量型等离子体衬底处理设备
KR100721504B1 (ko) 플라즈마 강화 원자층 증착 장치 및 이를 이용한 박막형성방법
US11626269B2 (en) Chamber seasoning to improve etch uniformity by reducing chemistry
US11183372B2 (en) Batch type plasma substrate processing apparatus
US20230307213A1 (en) Vertically adjustable plasma source
US7867905B2 (en) System and method for semiconductor processing
KR20180014656A (ko) 기판 처리 장치 및 기판 처리 방법
US6858085B1 (en) Two-compartment chamber for sequential processing
TW202245006A (zh) 在低溫度下含矽膜的電漿增強的沉積
JPS58167766A (ja) 化学蒸着装置
KR20080000971A (ko) 원자층 증착 장치

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130117

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140121

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20150119

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160119

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20170119

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180118

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20190116

Year of fee payment: 10