KR20110021698A - 하전 입자 빔 리소그래피에 의해 곡선 캐릭터들을 사용하여 패턴을 형성하고 분할하기 위한 방법 - Google Patents

하전 입자 빔 리소그래피에 의해 곡선 캐릭터들을 사용하여 패턴을 형성하고 분할하기 위한 방법 Download PDF

Info

Publication number
KR20110021698A
KR20110021698A KR1020100083145A KR20100083145A KR20110021698A KR 20110021698 A KR20110021698 A KR 20110021698A KR 1020100083145 A KR1020100083145 A KR 1020100083145A KR 20100083145 A KR20100083145 A KR 20100083145A KR 20110021698 A KR20110021698 A KR 20110021698A
Authority
KR
South Korea
Prior art keywords
shots
series
patterns
shot
pattern
Prior art date
Application number
KR1020100083145A
Other languages
English (en)
Other versions
KR101715807B1 (ko
Inventor
아키라 후지무라
마이클 터커
Original Assignee
디2에스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/603,580 external-priority patent/US7985514B2/en
Application filed by 디2에스, 인코포레이티드 filed Critical 디2에스, 인코포레이티드
Publication of KR20110021698A publication Critical patent/KR20110021698A/ko
Application granted granted Critical
Publication of KR101715807B1 publication Critical patent/KR101715807B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/20Masks or mask blanks for imaging by charged particle beam [CPB] radiation, e.g. by electron beam; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • G03F1/78Patterning of masks by imaging by charged particle beam [CPB], e.g. electron beam patterning of masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • G03F7/2061Electron scattering (proximity) correction or prevention methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/302Controlling tubes by external information, e.g. programme control
    • H01J37/3023Programme control
    • H01J37/3026Patterning strategy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/143Electron beam

Abstract

성형 하전 입자 빔 리소그리피를 사용하는 반도체 생산의 분야에서, 분할 또는 마스크 데이터 준비 또는 근접 효과 보정을 위한 방법 및 시스템이 개시되고, 일련의 곡선 캐릭터 프로젝션 샷들이 하전 입자 빔 라이터 시스템에 대해 결정되어서, 샷들의 세트가 기판 상에 변하는 폭이 가능하게, 연속적인 트랙을 형성할 수 있다. 일련의 곡선 캐릭터 프로젝션 샷들을 사용하여 기판 상에 연속적인 트랙을 형성하기 위한 방법이 또한 개시된다. 곡선 캐릭터 프로젝션 샷들을 사용하여 표면 상에 연속적인 트랙을 형성하는 것에 의해 레티클을 제조하고 실리콘 웨이퍼와 같은 기판을 제조하기 위한 방법이 또한 개시된다.

Description

하전 입자 빔 리소그래피에 의해 곡선 캐릭터들을 사용하여 패턴을 형성하고 분할하기 위한 방법{METHOD FOR FRACTURING AND FORMING A PATTERN USING CURVILINEAR CHARACTERS WITH CHARGED PARTICLE BEAM LITHOGRAPHY}
[0001] 본 출원은 1) 2009년 10월 21에 출원된 발명의 명칭이 "드래그된 샷을 사용하는 성형 하전 입자 빔 라이팅 시스템에 의해 라이팅하기 위해 패턴을 분할하기 위한 방법(Method For Fracturing A Pattern For Writing With A Shaped Charged Particle Beam Writing System Using Dragged Shots)"인 미국 특허 출원 일련 번호 12/603,580의 부분 계속 출원(continuation-in-part)이며, 2) 2009년 8월 26일 출원된 발명의 명칭이 "하전 입자 빔 리소그래피를 사용하여 표면을 제조하기 위한 방법 및 시스템(Method and System For Manufacturing a Surface Using Charged Particle Beam Lithography)"인 미국 가특허 출원 일련번호 61/237,290로부터 우선권을 주장하며, 양쪽 모두 실질적으로 본 발명에서 참조된다.
[0002] 본 개시는 리소그래피, 및 더 상세하게는 하전 입자 빔 라이터 시스템(charged particle beam writer system) 및 레티클, 웨이퍼일 수 있는 표면, 또는 임의의 다른 표면을 제조하기 위해 하전 입자 빔 라이팅 시스템을 사용하기 위한 방법의 설계에 관한 것이다.
[0003] 집적 회로와 같은 반도체 소자의 생산 및 제조에서, 광학 리소그래피는 반도체 소자를 제조하는데 사용될 수 있다. 광학 리소그래피는 레티클로부터 제조되는 리소그래피 마스크 또는 광마스크가 집적회로를 생성할 수 있도록 반도체 또는 실리콘 웨이퍼와 같은 기판에 패턴들을 전달하기 위해 사용되는 인쇄 프로세스이다. 다른 기판들은 평면 패널 디스플레이들 또는 다른 레티클들도 포함할 수 있다. 또한, 극 자외선(EUV) 또는 X선 리소그래피가 광학 리소그래피에 고려되는 타입들이다. 레티클 또는 다중 레티클들은 집적 회로의 개별 레이어(layer)에 상응하는 회로 패턴을 포함할 수 있으며, 이러한 패턴은 포토레지스트 또는 레지스트로 알려진 방사선-민감 물질의 레어어에 의해 코팅된 기판 상에 특정 영역 상으로 이미징될 수 있다. 일단 패턴화된 레어어가 전달되면 에칭, 이온-주입(도핑), 금속화, 산화, 및 폴리싱과 같은 다양한 다른 프로세스들을 받을 수 있다. 이러한 프로세스들은 기판 내의 개별 레어어를 마무리하기 위해 사용된다. 몇몇의 레어어들이 요구된다면, 이후 전체 프로세스 또는 그 변형들이 각각의 새로운 레어어에 대해 반복될 수 있다. 결국, 다중 소자들 또는 집적회로들의 결합이 기판 상에 제공될 것이다. 이러한 집적 회로들은 이후 다이싱(dicing) 또는 소잉(sawing)에 의해 서로로부터 분리될 수 있어서 개별 패키지들 내로 마운팅될 수 있다. 좀 더 일반적인 경우에서, 기판 상의 패턴들은 디스플레이 픽셀들 또는 자기 녹음 헤드들과 같은 인공물들(artifacts)을 형성하는데 사용될 수 있다.
[0004] 집적회로와 같은 반도체 소자의 생산 또는 제조에서, 마스크없는 직접 라이팅(maskless direct write)은 반도체 소자를 제조하는데 또한 사용될 수 있다. 마스크없는 직접 라이팅(Maskless direct write)은 하전 입자 빔 리소그래피가 집적 회로를 생성하기 위해 반도체 또는 실리콘 웨이퍼와 같은 기판에 패턴들을 전달하는데 사용된다. 다른 기판들은 평면 패널 디스플레이들, 나노-타각(nano-imprinting)을 위한 타각 마스크들, 심지어 레티클들을 포함한다. 목표된 패턴들의 레어어가 표면 상에 직접 라이팅되고, 이것은 이 경우에서 또한 기판이다. 일단 패턴화된 레어어가 전달되면 레어어는 에칭, 이온-주입(도핑), 금속화, 산화, 및 폴리싱과 같은 다양한 다른 프로세스들을 받을 수 있다. 이러한 프로세스들은 기판의 개별 레어어를 마무리하도록 사용된다. 몇몇의 레어어들이 요구된다면, 이후 전체 프로세스 또는 그 변형들이 각각의 새로운 레어어에 대해 반복될 수 있다. 몇몇의 레어어들이 광학 리소 그래피를 사용하여 라이팅될 수 있는 반면 다른 것은 동일한 기판을 제조하기 위해 마스크 없는 직접 라이팅을 사용하여 라이팅될 수 있다. 결국, 다중 소자들 또는 집적회로들의 결합이 기판 상에 제공될 것이다. 이러한 집적 회로들은 이후 다이싱(dicing) 또는 소잉(sawing)에 의해 서로로부터 분리될 수 있어서 개별 패키지들 내로 마운팅될 수 있다. 좀 더 일반적인 경우에서, 기판 상의 패턴들은 디스플레이 픽셀들 또는 자기 녹음 헤들과 같은 인공물들(artifacts)을 형성하는데 사용될 수 있다.
[0005] 하전 입자 빔 리소그래피의 2 개의 공통 타입들은 가변 성형 빔(variable shaped beam, VSB) 및 캐릭터 프로젝트(character projection, CP)이다. 이들 양쪽은 성형 빔 하전 입자 빔 리소그래피의 하부 카테고리들이고, 여기에서 정확한 전자 빔이 웨이퍼의 표면 또는 레티클의 표면과 같이, 레지스터-코팅된 표면을 노광시키도록 성형되고 조향(steered)된다. 가변 성형 빔에서, 이러한 성형은 간단한 성형이며, 특정 최소 및 최대 크기들 및 직교 좌표 평면의 축선들에 평행한 면들의 직사각형, 및 특정 최소 및 최대 크기들의 45도, 45도, 및 90도인 3 개의 내각을 갖는 삼각형에 보통 제한된다. 미리 결정된 지역들에서, 전자들의 주입(doses)은 이러한 간단한 성형에 의해 레지스트 내로 샷(shot)된다. CP 하전 입자 빔 리소그래피에서, 시스템에서 직선, 임의의-각진 선형, 원형, 거의 원형, 환형, 거의 환형, 오벌(oval), 거의 오벌, 부분 원형, 부분적으로 거의 원형, 부분적으로 환형, 부분적으로 거의 환형, 부분적으로 거의 오벌, 또는 임의의 곡선의 형상일 수 있는 다양한 개구들 또는 캐릭터들을 갖는 스텐실(stencil)이며, 이는 복잡한 형상들의 연결된 세트 또는 복잡한 형상들의 연결된 세트의 분절된 세트들의 그룹일 수 있다. 전자 빔은 레티클 상의 더 복잡한 패턴들을 효과적으로 생성하기 위해 스텐실 상에 캐릭터를 통해 샷될 수 있다. 이론적으로, 이러한 시스템은 각각의 시간-소모 샷에 의해 더 복잡한 형상들을 슈팅할 수 있기 때문에 VSB 시스템보다 더 빠를 수 있다. 따라서, VSB 시스템에 의한 E-성형 패턴 샷은 4 개의 샷을 취하나 동일한 E-성형 패턴은 캐릭터 프로젝션 시스템에 의해 1개 샷에 의해 샷될 수 있다. VSB 시스템들은 캐릭터 프로젝트의 특별한 (간단한) 경우로서 고려될 수 있고, 여기서 캐릭터들은 단지 간단한 캐릭터들이고, 통상 직사각형들 또는 45-45-90 삼각형들이다. 캐릭터를 부분적으로 노광시키는 것이 또한 가능하다. 이것은 예를 들어 입자 빔의 부분을 블로킹하는 것에 의해 행해질 수 있다. 예를 들어, 전술된 E-성형 패턴은 F-성형 패턴 또는 I-성형 패턴으로서 부분적으로 노광될 수 있고, 여기서 빔의 상이한 부분들이 개구에 의해 컷오프된다. 이것은 다양한 크기의 직사각형들이 VSB를 사용하여 샷될 수 있는 방법과 동일한 동일한 메카니즘이다. 여기 개시에서, 부분 프로젝트는 캐릭터 프로젝션 및 VSB 프로젝션 양쪽을 의미하도록 사용된다.
[0006] 지시된 바와 같이, 광학 리소그래피에서 리소그래피 마스크 또는 레티클은 기판 상에 집적되는 회로 구성요소들에 상응하는 기하적 패턴들을 포함한다. 레티클을 제조하는데 사용되는 패턴들은 캐드(CAD) 소프트웨어 또는 프로그램들을 활용하여 발생될 수 있다. 패턴들을 설계하는데 있어서 캐드 프로그램은 레티클을 생성하기 위해 미리 결정된 설계 규칙들의 세트를 따를 수 있다. 이러한 규칙들은 프로세싱, 설계, 및 최종-사용 제한들에 의해 세팅된다. 최종 사용 제한의 예시는 요구된 공급 전압에서 충분히 작동할 수 없는 방식으로 트랜지스터의 기하형태를 한정하는 것이다. 특히, 설계 규칙은 회로 소자들 또는 상호 연결 라인들 사이에 공간 허용 오차를 한정할 수 있다. 예를 들어, 설계 규칙은 회로 소자들 또는 라인들이 목표되지 않은 방식으로 서로 상호 연결되지 않도록 보장하기 위해 사용된다. 예를 들어, 설계 규칙은 라인들이 단락을 야기할 수 있는 방식으로 서로 너무 밀접해지지 않도록 사용될 수 있다. 다른 것들 사이에서, 설계 규칙 제한은 신뢰할 만하게 제조될 수 있는 가장 작은 치수들을 반영한다. 이러한 작은 치수들을 설명할 때, 보통 임계 치수(critical dimension)의 개념을 도입한다. 예를 들어, 이것들은 라인의 가장 작은 폭 또는 2 개의 라인들 사이의 가장 작은 공간으로서 한정되고, 이러한 치수들은 정교한 제어를 요구한다.
[0007] 광학 리소그래피에 의한 집적 회로 제조에서 하나의 목적은 레티클의 사용에 의해 본래 회로 설계를 기판 상에 재생산하는 것이다. 집적 회로 제조사들은 가능한 한 효율적으로 반도체 웨이퍼 부동산을 사용하기 위해 항상 시도하고 있다. 기술자들은 집적 회로가 더 많은 회로 구성요소들을 포함하고 더 적은 전력을 사용하도록 하기 위해 회로들의 크기를 계속하여 줄이고 있다. 집적 회로 임계 치수는 감소되며 그 회로 밀도는 증가하기 때문에 회로 패턴 또는 물리적 설계의 임계 치수는 광학 리소그래피에서 사용되는 광학 노광 툴의 해상도 한계에 접근한다. 회로 패턴의 임계 치수들이 더 작고 노광 툴의 해상도 값에 접근함에 따라 레지스트 레어어 상에 현상되는 실제 회로 패턴에 대한 물리적 설계의 정확한 복제가 어려워진다. 광학 리소그래피 프로세스에서 사용된 광 파장보다 더 작은 피처(features)를 갖는 패턴들을 전달하기 위해 광학 리소그래피의 추가적인 사용으로, 광학 근접 보정(optical proximity correction, OPC)으로서 알려진 프로세스가 개발되었다. OPC는 근접 피처들에 의한 피처들의 광학 상호 작용 및 광학 회절과 같은 효과에 의해 야기된 왜곡을 보상하도록 물리적 설계를 변경한다. OPC는 레티클에 의해 수행된 모든 해상도 보강 기술들을 포함한다.
[0008] OPC는 원래 물리적 설계 패턴, 즉 상기 설계와 기판 상의 마지막 전달 회로 패턴 사이의 차이를 줄이기 위해 마스크 패턴들에 서브-해상도 리소그래피 피처들(sub-resolution lithographic features)을 추가할 수 있다. 서브-해상도 리소그래피 피처들은 물리적 설계의 원래 패턴들 및 서로 상호작용하고 마지막 전달 회로 패턴을 개선하도록 근접 효과들을 보상한다. 패턴의 전달을 개선하는데 사용되는 하나의 피처는 서브-해상도 어시스트 피처(sub-resolution assist feature, SRAF)이다. 패턴 전달을 개선하기 위해 추가되는 다른 피처는 "세리프들(serifs)"로서 지칭된다. 세리프들은 마지막 전달 이미지에서 코너를 날카롭게 하기 위해 패턴의 코너 상에 위치될 수 있는 작은 피처들이다. SRAFs에 대한 표면 제조 프로세스에 대해 요구되는 정확성이 기판 상에 인쇄되도록 의도된, 종종 주요 피처들로서 지칭되는 패턴들에 대한 정확성보다 적은 경우가 종종 있다. 세리프들은 주요 피처들의 일부이다. 광학 리소그래피의 제한들이 서브-파장 영역(regime) 내로 멀리 확장됨에 따라, OPC 피처들은 훨씬 더 민감한 상호작용들 및 효과들을 보상하기 위해 점점 더 복잡하게 만들어져야만 한다. 이미징 시스템들이 이들의 제한들에 가깝게 강요됨에 따라, 충분하게 미세한 OPC 피처들에 의해 레티클들을 생산하는 능력은 중요하게 되었다. 세리프들 또는 다른 OPC 피처들을 마스크 패턴에 추가시키는 것이 바람직할지라도, 마스크 패턴에서 총 피처 수를 또한 실질적으로 증가시킨다. 예를 들어, 종래 기술들을 사용하여 사각형의 코너들 각각에 세리프를 추가하는 것은 마스크 또는 레티클 패턴에 8개 이상의 직사각형을 추가하는 것이다. OPC 피처들을 추가하는 것은 매우 힘든 작업이며, 비용이 많이 드는 컴퓨터 계산 시간을 요하며, 더 비싼 레티클들의 결과를 가져온다. OPC 패턴들이 복잡할 뿐 아니라, 광학 근접 효과들이 최소 라인 및 공간 치수들에 비해 긴 범위이기 때문에 주어진 위치에서 정확한 OPC는 이웃(neighborhood)하는 곳에서 다른 기하 형태가 무엇인지에 상당히 의존한다. 따라서, 예를 들어, 라인 엔드(line end)는 레티클 상에 그 가까이에 있는 것에 의존하는 상이한 크기 세리프들을 가질 것이다. 이것은 대상(objective)이 웨이퍼 상에 동일한 형성을 정확하게 생산할 수 있을 지라도 그렇다. 이러한 약간이지만 임계의(critical) 변형들이 중요하고 다른 것들이 레티클 패턴들을 형성할 수 있는 것을 방지한다. 주요 피처들, 즉 OPC 장식 전에 설계를 반영하는 피처들, 및 세리프들, 조그들(jogs), 및 SRAF을 포함할 수 있는 OPC 피처들의 견지에서 레티클 상에 라이팅될 OPC-장식된 패턴들을 설명하는 것이 통상적이다. 약간의 변형들(slight variations)이 의미하는 것을 정량하기 위해, 이웃에서 이웃까지 OPC 장식에서 전형적인 약간의 변동은 주요 피처 크기의 5% 내지 80%일 수 있다. 명확성을 위해, OPC의 설계에서 변형들은 참조되는 것이라는 것을 알아야한다. 라인-에지 거칠기 및 코너 라운딩과 같은 제조하는 변형례들이 또한 실제 표면 패턴들에서 제공될 것이다. 이러한 OPC 변형예들이 웨이퍼 상에 동일한 패턴들을 실질적으로 생산할 때, 의미되는 것은 웨이퍼 상의 기하형태가 특정 에러 내에서 동일한 것을 목표한다는 것이고, 이는 작용의 세부사항들에 의존하여, 기하형태가 예컨대 트랜지스터 또는 와이어를 실행하도록 설계된다. 그럼에도 불구하고, 전형적인 사양은 주요 피처 범위의 2%에서 50%에 있다. 변형들을 또한 야기하는 다수의 제조 요소들이 있으나, 전체 에러의 OPC 구성요소는 종종 상기 범위에서 리스트된다. 다양한 설계 규칙들, 예를 들어 서브-해상도 어시스트 피처들과 같은 OPC 형상들은 광학 리소그래피를 사용하여 웨이퍼에 전달될 수 있는 가장 작은 피처의 크기에 기초한 규칙에 종속된다. 다른 설계 규칙들이 마스크 제조 프로세스로부터 비롯될 수 있거나, 캐릭터 프로젝션 하전 입자 빔 라이팅 시스템이 레티클 상의 패턴을 형성하는데 사용된다면, 스텐실 제조 프로세스로부터 비롯될 수 있다. 마스트 상의 SRAF 피처들의 정확한 요구사항이 마스크 상의 주요 피처들에 대한 정확성 요구사항들보다 더 낮을 수 있다는 것을 또한 알아야 한다.
[0009] 인버스 리소그래피 기술(ILT)은 OPC 기술의 한 타입이다. ILT는 레티클에 형성될 패턴이 실리콘 웨이퍼와 같은 기판 상에 형성되도록 목표된 패턴으로부터 직접 컴퓨팅된 프로세스이다. 이것은 입력과 같은 표면 상에 목표된 패턴을 사용하여, 역 방향으로 광학 리소그래피 프로세스를 시뮬레이팅하는 것을 포함한다. ILT-컴퓨팅된 레티클 패턴들은 순수 곡선 - 즉 완전 비-직선 - 일 수 있고 원형, 거의 원형, 환형, 거의 환형, 오벌 및/또는 거의 오벌 패턴들을 포함할 수 있다. 곡선 패턴들이 종래 기술을 사용하여 레티클 상에 형성하기에 어렵고 비용이 많이 들기 때문에, 곡선 패턴들의 직선 근접화가 사용될 수 있다. 이러한 개시에서, ILT, OPC, 소스 마스크 최적화(SMO), 및 컴퓨터 리소그래피는 교환가능하게 사용될 수 있는 용어들이다.
[0010] 광학 리소그래피 또는 하전 입자 빔 시스템들을 사용하는 것을 포함하여, 레티클 상에 패턴들을 형성하기 위해 사용되는 다수의 기술들이 있다. 가장 진보된 노드들에 대한 레티클 라이팅(Reticle writing)은 하전 입자 빔 라이팅의 다중 패스들, 멸티-패스 노광으로 불리는 프로세스를 포함하며, 레티클 상에 주어진 형상이 라이팅되고 겹쳐서 라이팅된다.  전형적으로, 2 개 내지 4 개의 패스들이 레티클을 라이팅하는데 사용되어 하전 입자 빔 시스템에서 정밀 에러들을 평균하고, 더 정확한 포토마스크들의 생성을 허용한다. 이러한 타입의 시스템에 대한 총 라이팅 시간은 다수의 샷들에 의해 증가한다. 레티클 상에 패턴들을 형성하기 위해 사용될 수 있는 제 2 타입의 시스템은 캐릭터 프로젝션 시스템이고, 이는 전술되었다.
[0011] 하전 입자 빔 리소그래피의 비용은 레티클 또는 웨이퍼와 같은 표면 상에 패턴을 노광하는데 요구되는 시간에 관계된다. 통상적으로, 노광 시간은 패턴을 생산하는데 필요한 샷들의 수에 관계된다. 가장 복잡한 집적 회로 설계들에 대해, 레티클들의 세트 상에 또는 기판 상에 레어어 패턴들의 세트를 형성하는 것은 비용이 많이 들고 시간-소모 프로세스이다. 따라서 레티클 및 다른 표면들 상에, 이러한 복잡한 패턴들을 형성하기 위해 요구되는 샷들의 수를 감소시키는 것에 의해서와 같이, 곡선 패턴들과 같은 복잡한 패턴들을 형성하기 위해 요구되는 시간을 감소시킬 수 있는 것이 바람직하다.
[0012] 분할(fracturing) 또는 마스크 데이터 준비(mask data preparation) 또는 근접 효과 보정(proximity effect correction)을 위한 방법 및 시스템이 개시되며, 일련의 곡선 캐릭터 프로젝션들이 하전 입자 빔 라이터 시스템에 대해 결정되어, 샷들의 세트가 표면 상에 변 폭이 가능하게 연속적인 트랙을 형성할 수 있다. 일련의 곡선 캐릭터 프로젝션 샷들을 사용하여 표면 상에 연속적인 트랙을 형성하기 위한 방법이 또한 개시된다.
[0013] 일련의 곡선 캐릭터 프로젝션 샷들을 사용하여 표면 상에 연속적인 트랙을 형성하는 것에 의해 실리콘 웨이퍼와 같은 기판을 제조 및 레티클을 제조하기 위한 방법이 개시된다.
[0014] 도 1은 캐릭터 프로젝션 하전 입자 빔 시스템을 도시하고;
[0015] 도 2a는 단일 원형 CP 샷에 의해 생성된 단면 조사량 곡선(cross-sectional dosage curve) 및 패턴을 도시하고;
[0016] 2A; 도 2b는 도 2a의 조사량 곡선 및 패턴과 유사한 2개의 근접한, 개별적-계산된 패턴들 및 조사량 곡선들을 도시하고;
[0017] 도 2C는 한 쌍의 근접 원형 CP 샷들의 단면 조사량 그래프 및 패턴을 도시하고;
[0018] 도 3a는 일정-폭 타겟 패턴의 일부를 도시하고;
[0019] 도 3b는 도 3a의 패턴을 형성할 수 있는 통상적인 비-중첩 샷들의 세트를 도시하고;
[0020] 도 3c는 단일 원형 CP 샷에 의해 형성될 수 있는 패턴, 및 또한 6 개 근접 CP 샷들의 세트를 도시하고;
[0021] 도 3d는 도 3c로부터 근접 CP 샷들의 세트를 사용하여 형성될 수 있는 트랙을 도시하고;
[0022] 도 3e는 5 개의 근접 CP 샷들의 세트를 도시하고;
[0023] 도 3f는 도 3e로부터 5 개의 근접 CP 샷들의 세트를 사용하여 형성될 수 있는 트랙을 도시하고;
[0024] 도 4a는 평형사변형을 포함하는 타겟 패턴의 예시를 도시하고;
[0025] 도 4b는 오벌 CP 캐릭터의 샷에 의해 형성될 수 있는 패턴을 도시하고;
[0026] 도 4c는 도 4b와 동일한 오벌 CP 캐릭터의 일련의 7 개 샷들을 도시하고;
[0027] 도 4d는 도 4c의 샷들의 세트에 의해 형성될 수 있는 트랙을 도시하고;
[0028] 도 4e는 최소보다-더 높은 빔 블러 반경(higher-than-minimum beam blur radius)을 사용하여, 도 4c의 샷들의 세트에 의해 형성될 수 있는 다른 트랙을 도시하고,
[0029] 도 5a는 곡선 타겟 패턴의 예시를 도시하고;
[0030] 도 5b는 도 5a의 패턴의 둘레(perimeter)를 형성할 수 있는 일련의 원형 CP 샷들을 도시하고;
[0031] 도 5c는 도 5b의 둘레 샷들의 세트에 의해 형성될 수 있는 패턴을 도시하고
[0032] 도 6a는 트랙을 형성할 수 있는 일련의 3 개의 원형 CP 샷들을 도시하고;
[0033] 도 6b는 일련의 3 개의 CP 샷들로서, 샷들 중 2 개가 원형 CP 캐릭터를 사용하고 샷들 중 하나가 환형 CP 캐릭터를 사용하는 것을 도시하고;
[0034] 도 7은 현재 개시의 예시 방법을 사용하여 레티클 및 포토마스크를 제조하기 위한 개념적인 순서도를 도시하고; 및
[0035] 도 8은 현재 개시의 예시적 방법을 사용하여 기판 상에 패턴을 형성하기 위한 개념적인 순서도를 도시한다.
[0036] 본 개시는 기판 상에 연속적인 트랙을 형성하기 위해 일련의 곡선 CP 샷들을 발생시켜서 노광시키는 것을 기술한다. 일련의 샷들은 공간적 연속을 형성하여 임의의 시간적 순서에 의해 라이팅될 수 있다. 본 개시의 일련의 샷들이 목표된 타겟 패턴을 달성하도록 목표된 2 개 이상으로 총계가 되는 것처럼, 여기서 도시된 다양한 실시예들에 사용된 샷들의 숫자는 단지 예시적인 것이라는 것을 알린다.
[0037] 이제 도면을 참조하면, 유사한 도면 부호들은 유사한 항목을 나타내고, 도 1은 하전 입자 빔 라이터 시스템, 이 경우에서 표면(130)을 제조하기 위해 캐릭터 프로젝션을 채용하는 전자 빔 라이터 시스템과 같은 통상적인 리소그래피 시스템(100)의 실시예를 도시한다. 전자 빔 라이터 시스템(100)은 구경 플레이트(116) 쪽으로 전자 빔(114)을 투사하는 전자 빔 소스(112)를 갖는다. 상기 플레이트(116)는 전자 빔(114)이 통과하도록 하는 그 내에 형성된 개구(118)를 갖는다. 전자 빔(114)은 개구(118)를 관통해 통과하면 렌즈들(미도시)의 시스템에 의해 전자 빔(120)으로서 다른 직사각형 개구 플레이트 또는 스텐실 마스크(122) 쪽으로 지향되거나 편향된다. 스텐실(122)은 그 내에 다양한 타입들의 캐릭터들(126)을 한정하는 다수의 구멍들 또는 개구들(124)을 형성하였다.  스텐실(122)에 형성된 각각의 캐릭터(126)는 실리콘 웨이퍼, 레티클 또는 다른 기판과 같은 기판(132)의 표면(130) 상에 패턴(148)을 형성하는데 사용될 수 있다. 실제 노광, 부분 프로젝션, 부분 캐릭터 프로젝션, 또는 변형가능한 캐릭터 프로젝션으로, 전자 빔(120)은 캐릭터들(126)들 중 하나의 일부만을 충돌하거나 조명하여, 캐릭터(126)의 서브세트인 패턴(148)을 형성하도록 위치될 수 있다. 개구(118)에 의해 한정된 전자 빔(120)의 크기보다 더 작은 각각의 캐릭터(126)에 대해, 어떤 개구도 포함하지 않는 차단 영역(blanking area, 136)이 캐릭터(126)에 인접하도록 설계되어, 전자 빔(120)이 스텐실(122) 상에 원하지 않는 캐릭터를 조명하는 것을 방지한다. 전자 빔(134)은 캐릭터들(126) 중 하나로부터 나와서 캐릭터(126)로부터 패턴의 크기를 감소시키는 전자기 또는 정전기 감소 렌즈(138)를 관통한다. 일반적으로 이용가능한 하전 입자 빔 라이터 시스템에서, 감소 계수는 10과 60 사이이다. 감소된 전자 빔(140)은 감소 렌즈(138)로부터 나오며 패턴(148)으로 표면(130) 상에 일련의 편향기들(142)에 의해 지향되며, 이는 캐릭터(126A)에 상응하는 문자 "H"의 형상인 것과 같이 도시된다.  패턴(148)은 감소 렌즈(138)로 인해 캐릭터(126A)와 비교하여 크기에서 감소된다. 패턴(148)은 전자 빔 시스템(100)의 하나의 샷을 사용하여 도시된다.  이것은 가변 성형 빔(VSB) 프로젝션 시스템 또는 방법을 사용하는 것과 비교하여 패턴(148)을 완성하는데 전체 라이팅 시간을 감소시킨다. 하나의 개구(118)가 플레이트(116)의 하나의 개구보다 더 많을 수 있다.  2 개의 플레이트들(116 및 122)이 이 예시에서 도시된다 할지라도, 단지 하나 또는 2 개 이상의 플레이트들이 있을 수 있고, 각각의 플레이트는 하나 이상의 개구들을 포함한다.  
[0038] 통상적인 하전 입자 빔 라이터 시스템에서 감소 렌즈(138)는 고정 감소 계수를 제공하기 위해 교정된다. 감소 렌즈(138) 및/또는 편향기들(142)는 또한 기판(130)의 평면 상에 빔을 포커싱한다. 표면(130)의 크기가 편향기 플레이트들(142)의 최대 빔 편향 수용력보다 상당히 더 클 수 있다. 이것 때문에, 패턴들은 일련의 줄무늬들(stripes)로 표면상에 정상적으로 라이팅된다. 각각의 줄무늬는 복수의 서브-필드들을 포함하고, 서브 필드는 편향 플레이트(142)의 빔 편향 수용력 내에 있다. 전자 빔 라이터 시스템(100)은 위치 연동 장치(150)를 포함하여 각각의 줄무늬들 및 서브-필드들에 대해 기판(132)의 위치 결정하도록 한다. 통상적인 하전 입자 빔 라이터 시스템의 일 변형에서, 기판(132)은 서브-필드가 노광되는 동안 정적으로 지지되며, 이후에 위치 연동 장치(150)가 다음 서브-필드 위치로 기판(132)을 이동시킨다. 통상의 하전 입자 빔 라이터 시스템의 다른 변형예에서, 기판(132)이 라이팅 프로세스 동안 연속해서 이동한다. 연속적인 이동을 포함하는 이러한 변형에서, 편향 플레이트(142)에 추가하여 기판(132)이 이동됨에 따라 동일한 속력 및 방향으로 빔을 이동시키기 위해 편향 플레이트(미도시)의 다른 세트가 있을 수 있다.
[0039] 기판(130) 상에 합리적인 정확성에 의해 투사될 수 있는 최소 크기 패턴이 전자 빔 라이터 시스템(100) 및 표면(130)과 관련된 다양한 짧은-범위 물리적 효과(short-range physical effects)에 의해 제한되며, 이는 정상적으로 기판(132) 상에 레지스트 코팅을 포함한다. 이러한 효과들은 전방 스캐터링(Forward scattering), 쿨롱 효과, 및 레지스트 확산(resist diffusion)을 포함한다. 빔 블러(Beam blur)는 이러한 짧은-범위 효과들(short-range effects)의 모든것을 포함하도록 사용되는 용어이다. 가장 최근 전자 빔 라이터 시스템은 20 nm 내지 30nm의 범위에서 효과적인 빔 블러를 달성할 수 있다. 전방 스캐터링(Forward scattering)은 총 빔 블러의 1/4 내지 1/2을 구성할 수 있다. 최신 전자 빔 라이터 시스템들은 빔 블러의 구성 조각들 각각을 최소로 줄이기 위해 다수의 장치를 포함한다. 몇몇의 전자 빔 라이터 시스템들은 빔 블러가 전자 빔 라이팅 시스템 상에 이용가능한 최소값으로부터 하나 이상의 더 큰 값들까지, 라이팅 프로세스 동안 변되는 것을 허용할 수 있다.
[0040] 도 2a는 원형 CP 캐릭터를 사용하여 샷에 의해 레지스트-코팅된 표면 상에 형성될 패턴(202)의 예시를 도시한다. 패턴(202)은 샷 아웃라인이라 지칭되며, 이는 단일 샷으로부터 조사량(dosage)에 의해 형성될 수 있는 패턴이다. 본 개시에 걸쳐서, 샷 아웃라인이니 패턴은 샷으로서 지칭될 수 있고, 샷 아웃라인을 형성할 수 있는 샷을 의미한다. 조사량 그래프(210)는 패턴(202)을 통해 라인(204)을 따라 등록된(registered) 조사량(212)을 도시하고, 이러한 조사량은 단면 조사량으로 지칭된다. 조사량 곡선(212)로부터 보여지는 바와 같이, 미리 결정된 "최고(Full)" 조사량이 패턴(202)의 중간 부분에만 등록된다. 또한 레지스트 한계(214)는 조사량 그래프(210)에 도시된다. 레지스트는 레지스트 한계(214) 위에 조사량을 수용하는 영역들에서만 표면 상에 패턴으로서 등록될 것이다. 조사량 곡선(212)은 X-축선 "a" 및 "b"에서 한계(214)와 교차한다. 그러므로 X-축선 "a"은 커넥터(216)에 의해 도시된 바와 같이, 라인(204)을 따라 레지스트에 의해 등록될 최소 X-축선이다. 유사하게 X-축선 "b"는 커넥터(218)에 의해 도시된 바와 같이, 라인(204)을 따라 레지스트에 의해 등록될 최대 X-축선이다.
[0041] 도 2b는 밀접한 근접으로 2 개의 샷들의 예시를 도시한다. 점선 라인 패턴(220)은 원형 CP 캐릭터 샷의 샷 아웃라인이다. 점선 라인 패턴(222)은 다른 원형 CP 캐릭터 샷의 샷 아웃라인이다. 조사량 그래프(230)은 2 개의 곡선들을 도시한다. 조사량 곡선(232)은 라인(224)을 따라 측정될 동안 샷 아웃라인(220)의 단면 조사량을 도시한다. 조사량 곡선(234)은 라인(224)을 따라 측정될 동안 샷 아웃 라인(222)의 단면 조사량을 도시한다. 또한 레지스트 한계(236)는 조사량 그래프(230) 상에 도시된다. 조사량 그래프(230)로부터 보여지는 바와 같이, 조사량 곡선(232) 및 조사량 곡선(234) 중첩은 라인(224)을 따라 몇몇 X-축선에 대해 샷 아웃라인(220) 및 샷 아웃라인(222) 양쪽과 관련된 샷들이 측정 가능한 조사량에 기여할 것을 지시한다. 샷 조사량 곡선들이 중첩되는 경우들에서, 레지스트-커버 표면에 도달하는 총 조사량은 중첩 곡선들에 상응하는 모든 샷들로부터 조사량들의 결합, 예컨대 추가이다. 도 2c는 도 2b의 샷 아웃라인(220) 및 샷 아웃라인(222)에 대한 결합된 조사량 곡선(262)을 보여주는 조사량 그래프(260)를 도시한다. 보여진 바와 같이, 라인(224)을 따라 조사량을 도시한 결합된 조사량 곡선(262)은 "c" 및 "d" 사이의 모든 X-축들에서 한계(264) 위에 있는 조사량을 도시한다. 패턴(250)은 샷 아웃라인(220) 및 샷 아웃라인(222)과 관련된 2 개의 근접 샷들에 의해 레지스트-커버 표면 상에 형성될 것이다. 이러한 패턴에 대한 단면 조사량은 도 2b의 라인(224)에 상응하는 라인(254)을 따라 측정된다. 라인(254)을 따라, 2 개의 근접 샷들이 단일 연결 패턴(250)을 형성한다. X-축 "c"에서 한계(264)에 의해 조사량 곡선(262)의 가장-좌측 교차점은 커넥터(266)에 의해 도시된 바와 같이, 라인(254)을 따라 패턴(250)의 최소 X-축을 결정한다. 커넥터(272)에 의해 도시된 바와 같이, X-축 "d"에서 한계(264)에 의해 조사량 곡선(262)의 가장-우측 교차점이 패턴(250)의 최대 X-축을 결정한다. 보여질 수 있는 바와 같이, 패턴(250)은 샷(220) 및 샷(222)에 대한 원형 CP 캐릭터의 사용으로 인해, Y-축에서 비-일정 높이를 갖는다. 도 2b 및 도 2c는 곡선 CP 캐릭터의 다수의 근접 CP 샷들이 레지스트-커버 표면 상에 단일 패턴을 어떻게 함께 생성할 수 있는지를 도시한다.
[0042] 도 3a는 레지스트-커버 표면 상에 형성될 목표된 패턴(302)의 부분의 예시를 도시한다. 패턴(302)은 더 긴 패턴의 일부이고, 따라서 패턴의 단부들은 미도시된다. 패턴(302)의 에지들은 직교 좌표 평면의 한쪽 축선에 평행하지 않다. 예를 들어, 패턴(302)은 집적 회로 상의 금속 연결 레어어의 부분일 수 있다. 패턴(302)은 또한 하나 또는 연속적인 트랙일 수 있고, 여기서 하나의 트랙은 페인트브러시의 단일 스트로크 - 즉 브랜치 없는 패턴 - 에 의해 형성되는 것으로 기하형태로 시각화될 수 있는 패턴이다. 그러나, 정상적인 페인트 브러시 스트로크와 다르게, 한 트랙의 폭은 그 길이를 따라 가변될 수 있다. 도 3b는 한 그룹의 비-중첩 직사각형 VSB 샷들의 샷 아웃라인들(308)을 도시하고, 예컨대 통상적으로 패턴(302)를 형성하기 위해 결정될 수 있다. 샷 그룹(308)은 15 개의 샷들의 샷 아웃라인들을 보여준다. 통상적인 비-중첩 샷들의 사용은 레지스트가 샷들의 그룹으로부터 등록될 패턴의 결정을 간단하게 할 수 있다. 통상적으로, 한 세트의 비-중첩 샷들은 샷 아웃라인들의 각각의 유니온(union)은 타겟 패턴과 동일해지도록 결정된다. 패턴(302)의 아웃라인이 직교 좌표 평면의 축선들과 평행하게 배향된 직사각형 샷들을 사용하여 정확하게 매칭되지 않을 수 있기 때문에 샷(308)들의 그룹의 샷 아웃라인들의 유니온은 목표된 패턴(302)과 상당히 동일하지 않다.
[0043] 도 3c 내지 도 3f는 타겟 패턴(302)이 일련의 곡선 샷들을 사용하여 형성된 본 개시의 예시적인 방법을 도시한다. 도 3c는 원형 CP 캐릭터를 사용하는 샷 아웃라인(312)의 예시를 도시한다. 도 3c는 또한 패턴(312)과 동일한 캐릭터를 사용하는 샷들의 그룹의 샷 아웃라인들(314)을 도시한다. 샷(314)의 그룹은 6 개의 샷들: 샷(316), 샷(318), 샷(320), 샷(322), 샷(324) 및 샷(326)을 포함한다. 이러한 예시에서 샷 그룹(314)의 모든 샷들은 동일한 조사량을 사용하나, 상이한 조사량들을 사용하는 샷들이 또한 트랙을 형성하기 위해 사용될 수 있다. 샷들이 임의의 시간적 순서로 표면 상에 라이팅될 수 있다 할지라도, 샷들이 공간적으로 연속적이기 때문에 샷들(314)의 그룹은 시리즈를 형성한다. 도 3d는 하나의 형상을 도시하고, 상기 형상은 또한 샷 시리즈(shot series, 314)에 의해 관련된 샷들로부터 표면 상에 형성될 수 있는 트랙이다. 샷 시리즈(314)의 중첩 샷 아웃라인들은 레지스트 응답의 계산을 하며, 따라서 샷 그룹(308)에 의한 것보다 더 상이한, 표면상에 결과적 패턴이 된다. 하전 입자 빔 시뮬레이션은 레지스트에 의해 등록된 패턴(332)을 결정하는데 사용될 수 있다. 일 실시예에서, 하전 입자 빔 시뮬레이션이 2-차원(X 및 Y) 그리드에서 각각의 그리드 위치에 대해 조사량을 계산하여, 조사량 맵(dosage map)이라 불리는 계산된 조사량들의 그리드를 생성하는데 사용될 수 있다. 등록된 패턴(332)에서 "기복 많은" 에지들은 이격된 원형 CP 캐릭터들을 사용하는 것으로부터 비롯된다. 에지들의 "기복(waviness)"은 패턴(332)의 폭에서 변동을 야기한다. 패턴들의 그룹에 대한 폭의 허용 오차는 정상적으로 미리 결정된다. 패턴(332)에서 폭 변동은 원형 CP 샷들을 더 밀접하게 이격시키는 것에 의해 감소될 수 있고, 이는 패턴을 형성하는데 요구되는 샷들의 수를 증가시킬 것이다. 원형 CP 샷들의 더 넓은 이격이 샷의 수 및 이에 따른 패턴을 라이팅하는 시간을 감소시킬 수 있기 때문에, 미리 결정된 폭 허용 오차는 원형 CP 샷들의 최대 수용가능한 이격을 결정하는데 사용될 수 있다. 타겟 패턴(302)과 같은 타겟 패턴들을 형성하기 위해 원형 CP 샷들을 사용하는 장점은 원형이 반지름으로 대칭이라는 것이다. 따라서 원형 CP 캐릭터를 사용하는 효과들은 타겟 패턴의 각도에 상관없이 유사하다. 패턴(332)은 일련의 곡선 CP 샷들이 표면 상에 트랙을 형성하기 위해 어떻게 사용될 수 있는지를 설명하고, 여기서 트랙은 직교 좌표 평면의 축선에 평행하지 않다.
[0044] 도 3e는 몇몇의 중첩 샷들을 갖는 이러한 경우에서, 일련의 샷들이 원형 CP 캐릭터를 사용하여 타겟 패턴(302)과 유사한 패턴을 형성하는데 어떻게 사용될 수 있는지의 다른 예시를 도시한다. 도 3e는 패턴(312)과 동일한 캐릭터를 사용하는 샷들(340)의 그룹의 샷 아웃라인을 도시한다. 샷 그룹(340)은 5 개 샷들: 샷(342), 샷(344), 샷(346), 샷(348) 및 샷(350)을 포함한다. 보여진 바와 같이, 샷 그룹(340)의 샷들의 상대적 이격은 그룹의 샷들 사이에서 다양하다. 예를 들어, 샷(342) 및 샷(344) 사이의 이격은 샷(344) 및 샷(346) 사이의 이격보다 더 적다. 유사하게, 샷(350) 및 샷(348) 사이의 이격은 샷(346) 및 샷(348) 사이의 이격보다 더 적다. 도 3f는 샷 그룹(340)으로부터 레지스트-커버 표면 상에 형성될 수 있는 패턴(360)을 도시한다. 패턴(360)의 기복은 샷 그룹(340)의 샷들의 다양한 이격으로 인해 그 길이를 따라 변한다. 예를 들어, 패턴(360) 내의 국부화된 최소 폭(362)은 샷(342) 및 샷(344) 사이의 이격 때문이다. 패턴(360) 내의 국부화된 최소 폭(364)은 샷(344) 및 샷(346) 사이의 이격 때문이다. 샷(342)와 샷(344) 사이의 이격과 비교하여 샷(344)와 샷(346)사이의 상대적으로 더 큰 이격은 폭(362)에 비교하여 더 적은 폭(364)을 야기한다. 미리 결정된 폭 허용오차의 사용이 단일 트랙의 기복이 일관되게 샷 수를 최적화하는 것을 정상적으로 제안하는 반면, 도 3e 및 도 3f의 예시는 어떠한 조사량 또는 빔 블러 반경 변화들 없이 더 큰 샷 이격이 표면 상의 결과적인 패턴에서 증가된 기복을 어떻게 생성하는지를 설명한다. 따라서 표면 상의 마지막 패턴에 대한 미리 결정된 폭 허용오차는 샷들의 최대 수용가능한 이격을 결정하는데 사용될 수 있다.
[0045] 도 3c를 다시 참조하면, 샷 시리즈(314)의 모든 샷들이 동일한 캐릭터를 사용하여 구성된다 할지라도, 트랙들은 복수의 캐릭터들을 사용하는 일련의 샷들을 사용하여 형성될 수 있다는 것을 유의해야 한다. 일 실시예에서, 원형 CP 캐릭터들의 상이한 크기들은 변하는 중간 폭의 트랙을 생성하여, 일련의 샷들로 샷들의 상이한 서브샷들에 대해 사용될 수 있다. 다른 실시예에서, 단일 CP 캐릭터는 시리즈에서(in the series) 모든 샷들에 대해 사용될 수 있으나, 시리즈에서 샷들의 상이한 서브샷들에 대한 상이한 조사량들에 의해, 또한 변하는 중간 폭의 트랙을 생성한다.
[0046] 도 4a 내지 도 4e는 오벌 캐릭터가 사용되는 본 발명의 다른 실시예를 도시한다. 도 4a는 목표된 패턴 또는 레지스트-코팅 표면 상에 형성될 트랙(402)의 예시를 도시한다. 트랙(402)의 에지들은 직교 좌표 평면의 한 쪽 축선과 평행하지 않다. 예를 들어, 트랙(402)은 집적 회로 상의 금속 연결 레이어의 일부일 수 있다. 도 4b는 오벌 CP 캐릭터의 샷 아웃라인(404)을 도시한다. 도 4c는 샷 아웃라인(404)과 관련된 동일한 오벌 캐릭터를 사용하는 일련의 CP 샷들(410)의 샷 아웃라인들을 도시한다. 샷 시리즈들(410)은 7 개의 중첩 샷들: 샷(412), 샷(414), 샷(416), 샷(418), 샷(420), 샷(422) 및 샷(424)으로 구성된다. 보여질 수 있는 바와 같이, 샷(422)와 샷(424) 사이의 이격은 샷 시리즈들(410)의 인접 샷들의 다른 쌍들 사이의 이격보다 더 적어서, 트랙(402)의 길이와 매칭된다. 도 4d는 정상적인 - 즉 최소 - 빔 블러 반경을 사용하여, 샷 시리즈들(410)로부터 레지스트-코팅 표면 상에 형성될 수 있는 트랙(430)을 도시한다. 상기 트랙(332)와 같이, 트랙(430)의 폭은 그 길이를 따라 변한다. 샷 시리즈들(314)를 형성하기 위해 사용되는 원형 CP 캐릭터와 비교하여, 샷 시리즈들(410)을 형성하기 위해 오벌 CP 캐릭터를 사용하는 장점은 오벌 형상의 사용이 원형 형상의 사용과 비교하여 인접 샷들 사이에서 더 작은 영역의 중첩을 야기한다는 것이다. 샷 시리즈들(410)에서 샷들 사이의 더 작은 영역의 중첩은 샷 시리즈들(314)에 비해 유닛 영역당 조사량을 더 낮춘다. 이것은 샷 시리즈들(314)과 비교하여, 표면이 노광될 때 백 스캐터링(back scattering)과 같은 낮은 레벨의 긴 범위 효과들을 발생시키는 것에 의해 바람직할 수 있다.
[0047] 도 4e는 최소보다-더 높은 빔 블러가 사용될 때 샷 시리즈들(410)로부터 레지스트-코팅 표면에 의해 등록될 수 있는 트랙(440)을 도시한다. 보여지는 바와 같이, 트랙(440)은 트랙(430)보다 더 부드럽다. 특히, 트랙(440)의 최대 폭 및 최소 폭 사이의 차이는 트랙(430)의 최대 폭 및 최소 폭 사이의 차이보다 더 적다. 최소보다-더 높은 빔 블러의 사용은 최소-이용 가능한 빔 블러를 사용하는 것에 의한 것보다 더 빈틈없는 - 즉 더 작은 - 폭 허용 오차까지 트랙들의 형성을 허용할 수 있다.
[0048] 일련의 곡선 샷들은 도 5a 내지 도 5c에 도시된 바와 같이 패턴의 퍼리먼트를 형성하는데 또한 사용될 수 있다. 도 5a는 레지스터-코팅 표면 상에 형성될 곡선 패턴(502)의 예시를 도시한다. 예를 들어, 패턴(502)은 인버스 리소그래피 프로세싱의 출력일 수 있다. 패턴(502)은 4 개의 귀 - 각각의 코너에 하나-을 갖는 것으로 기술될 수 있다. 각각의 귀는 또한 "r"로 표시된 곡률 반경을 갖는다. 도 5b는 패턴(502)의 둘레를 형성하는데 사용될 수 있는 12 개의 원형 샷들의 시리즈(520)의 샷 아웃라인들을 도시한다. 상기 일련의 샷들(520)의 개별적인 샷들의 아웃라인의 반경(524)은 "r"이 되도록 선택되어 최소 샷 수에 의해 패턴(502)의 각각의 귀들을 형성한다. 도 5c는 상기 일련의 샷들(520)에 의해 생성될 수 있는 트랙을 도시한다. 트랙(540)은 어떤 출발 또는 끝이 없는 폐쇄 트랙이다. 원형 CP의 사용은 트랙(540)의 둘레를 형성하도록 하며, 상기 트랙(540)의 둘레는 직사각형(VSB) 샷들의 세트가 사용된다면 더 적은 샷을 사용하여, 미리 결정된 허용 오차 내에서 패턴(502)의 둘레와 매칭된다. 덧붙여, 타겟 패턴의 일부의 내측 반경과 밀접하게 매칭되는 반경에 의해 표면 상에 패턴을 생성할 수 있는 원형 CP 캐릭터 및 조사량의 사용이 샷 수를 또한 감소시킬 수 있다. 시리즈들(520)는 추가적인 샷들과 결합되어 타겟 패턴(502)을 달성하도록 패턴(540)의 내부를 채울 수 있다.
[0049] 도 6a 및 도 6b는 트랙을 형성하는데 있어서 환형 CP 캐릭터의 사용과 원형 CP 캐릭터의 사용의 비교를 도시한다. 도 6a는 3 개의 샷들(600)의 예시적인 일련의 샷 아웃라인들을 도시하며, 이들 결합은 트랙을 형성할 것이다. 샷 시리즈(600)는 샷(602), 샷(604) 및 샷(606)을 포함하며, 이들 모두는 원형 CP 캐릭터를 사용하여 만들어진다. 결과적인 트랙의 아웃라인은 미도시된다. 지역(608) 및 지역(610)은 샷 중첩으로 인하여, 정상적인 조사량을 넘는 조사량을 수용하게 될 영역들이다. 도 6b는 또한 트랙을 형성할 3 개 샷들(630)의 다른 예시적인 일련의 샷 아웃라인들을 도시한다. 샷 시리즈들(630)은 원형 샷(612), 환형 샷(614), 및 원형 샷(616)을 포함한다. 지역(618) 및 지역(620)은 샷 중첩으로 인하여, 정상적인 조사량을 넘는 조사량을 수용할 교차 영역들이다. 보여질 수 있는 바와 같이, 지역(618)의 영역은 지역(608)의 영역보다 더 적다. 유사하게, 지역(620)의 영역은 지역(610)의 영역보다 더 적다. 지역(608)과 비교되는 지역(618) 및 지역(610)과 비교되는 지역(620)의 이러한 더 작은 영역은 더 적은 중첩 조사량이 샷 시리즈(600)에서보다 샷 시리즈(630)에서 레지스트-코팅 표면에 전달될 것을 나타낸다. 예를 들어 샷 시리즈(630)의 낮은 조사량은 샷 시리즈(600)보다 낮은 레벨의 후방 스캐터링(backward scattering)을 발생시키기 위해 선호될 수 있다. 도 6b에 도시된 바와 같이, 환형 샷(614)의 아웃라인의 "홀" 부분인 지역(622)은 패턴으로서 레지스트 상에 등록되지 않아 결과적인 트랙에서 보이드(void)를 발생시킬 수 있다. 지역(622)의 임의의 부분에 의해 수용된 실제 조사량이 샷(612), 샷(614) 및 샷(616)으로부터 조사량들의 결합이기 때문에, 입자 빔 시뮬레이션이 지역(622)의 모든 부분들에서 조사량이 레지스트의 한계를 넘는지를 결정하는데 사용될 수 있다. 입자 빔 시뮬레이션 결과들이 지역(622)의 소정 부분에서 조사량이 레지스트 한계 아래이면, 패턴(622)이 더 작은 홀을 갖도록 더 작은 홀을 갖는 환형 CP 캐릭터가 환형 샷에 대해 대용될 수 있다. 대안적으로, 원형 샷들(612 및 616)에 대한 조사량을 증가시키는 것과 같이, 패턴에서 샷들의 임의의 결합에 대한 조사량은 약간 증가될 수 있다. 또 다른 실시예에서, 직선 트랙들인 트랙들에 대해, 타원형 또는 오벌 홀을 갖는 환형 CP 캐릭터가 사용될 수 있고, 상기 홀의 큰 쪽 또는 더 긴 직경이 트랙의 방향과 정렬된다. 다른 더 복잡한 형상들이 또한 샷(614)에 대해 사용될 수 있다. 도 6a 및 도 6b의 예시는 환형 CP 샷들의 사용이 원형 또는 다른 비-환형 곡선 샷들보다 전체적으로 낮은 조사량에 의해 트랙들의 형성을 허용할 수 있다는 것을 보여준다. 주의깊은 설계는 형성된 패턴들에서 보이드들을 방지할 수 있다.
[0050] 본 개시에서 참조된 곡선 형상들은 원형, 거의 원형, 오벌, 거의 오벌, 타원, 거의 타원, 환형, 거의 환형, 오벌-환형, 거의 오벌-환형, 타원으로 환형, 또는 거의 타원으로 환형에 제한되지 않는다는 것을 유의한다.
[0051] 표면에 의해 수용될 조사량이 계산되어 글리프(glyph)라고 지칭되는 2-차원(X 및 Y) 조사량 맵으로서 저장될 수 있다. 2-차원 조사량 맵 또는 글리프는 글리프를 포함하는 샷들의 부근에 대해 계산된 조사량 값들의 2-차원 그리드이다. 이러한 조사량 맵 또는 글리프는 글리프들의 라이브러리에 저장될 수 있다. 글리프 라이브러리는 설계에서 패턴들의 분할 동안 입력으로서 사용될 수 있다. 예를 들어, 도 4a 및 도 4c를 다시 참조하면, 조사량 맵은 상기 일련의 샷들(410)로부터 계산될 수 있으며, 글리프 라이브러리에 저장될 수 있다. 분할 동안, 입력 패턴들 중 하나가 패턴(402)과 동일한 형상의 패턴이면, 이후 글리프를 포함하는 샷들은 입력 패턴을 형성하기 위해 샷들의 적절한 세트를 결정하는 컴퓨팅 노력을 피하도록 라이브러리로부터 검색될 수 있다. 일련의 글리프들은 파라미터화된 글리프를 생성하기 위해 또한 결합될 수 있다. 파라미터들은 불연속적일 수 있거나 연속적일 수 있다. 예를 들어, 트랙(402)과 같은 패턴들을 형성하기 위해 샷들 및 조사량이 복수의 패턴 길이들에 대해 계산될 수 있으며, 복수의 결과적인 글리프들이 파라미터화된 글리프를 형성하기 위해 결합될 수 있다.
[0052] 도 7은 현재 개시에 따라 포토마스크를 제조하기 위한 방법의 예시적인 개념 순서도(700)를 도시한다. 프로세스에 대한 입력 데이터의 3 개 타입들이 있다: 하전 입자 빔 시스템의 스텐실 상에 CP 캐릭터에 대한 정보인 스텐실 정보(718); 레지스트가 패턴을 등록할 레지스트 조사량 한계와 같은 정보를 포함하는 프로세스 정보(736); 및 레티클 상에 형성될 목표된 패턴(716)의 컴퓨터 표현. 덧붙여, 추기 선택적 단계들(702 내지 712)는 글리프들의 라이브러리의 생성을 포함한다. 글리프들의 라이브러리의 옵션적 생성에서 제 1 단계는 VSB/CP 샷 선택(702)이며, 이에 의해 하나 이상의 VSB 또는 CP 샷들으로서, 특정 조사량을 갖는 각각의 샷은 샷들(704)의 세트를 생성하기 위해 결합된다. 샷들(704)의 세트는 중첩 VSB 샷들 및/또는 중첩 CP 샷들을 포함할 수 있다. 샷들(704)의 세트는 트랙을 형성하는 일련의 곡선 CP샷들을 포함할 수 있다. 샷들의 세트에서 샷들은 특정화된 빔 블러를 또한 가질 수 있다. VSB/CP 샷 선택 단계(702)는 스텐실 상에 사용가능한 CP 캐릭터들에 대한 정보를 포함하는 스텐실 정보(718)를 사용한다. 샷들(704)의 세트는 샷들의 세트의 조사량 맵(708)을 생성하기 위해 하전 입자 빔 시뮬레이션을 사용하여 단계(706)에서 시뮬레이팅된다. 단계(706)은 전방 스캐터링, 레지스트 확산, 쿨롱 효과, 에칭, 포깅(fogging), 로딩, 레지스트 차징, 및 후방 스캐터링을 포함하는 다양한 물리적 현상의 시뮬레이션을 포함할 수 있다. 단계(706)의 결과는 맵에서 각각의 그리드 위치들에 샷들(704)의 세트로부터 결합된 조사량을 표시하는 2-차원 조사량 맵(708)이다. 조사량 맵(708)은 글리프라고 불린다. 단계(710)에서 샷들의 세트에서 각각의 샷들에 대한 정보, 및 이러한 추가적인 글리프의 조사량 맵(708)이 글리프들(712)의 라이브러리로 저장된다. 하나의 실시예에서, 글리프들의 세트는 파라미터화된 글리프로 불리는 한 타입의 글리프로 결합될 수 있다.
[0053] 흐름(700)의 요구되는 일부는 포토마스크의 생성을 포함한다. 단계(720)에서 레티클 또는 레티클 일부에 대한 결합된 조사량 맵이 계산된다. 단계(720)는 레티클 상에 형성될 목표된 패턴(716), 프로세스 정보(736), 스텐실 정보(718), 및 글리프 라이브러리가 생성되었다면 글리프 라이브러리(712)를 입력으로 사용한다. 단계(720)에서 샷 조사량 맵들이 결합될 초기 레티클 맵이 생성될 수 있다. 초기에, 레티클 조사량 맵은 어떠한 샷 조사량 맵 정보도 포함하지 않는다. 일 실시예에서, 레티클 조사량 맵의 그리드 정사각형들이 백스캐터링, 포깅, 또는 로딩과 같은 긴-범위 효과들(long-range effects) - 이는 국부화된 레지스트 현상액 고갈(localized resist developer depletion)의 효과들을 일컫는 용어임-에 대한 평가된 교정에 의해 초기화될 수 있다. 단계(720)는 VSB/CP 샷 선택(722), 또는 글리프 선택(734), 도는 이들 양쪽을 포함할 수 있다. 샷 선택(722)은 레티클 상에 트랙을 형성할 수 있는 일련의 곡선 CP 샷들을 선택하는 것을 포함할 수 있다. VSB 또는 CP 샷이 선택된다면, 샷은 단계(724)에서 하전 입자 빕 시뮬레이션을 사용하여 시뮬레이팅되며 샷의 조사량 맵(726)이 생성된다. 하전된 입자 빔 시뮬레이션이 가우시언(Gaussian)에 의해 형상을 컨벌브(convolve)하는 것을 포함한다. 컨벌류젼은 형상의 이진 함수에 의해 있을 수 있고, 여기서 이진 함수는 포인트가 형상의 내측 또는 외측에 있는지를 졀정한다. 형상은 개구 형상 또는 다중 개구 형상, 또는 그것의 약간 변경된 것일 수 있다. 일 실시예에서, 이러한 시뮬레이션은 일시적인 샷 조사량 맵 캐쉬를 사용할 때와 같이, 동일한 샷의 이전 시뮬레이션의 결과들을 찾아보는 것을 포함할 수 있다. 최소보다-더 높은 빔 블러는 VSB/CP 샷에 대해 특정화될 수 있다. VSB 및 CP 샷들 양쪽은 중첩되는 것이 허용될 수 있으며, 서로에 대해 변하는 조사량들을 가질 수 있다. 글리프가 선택된다면, 글리프의 조사량 맵은 글리프 라이브러리로부터 입력이다. 단계(720)에서, 샷들의 다양한 조사량 맵들 및/또는 글리프들이 레티클 조사량 맵 내로 결합된다. 일 실시예에서, 결합은 조사량들을 추가하는 것에 의해 행해진다. 결과적인 결합 조사량 맵 및 레지스트 특성을 포함하는 프로세스 정보(736)를 사용하여, 레티클 패턴이 계산될 수 있다. 계산된 레티클 패턴이 미리 결정된 허용오차 내에 목표된 패턴(716)과 매칭되고, 이후 결정된 VSB/CP 샷들 및 선택된 글리프들을 구성하는 샷들을 포함하는 결합된 샷 리스트(738)가 출력된다. 계산된 레티클 패턴이 단계(720)에서 계산된 미리 결정된 허용오차 내에 타겟 패턴(716)과 매칭되지 않으면, 선택된 CP 샷들, VSB 샷들 및/또는 글리프들의 세트가 수정되고, 조사량 맵들이 다시 계산되며, 레티클 패턴이 다시 계산된다. 일 실시예에서, 샷들 및/또는 글리프들의 초기 세트가 수정-대-구축(correct-by-construction) 방법으로 결정될 수 있어서, 어떤 샷 또는 글리프 변경들이 요구되지 않는다. 다른 실시예에서, 단계(720)는 선택된 VSB/CP 샷들 및 글리프들에 의해 표시된 샷들의 총수, 또는 하전 입자 빔 총 라이팅 시간, 또는 몇몇 다른 파라미터 중 한쪽을 최소화하기 위해 최적화 기술을 포함한다. 또 다른 실시예에서, VSB/CP 샷 선택(722) 및 글리프 선택(734)은 샷들의 다중 셋트를 발생시키기 위해 수행되며, 이들 각각은 목표된 패턴(716)과 매칭되는 레티클 이미지를 형성할 수 있으나, 정상보다-낮은 조사량에서, 다중-패스 라이팅을 지원한다.
[0054] 결합된 샷 리스트(738)는 선택된 VSB 샷들, 선택된 CP 샷들 및 선택된 글리프들을 구성하는 샷들의 결정된 리스트를 포함한다. 최종 샷 리스트(738)의 모든 샷들은 조사량 정보를 포함한다. 샷들은 또한 빔 블러 사양을 포함한다. 단계(740)에서, 근접 효과 보정(PEC) 및/또는 다른 보정들이 수행될 수 있으나 보정들이 이전 평가들로부터 세밀하게 개선될 수 있다. 따라서, 단계(740)는 입력으로서 결합된 샷 리스트(738)를 사용하며 샷 조사량들이 조절되어 온 최종 샷 리스트(742)를 생산한다. 단계(720)로부터 단계(742)를 통한 단계들의 그룹, 또는 이러한 단계들의 그룹에 대한 서브세트들은 집단적으로 분할 또는 마스크 데이터 준비로 불린다. 최종 샷 리스트(742)는 단계(744)에서 하전 입자 빔 시스템에 의해 사용하여 레티클이 코팅되었던 레지스트를 노광시키고, 이에 의해서 레지스트 상에 패턴(746)을 형성한다. 단계(748)에서 레지스트가 현상된다. 추가적인 프로세싱 단계들(750)를 통해 레티클이 포토마스크(752) 내로 변형된다.
[0055] 도 8은 현재 개시에 따라 실리콘 웨이퍼와 같은 기판을 제조하기 위한 방법의 예시적인 개념 순서도(800)를 도시한다. 프로세스에 대한 입력 데이터의 3 개 타입들이 있다: 하전 입자 빔 시스템의 스텐실 상에 CP 캐릭터에 대한 정보인 스텐실 정보(818); 레지스트가 패턴을 등록할 레지스트 조사량 한계와 같은 정보를 포함하는 프로세스 정보(836); 및 기판 상에 형성될 목표된 패턴(816)의 컴퓨터 표현. 덧붙여, 추기 선택적 단계들(802 내지 812)는 글리프들의 라이브러리의 생성을 포함한다. 글리프들의 라이브러리의 옵션적 생성에서 제 1 단계는 VSB/CP 샷 선택(802)이며, 이에 의해 하나 이상의 VSB 또는 CP 샷들으로서, 특정 조사량을 갖는 각각의 샷은 샷들(804)의 세트를 생성하기 위해 결합된다. 샷들(804)의 세트는 중첩 VSB 샷들 및/또는 중첩 CP 샷들을 포함할 수 있다. 샷들(804)의 세트는 트랙을 형성하는 일련의 곡선 CP샷들을 포함할 수 있다. 샷들의 세트에서 샷들은 특정화된 빔 블러를 또한 가질 수 있다. VSB/CP 샷 선택 단계(802)는 스텐실 상에 사용가능한 CP 캐릭터들에 대한 정보를 포함하는 스텐실 정보(818)를 사용한다. 샷들(804)의 세트는 샷들의 세트의 조사량 맵(808)을 생성하기 위해 하전 입자 빔 시뮬레이션을 사용하여 단계(806)에서 시뮬레이팅된다. 단계(806)은 전방 스캐터링, 레지스트 확산, 쿨롱 효과, 에칭, 포깅(fogging), 로딩, 레지스트 차징, 및 후방 스캐터링을 포함하는 다양한 물리적 현상의 시뮬레이션을 포함할 수 있다. 단계(806)의 결과는 맵에서 각각의 그리드 위치들에 샷들(804)의 세트로부터 결합된 조사량을 표시하는 2-차원 조사량 맵(808)이다. 조사량 맵(808)은 글리프라고 불린다. 단계(810)에서 샷들의 세트에서 각각의 샷들에 대한 정보, 및 이러한 추가적인 글리프의 조사량 맵(808)이 글리프들(812)의 라이브러리로 저장된다. 하나의 실시예에서, 글리프들의 세트는 파라미터화된 글리프로 불리는 한 타입의 글리프로 결합될 수 있다.
[0056] 흐름(800)의 요구되는 일부는 레지스트-커버 기판 상에 패턴의 생성을 포함한다. 단계(820)에서 기판 또는 기판의 일부에 대한 결합된 조사량 맵이 계산된다. 단계(820)는 기판 상에 형성될 목표된 패턴(816), 프로세스 정보(836), 스텐실 정보(818), 및 글리프 라이브러리가 생성되었다면 글리프 라이브러리(812)를 입력으로 사용한다. 단계(820)에서 샷 조사량 맵들이 결합될 초기 기판 조사량 맵이 생성될 수 있다. 초기에, 기판 조사량 맵은 어떠한 샷 조사량 맵 정보도 포함하지 않는다. 일 실시예에서, 기판 조사량 맵의 그리드 정사각형들이 백스캐터링, 포깅, 또는 로딩과 같은 긴-범위 효과들(long-range effects)에 대한 평가된 교정에 의해 초기화될 수 있다. 단계(820)는 VSB/CP 샷 선택(822), 또는 글리프 선택(834), 도는 이들 양쪽을 포함할 수 있다. 샷 선택(822)은 기판 상에 트랙을 형성할 수 있는 일련의 곡선 CP 샷들을 선택하는 것을 포함할 수 있다. VSB 또는 CP 샷이 선택된다면, 샷은 단계(824)에서 하전 입자 빕 시뮬레이션을 사용하여 시뮬레이팅되며 샷의 조사량 맵(826)이 생성된다. 하전된 입자 빔 시뮬레이션이 가우시언(Gaussian)에 의해 형상을 컨벌브(convolve)하는 것을 포함한다. 컨벌류젼은 형상의 이진 함수에 의해 있을 수 있고, 여기서 이진 함수는 포인트가 형상의 내측 또는 외측에 있는지를 졀정한다. 형상은 개구 형상 또는 다중 개구 형상, 또는 그것의 약간 변경된 것일 수 있다. 일 실시예에서, 이러한 시뮬레이션은 일시적인 샷 조사량 맵 캐쉬를 사용할 때와 같이, 동일한 샷의 이전 시뮬레이션의 결과들을 찾아보는 것을 포함할 수 있다. 최소보다-더 높은 빔 블러는 VSB/CP 샷에 대해 특정화될 수 있다. VSB 및 CP 샷들 양쪽은 중첩되는 것이 허용될 수 있으며, 서로에 대해 변하는 조사량들을 가질 수 있다. 글리프가 선택된다면, 글리프의 조사량 맵은 글리프 라이브러리로부터 입력이다. 단계(820)에서, 샷들의 다양한 조사량 맵들 및/또는 글리프들이 기판 조사량 맵 내로 결합된다. 일 실시예에서, 결합은 조사량들을 추가하는 것에 의해 행해진다. 결과적인 결합 조사량 맵 및 레지스트 특성을 포함하는 프로세스 정보(836)를 사용하여, 기판 패턴이 계산될 수 있다. 계산된 기판 패턴이 미리 결정된 허용오차 내에 목표된 패턴(816)과 매칭되고, 이후 결정된 VSB/CP 샷들 및 선택된 글리프들을 구성하는 샷들을 포함하는 결합된 샷 리스트(838)가 출력된다. 계산된 기판 패턴이 단계(820)에서 계산된 미리 결정된 허용오차 내에 타겟 패턴(816)과 매칭되지 않으면, 선택된 CP 샷들, VSB 샷들 및/또는 글리프들의 세트가 수정되고, 조사량 맵들이 다시 계산되며, 기판 패턴이 다시 계산된다. 일 실시예에서, 샷들 및/또는 글리프들의 초기 세트가 수정-대-구축(correct-by-construction) 방법으로 결정될 수 있어서, 어떤 샷 또는 글리프 변경들이 요구되지 않는다. 다른 실시예에서, 단계(820)는 선택된 VSB/CP 샷들 및 글리프들에 의해 표시된 샷들의 총수, 또는 하전 입자 빔 총 라이팅 시간, 또는 몇몇 다른 파라미터 중 한쪽을 최소화하기 위해 최적화 기술을 포함한다. 또 다른 실시예에서, VSB/CP 샷 선택(822) 및 글리프 선택(834)은 샷들의 다중 셋트를 발생시키기 위해 수행되며, 이들 각각은 목표된 패턴(816)과 매칭되는 레티클 이미지를 형성할 수 있으나, 정상보다-낮은 조사량에서, 다중-패스 라이팅을 지원한다.
[0057] 결합된 샷 리스트(838)는 선택된 VSB 샷들, 선택된 CP 샷들 및 선택된 글리프들을 구성하는 샷들의 결정된 리스트를 포함한다. 최종 샷 리스트(838)의 모든 샷들은 조사량 정보를 포함한다. 샷들은 또한 빔 블러 사양을 포함한다. 단계(840)에서, 근접 효과 보정(PEC) 및/또는 다른 보정들이 수행될 수 있으나 보정들이 이전 평가들로부터 세밀하게 개선될 수 있다. 따라서, 단계(840)는 입력으로서 결합된 샷 리스트(838)를 사용하며 샷 조사량들이 조절되어 온 최종 샷 리스트(842)를 생산한다. 단계(820)로부터 단계(842)를 통한 단계들의 그룹, 또는 이러한 단계들의 그룹에 대한 서브세트들은 집단적으로 분할 또는 마스크 데이터 준비로 불린다. 최종 샷 리스트(842)는 단계(844)에서 하전 입자 빔 시스템에 의해 사용하여 기판이 코팅되었던 레지스트를 노광시키고, 이에 의해서 레지스트 상에 패턴(846)을 형성한다.
[0058] 본 개시에서 기술된 분할, 마스크 데이터 준비, 및 근접 효과 보정 흐름들은 컴퓨팅 장치들과 같은 적절한 컴퓨터 소프트웨어에 의해 일반적인-목적의 컴퓨터들을 사용하여 수행될 수 있다. 요구되는 큰 계산 양으로 인하여, 다중 컴퓨터들 또는 프로세서 코어들이 또한 병렬로 사용될 수 있다. 일 실시예에서, 병렬 프로세싱을 지원하기 위해, 컴퓨터 계산들이 상기 흐름에서 하나 이상의 컴퓨터 계산-집약 단계들을 위해 복수의 2-차원 기하형태 지역들로 세분될 수 있다. 다른 실시예에서, 단독 또는 다중으로 사용되는 특정-목적 하드웨어 장치가 일반-목적 컴퓨터들 또는 프로세서 코어들을 사용하는 것보다 더 큰 속도에 의해 하나 이상의 단계들의 컴퓨터 계산을 수행하는데 사용될 수 있다. 일 실시예에서, 본 개시에서 기술된 최적화 및 시뮬레이션 프로세스들은 가능한 솔루션들을 수정하고 다시 계산하는 반복적인 프로세스들을 포함하여, 샷들의 총 수, 또는 하전 입자 빔 라이팅 총시간, 또는 몇몇의 다른 파라미터 중 한쪽을 최소화 할 수 있다. 다른 실시예에서, 샷들의 초기 세트는 수정-대-구축 방법으로 결정될 수 있어서, 어떤 샷 변경들이 요구되지 않는다.
[0059] 명세서는 특정 실시예들에 대해 상세하게 기술되는 동안, 본 발명이 속한 기술분야에서 통상의 지식을 가진 자가 앞서 말한 것의 이해를 할 때, 이미 이러한 실시예들에 대한 변경들, 변형들, 균등물들을 생각할 수 있다는 것이 이해될 것이다. 기판을 분할, 제조하고, 집적 회로를 제조하기 위한 본 방법에 대한 이러한 및 다른 수정들 및 변형들이 첨부된 청구범위에서 특히 설명되는 본 발명의 사상 및 범주로부터 벗어남 없이, 본 발명이 속한 기술분야에서 통상의 지식을 가진 자에 의해 실행될 수 있다. 더욱이, 본 발명이 속한 기술분야에서 통상의 지식을 가진 자는 전술된 설명이 단지 예시에 의한 것이며, 제한될 의도가 없다는 것을 이해할 것이다. 단계들은 본 발명의 범주로부터 벗어남 없이 본 명세서에서 단계들로부터 추가되고, 제거되거나 수정될 수 있다. 일반적으로, 제공된 임의의 순서도들은 기능을 달성할 기본 작동들의 하나의 가능한 연속물을 지시하도록만 의도되고, 많은 변형들이 가능하다. 따라서, 본 발명의 주 문제는 첨부된 청구범위 및 균등물의 범위 내에 있는 수정들 및 변형들을 커버하도록 의도된다.

Claims (25)

  1. 캐릭터 프로젝션(CP) 하전 입자 빔 리소그래피에 대한 분할 또는 마스크 데이터 준비 또는 근접 효과 보정을 위한 방법으로서,
    기판 상에 형성될 패턴들의 세트를 입력하는 단계;
    사용가능한 캐릭터 프로젝션(CP) 캐릭터들의 세트를 스텐실(stencil) 상에 입력하는 단계 - 상기 세트는 하나 이상의 곡선 캐릭터들을 포함함 - ;
    상기 사용가능한 CP 캐릭터들의 세트에서 하나 이상의 곡선 CP 캐릭터들에 대한 일련의 2 개 이상의 샷들을 결정하는 단계 - 상기 일련의 샷들은 상기 기판 상에 상기 패턴들의 세트에서 패턴의 일부를 포함하는 연속적인 트랙을 형성할 수 있음 -; 및
    상기 일련의 샷들을 출력하는 단계를 포함하는
    캐릭터 프로젝션(CP) 하전 입자 빔 리소그래피에 대한 분할 또는 마스크 데이터 준비 또는 근접 효과 보정을 위한 방법.
  2. 제 1 항에 있어서,
    상기 일련의 샷들에서 한 쌍의 샷들에 대한 샷 아웃라인들이 상기 기판에서 중첩되는
    캐릭터 프로젝션(CP) 하전 입자 빔 리소그래피에 대한 분할 또는 마스크 데이터 준비 또는 근접 효과 보정을 위한 방법.
  3. 제 1 항에 있어서,
    상기 일련의 샷들에서 모든 샷들이 상기 사용가능한 CP 캐릭터들의 세트로 단일 곡선 CP 캐릭터를 사용하는
    캐릭터 프로젝션(CP) 하전 입자 빔 리소그래피에 대한 분할 또는 마스크 데이터 준비 또는 근접 효과 보정을 위한 방법.
  4. 제 1 항에 있어서,
    상기 일련의 샷들에서 상기 샷들에 의해 사용된 상기 하나 이상의 곡선 CP 캐릭터들이 원형 또는 거의 원형인
    캐릭터 프로젝션(CP) 하전 입자 빔 리소그래피에 대한 분할 또는 마스크 데이터 준비 또는 근접 효과 보정을 위한 방법.
  5. 제 1 항에 있어서,
    상기 일련의 샷들에서 상기 샷들에 의해 사용된 상기 하나 이상의 곡선 CP 캐릭터들이 오벌(oval), 거의 오벌, 타원형, 거의 타원형, 환형, 거의 환형, 오벌-환형, 거의 오벌-환형, 타원으로 환형, 또는 거의 타원으로 환형인
    캐릭터 프로젝션(CP) 하전 입자 빔 리소그래피에 대한 분할 또는 마스크 데이터 준비 또는 근접 효과 보정을 위한 방법.
  6. 제 1 항에 있어서,
    상기 트랙이 상기 패턴들의 세트로 완전한 패턴을 형성하는
    캐릭터 프로젝션(CP) 하전 입자 빔 리소그래피에 대한 분할 또는 마스크 데이터 준비 또는 근접 효과 보정을 위한 방법.
  7. 제 1 항에 있어서,
    상기 패턴들이 상기 패턴들의 세트로 패턴의 둘레(perimeter)를 형성하는
    캐릭터 프로젝션(CP) 하전 입자 빔 리소그래피에 대한 분할 또는 마스크 데이터 준비 또는 근접 효과 보정을 위한 방법.
  8. 제 1 항에 있어서,
    상기 트랙이 곡선인
    캐릭터 프로젝션(CP) 하전 입자 빔 리소그래피에 대한 분할 또는 마스크 데이터 준비 또는 근접 효과 보정을 위한 방법.
  9. 제 1 항에 있어서,
    상기 일련의 샷들에서 각각의 샷이 조사량을 포함하고, 상기 일련의 샷들에서 상기 샷들의 조사량이 서로에 대해 변할 수 있으며, 상기 일련의 샷들에서 상기 샷들의 조사량이 후에 조절될 수 있는
    캐릭터 프로젝션(CP) 하전 입자 빔 리소그래피에 대한 분할 또는 마스크 데이터 준비 또는 근접 효과 보정을 위한 방법.
  10. 제 1 항에 있어서,
    상기 일련의 샷들을 결정하는 단계가 상기 일련의 샷들에서 샷에 대한 빔 블러(beam blur) 반경을 결정하는 것을 포함하며, 상기 샷들의 세트를 출력하는 단계가 상기 일련의 샷들에서 샷에 대한 빔 블러 반경 정보를 출력하는 것을 포함하며, 상기 일련의 샷들에서 샷에 대한 상기 결정된 빔 블러는 타겟 하전 입자 빔 라이팅 시스템에 대해 사용가능한 최소 빔 블러보다 더 높은
    캐릭터 프로젝션(CP) 하전 입자 빔 리소그래피에 대한 분할 또는 마스크 데이터 준비 또는 근접 효과 보정을 위한 방법.
  11. 제 1 항에 있어서,
    상기 결정하는 단계는 상기 기판 상에 미리 결정된 패턴 정확성 허용오차를 달성하는 동안 상기 기판 상에 상기 패턴들의 세트를 형성하는데 요구되는 시간을 최소화하는 것을 더 포함하는
    캐릭터 프로젝션(CP) 하전 입자 빔 리소그래피에 대한 분할 또는 마스크 데이터 준비 또는 근접 효과 보정을 위한 방법.
  12. 제 11 항에 있어서,
    상기 패턴들의 세트를 형성하는데 요구되는 시간을 최소화하는 단계는 상기 미리 결정된 패턴 정확성 허용오차 내에서 트랙 폭 변경을 달성하는 동안 샷 수를 최소화하도록 샷들 사이의 이격을 계산하는 것을 포함하는
    캐릭터 프로젝션(CP) 하전 입자 빔 리소그래피에 대한 분할 또는 마스크 데이터 준비 또는 근접 효과 보정을 위한 방법.
  13. 제 1 항에 있어서,
    상기 결정하는 단계가 하전 입자 빔 시뮬레이션을 사용하는 것을 포함하는
    캐릭터 프로젝션(CP) 하전 입자 빔 리소그래피에 대한 분할 또는 마스크 데이터 준비 또는 근접 효과 보정을 위한 방법.
  14. 제 13 항에 있어서,
    상기 하전 입자 빔 시뮬레이션이 전방 스캐터링(forward scattering), 후방 스캐터링, 레지스트 확산(resist diffusion), 쿨롱 효과, 에칭, 포킹(fogging), 로딩 및 레지스트 차징(resist charging)으로 구성되는 그룹 중 하나 이상을 포함하는
    캐릭터 프로젝션(CP) 하전 입자 빔 리소그래피에 대한 분할 또는 마스크 데이터 준비 또는 근접 효과 보정을 위한 방법.
  15. 기판 상에 패턴들의 세트를 형성하기 위한 방법으로서,
    하전 입자 빔 소스를 제공하는 단계;
    스텐실 상에 캐릭터 프로젝션(CP) 캐릭터들의 세트를 제공하는 단계 -상기 세트는 하나 이상의 곡선 캐릭터들을 포함함-; 및
    상기 사용가능한 CP 캐릭터들의 세트에서 하나 이상의 곡선 CP 캐릭터들에 대한 일련의 2 개 이상의 샷들을 노광시키는 단계 - 상기 일련의 샷들은 상기 기판 상에 상기 패턴들의 세트에서 패턴의 일부를 포함하는 연속적인 트랙을 형성할 수 있음 -를 포함하는
    기판 상에 패턴들의 세트를 형성하기 위한 방법.
  16. 제 15 항에 있어서,
    상기 일련의 샷들에서 한 쌍의 샷들의 샷 아웃라인이 상기 기판 상에 중첩되는
    기판 상에 패턴들의 세트를 형성하기 위한 방법.
  17. 제 15 항에 있어서,
    상기 일련의 샷들에서 모든 샷들이 상기 사용가능한 CP 캐릭터들의 세트로 단일 곡선 CP 캐릭터를 사용하는
    기판 상에 패턴들의 세트를 형성하기 위한 방법.
  18. 제 15 항에 있어서,
    상기 일련의 샷들에서 상기 샷들에 의해 사용된 상기 하나 이상의 곡선 캐릭터들이 원형 또는 거의 원형인
    기판 상에 패턴들의 세트를 형성하기 위한 방법.
  19. 제 15 항에 있어서,
    상기 일련의 샷들에서 상기 샷들에 의해 사용된 상기 하나 이상의 곡선 CP 캐릭터들이 오벌(oval), 거의 오벌, 타원형, 거의 타원형, 환형, 거의 환형, 오벌-환형, 거의 오벌-환형, 타원으로 환형, 또는 거의 타원으로 환형인
    기판 상에 패턴들의 세트를 형성하기 위한 방법.
  20. 제 15 항에 있어서,
    상기 트랙이 상기 패턴들의 세트로 패턴의 둘레를 포함하는
    기판 상에 패턴들의 세트를 형성하기 위한 방법.
  21. 제 15 항에 있어서,
    상기 트랙이 곡선인
    기판 상에 패턴들의 세트를 형성하기 위한 방법.
  22. 제 15 항에 있어서,
    상기 일련의 샷들에서 각각의 샷이 빔 블러 반경을 포함하며, 상기 일련의 샷들에서 하나 이상의 샷이 최소보다-더 높은(higher-than-minimum) 빔 블러로 만들어지는
    기판 상에 패턴들의 세트를 형성하기 위한 방법.
  23. 제 15 항에 있어서,
    상기 표면이 반도체 웨이퍼이고, 집적회로를 제조하기 위해 상기 웨이퍼 상에 상기 패턴들의 세트를 사용하는 것을 더 포함하는
    기판 상에 패턴들의 세트를 형성하기 위한 방법.
  24. 기판 상에 반도체 소자를 제조하기 위한 방법으로서,
    패턴들의 세트를 포함하는 포토마스크를 제공하는 단계 -상기 포토마스크는 레티클을 사용하여 상기 레티클 상에 상기 패턴들의 세트에서 패턴의 일부를 포함하는 연속적인 트랙을 형성하도록 하나 이상의 곡선 CP 캐릭터들에 대한 일련의 2 개 이상의 하전 입자 빔 샷들을 노광시키는 것에 의해 제조됨-; 및
    상기 포토마스크 상에 상기 패턴들을 사용하여 상기 기판 상에 복수의 패턴들을 형성하도록 광학 리소그래피를 사용하는 단계를 포함하는
    기판 상에 반도체 소자를 제조하기 위한 방법.
  25. 성형 빔 하전 입자 빔 리소그래피를 사용하여 분할 또는 마스크 데이터 준비 또는 근접 효과 보정을 위한 시스템으로서,
    표면 상에 형성될 패턴들의 세트를 수용할 수 있는 입력 장치;
    상기 기판 상에 상기 패턴들의 세트에서 패턴의 일부를 포함하는 연속적인 트랙을 형성할 수 있는 하나 이상의 곡선 CP 캐릭터들에 대한 일련의 2 개 이상의 샷들을 결정할 수 있는 컴퓨터 계산 장치; 및
    상기 결정된 일련의 샷들을 출력할 수 있는 출력 장치를 포함하는
    성형 빔 하전 입자 빔 리소그래피를 사용하여 분할 또는 마스크 데이터 준비 또는 근접 효과 보정을 위한 시스템.
KR1020100083145A 2009-08-26 2010-08-26 하전 입자 빔 리소그래피에 의해 곡선 캐릭터들을 사용하여 패턴을 형성하고 분할하기 위한 방법 KR101715807B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US23729009P 2009-08-26 2009-08-26
US61/237,290 2009-08-26
US12/603,580 2009-10-21
US12/603,580 US7985514B2 (en) 2009-10-21 2009-10-21 Method for fracturing a pattern for writing with a shaped charged particle beam writing system using dragged shots
US12/618,722 US8039176B2 (en) 2009-08-26 2009-11-14 Method for fracturing and forming a pattern using curvilinear characters with charged particle beam lithography
US12/618,722 2009-11-14

Publications (2)

Publication Number Publication Date
KR20110021698A true KR20110021698A (ko) 2011-03-04
KR101715807B1 KR101715807B1 (ko) 2017-03-13

Family

ID=43567691

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100083145A KR101715807B1 (ko) 2009-08-26 2010-08-26 하전 입자 빔 리소그래피에 의해 곡선 캐릭터들을 사용하여 패턴을 형성하고 분할하기 위한 방법

Country Status (6)

Country Link
US (6) US8039176B2 (ko)
EP (1) EP2302659A3 (ko)
JP (1) JP5749905B2 (ko)
KR (1) KR101715807B1 (ko)
CN (1) CN102023489A (ko)
TW (1) TWI526788B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140041736A (ko) * 2011-06-25 2014-04-04 디2에스, 인코포레이티드 하전된 입자 빔 리소그래피로 패턴들을 형성하기 위한 방법 및 시스템
US10431422B2 (en) 2012-04-18 2019-10-01 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9323140B2 (en) 2008-09-01 2016-04-26 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US8669023B2 (en) 2008-09-01 2014-03-11 D2S, Inc. Method for optical proximity correction of a reticle to be manufactured using shaped beam lithography
US20120219886A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US7901850B2 (en) 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US8473875B2 (en) 2010-10-13 2013-06-25 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
US20130070222A1 (en) * 2011-09-19 2013-03-21 D2S, Inc. Method and System for Optimization of an Image on a Substrate to be Manufactured Using Optical Lithography
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US8057970B2 (en) 2008-09-01 2011-11-15 D2S, Inc. Method and system for forming circular patterns on a surface
US8062813B2 (en) 2008-09-01 2011-11-22 D2S, Inc. Method for design and manufacture of a reticle using a two-dimensional dosage map and charged particle beam lithography
US8039176B2 (en) * 2009-08-26 2011-10-18 D2S, Inc. Method for fracturing and forming a pattern using curvilinear characters with charged particle beam lithography
US9164372B2 (en) 2009-08-26 2015-10-20 D2S, Inc. Method and system for forming non-manhattan patterns using variable shaped beam lithography
TWI496182B (zh) * 2009-08-26 2015-08-11 D2S Inc 以可變束模糊技術使用帶電粒子束微影術製造表面之方法及系統
US9448473B2 (en) 2009-08-26 2016-09-20 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
JP2013508973A (ja) * 2009-10-21 2013-03-07 ディー・ツー・エス・インコーポレイテッド 荷電粒子ビームリソグラフィを用いて表面上にパターンを形成するための方法およびシステム
US8221939B2 (en) 2009-12-26 2012-07-17 D2S, Inc. Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes having different dosages
US8745555B2 (en) 2010-05-12 2014-06-03 D2S, Inc. Method for integrated circuit design and manufacture using diagonal minimum-width patterns
JP5547567B2 (ja) * 2010-06-30 2014-07-16 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置およびその制御方法
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9057956B2 (en) 2011-02-28 2015-06-16 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
WO2012148606A2 (en) * 2011-04-26 2012-11-01 D2S, Inc. Method and system for forming non-manhattan patterns using variable shaped beam lithography
JP5810642B2 (ja) * 2011-06-06 2015-11-11 大日本印刷株式会社 マスクデータ生成方法及びそれを用いたマスクの製造方法
US9034542B2 (en) 2011-06-25 2015-05-19 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
US8719739B2 (en) 2011-09-19 2014-05-06 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
WO2013104482A1 (en) * 2012-01-12 2013-07-18 Asml Netherlands B.V. A lithography apparatus, an apparatus for providing setpoint data, a device manufacturing method, a method for providing setpoint data and a computer program
US8745549B2 (en) 2012-02-05 2014-06-03 D2S, Inc. Method and system for forming high precision patterns using charged particle beam lithography
US9038003B2 (en) 2012-04-18 2015-05-19 D2S, Inc. Method and system for critical dimension uniformity using charged particle beam lithography
KR101970685B1 (ko) 2012-08-09 2019-04-19 삼성전자 주식회사 패터닝 방법, 그 패터닝 방법을 이용한 반도체 소자 제조방법, 및 반도체 소자 제조장치
JP6057635B2 (ja) * 2012-09-14 2017-01-11 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP5588493B2 (ja) 2012-10-25 2014-09-10 株式会社アドバンテスト 電子ビーム露光方法
US8812999B2 (en) * 2013-01-02 2014-08-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system of mask data preparation for curvilinear mask patterns for a device
KR102300585B1 (ko) * 2013-03-13 2021-09-09 디2에스, 인코포레이티드 하전 입자 빔 리소그래피를 이용하여 사선 패턴을 형성하기 위한 방법 및 시스템
US8949750B2 (en) * 2013-03-13 2015-02-03 D2S, Inc. Method and system for forming a diagonal pattern using charged particle beam lithography
US8865377B2 (en) 2013-03-13 2014-10-21 D2S, Inc. Method and system for forming a diagonal pattern using charged particle beam lithography
JP6283180B2 (ja) * 2013-08-08 2018-02-21 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
KR102197873B1 (ko) * 2013-08-29 2021-01-04 삼성전자주식회사 전자 빔을 이용하는 패턴 형성 방법 및 이를 수행하는 노광 시스템
EP2869119A1 (en) * 2013-10-30 2015-05-06 Aselta Nanographics Free form fracturing method for electronic or optical lithography using resist threshold control
EP3037878B1 (en) * 2014-12-23 2020-09-09 Aselta Nanographics Method of applying vertex based corrections to a semiconductor design
JP2016122676A (ja) * 2014-12-24 2016-07-07 株式会社アドバンテスト 露光装置および露光方法
JP6590542B2 (ja) * 2015-06-15 2019-10-16 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画方法及び荷電粒子ビーム描画装置
US9805909B1 (en) * 2016-09-20 2017-10-31 Applied Materials Israel Ltd. Method for detecting voids in interconnects and an inspection system
US9990460B2 (en) 2016-09-30 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Source beam optimization method for improving lithography printability
CN108268688B (zh) * 2017-01-04 2021-11-02 复旦大学 一种基于字符投影电子束光刻技术的字符盘优化设计方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03205815A (ja) * 1990-01-08 1991-09-09 Hitachi Ltd 可変整形絞り
JP2000091191A (ja) * 1998-09-09 2000-03-31 Nikon Corp 電子線露光用のマスクと露光装置及び電子線露光方法
JP2003347192A (ja) * 2002-05-24 2003-12-05 Toshiba Corp エネルギービーム露光方法および露光装置
EP1429368A2 (en) * 2002-11-21 2004-06-16 FEI Company Fabrication of three dimensional structures

Family Cites Families (138)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3768124A (en) 1972-01-13 1973-10-30 Textron Inc Nail package improvements for interconnecting the trailing nail of one nail package with the leading nail of another nail package
JPS5425675Y2 (ko) 1974-10-03 1979-08-27
JPS5425675A (en) 1977-07-28 1979-02-26 Nec Corp Electron beam exposure unit
JPS58105910U (ja) 1982-01-11 1983-07-19 オイレス工業株式会社 シ−ト屋根の開閉装置
US4438336A (en) 1982-03-26 1984-03-20 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Corpuscular radiation device for producing an irradiation pattern on a workpiece
JPS608844A (ja) 1983-06-29 1985-01-17 Pioneer Electronic Corp 電子ビームによるレジスト加工方法
US4634871A (en) 1985-01-14 1987-01-06 Hughes Aircraft Company Method and apparatus for spot shaping and blanking a focused beam
US4698509A (en) 1985-02-14 1987-10-06 Varian Associates, Inc. High speed pattern generator for electron beam lithography
US4818885A (en) 1987-06-30 1989-04-04 International Business Machines Corporation Electron beam writing method and system using large range deflection in combination with a continuously moving table
JP2680074B2 (ja) 1988-10-24 1997-11-19 富士通株式会社 荷電粒子ビーム露光を用いた半導体装置の製造方法
US5173582A (en) 1988-10-31 1992-12-22 Fujitsu Limited Charged particle beam lithography system and method
JPH02136489A (ja) 1988-11-18 1990-05-25 Yokoyama Kiso Koji:Kk 竪孔掘削工法及びそれに使用する竪孔掘削機
JPH0620931Y2 (ja) 1989-03-28 1994-06-01 栃木富士産業株式会社 滑り制限差動装置
JPH02280315A (ja) 1989-04-20 1990-11-16 Mitsubishi Electric Corp 電子ビーム直接描画装置
JPH04137520A (ja) 1990-09-28 1992-05-12 Hitachi Ltd 電子線描画装置および描画方法
JPH04196516A (ja) 1990-11-28 1992-07-16 Seiko Epson Corp Eb露光方法および露光装置
JPH0536595A (ja) 1991-08-02 1993-02-12 Fujitsu Ltd 電子線露光方法
JPH05267133A (ja) 1992-03-17 1993-10-15 Hitachi Ltd 斜め図形描画法
JPH05335221A (ja) 1992-05-28 1993-12-17 Fujitsu Ltd 荷電粒子線露光法および露光装置
JPH0620931A (ja) 1992-07-03 1994-01-28 Hitachi Ltd 電子ビーム露光方法
JP3288794B2 (ja) 1992-08-31 2002-06-04 株式会社東芝 荷電ビーム補正方法及びマーク検出方法
JPH0864522A (ja) 1994-06-16 1996-03-08 Nikon Corp 荷電粒子線転写方法
JP3203963B2 (ja) 1994-07-15 2001-09-04 株式会社日立製作所 電子線描画装置及び電子線描画方法
JP3340248B2 (ja) 1994-08-12 2002-11-05 沖電気工業株式会社 電子ビーム露光方法
JPH08195339A (ja) 1995-01-18 1996-07-30 Hitachi Ltd 電子ビーム描画方法
JPH08222504A (ja) 1995-02-14 1996-08-30 Hitachi Ltd 荷電粒子ビーム露光装置
JP3334441B2 (ja) 1995-08-01 2002-10-15 ソニー株式会社 フォトマスク描画用パターンデータ補正方法と補正装置
JP3940824B2 (ja) 1995-08-14 2007-07-04 株式会社ニコン 荷電粒子線によるパターン転写方法および転写装置
JP3038141B2 (ja) 1995-09-19 2000-05-08 ホーヤ株式会社 レジストパターン形成条件決定方法及びレジストパターン形成方法
JPH09260243A (ja) 1996-03-19 1997-10-03 Fujitsu Ltd 荷電粒子ビーム露光方法及び装置
JP2956577B2 (ja) * 1996-03-28 1999-10-04 日本電気株式会社 電子線露光方法
US5825039A (en) 1996-11-27 1998-10-20 International Business Machines Corporation Digitally stepped deflection raster system and method of use thereof
JP3085454B2 (ja) 1997-03-13 2000-09-11 日本電気株式会社 荷電粒子線露光方法
JPH10294255A (ja) 1997-04-17 1998-11-04 Canon Inc 電子ビーム照明装置、および該電子ビーム照明装置を備えた露光装置
JP3350416B2 (ja) 1997-10-01 2002-11-25 株式会社東芝 パターン形成方法
JPH11233401A (ja) 1998-02-09 1999-08-27 Hitachi Ltd 電子線描画方法及び電子線描画装置
JP3076570B2 (ja) 1998-08-24 2000-08-14 松下電子工業株式会社 荷電粒子描画方法及び荷電粒子描画装置
US6218671B1 (en) 1998-08-31 2001-04-17 Nikon Corporation On-line dynamic corrections adjustment method
JP2000269123A (ja) 1999-03-19 2000-09-29 Toshiba Corp 露光パターンデータの生成方法と荷電ビーム露光装置
US6610989B1 (en) 1999-05-31 2003-08-26 Fujitsu Limited Proximity effect correction method for charged particle beam exposure
JP2001013671A (ja) 1999-06-30 2001-01-19 Toshiba Corp パターン形成方法
US6262427B1 (en) 1999-07-15 2001-07-17 Nikon Corporation Variable transmission reticle for charged particle beam lithography tool
US6525328B1 (en) 1999-07-23 2003-02-25 Kabushiki Kaisha Toshiba Electron beam lithography system and pattern writing method
JP2001093809A (ja) * 1999-09-22 2001-04-06 Toshiba Corp パターン描画方法及び荷電ビーム描画装置
US6768124B2 (en) 1999-10-19 2004-07-27 Nikon Corporation Reticle-focus detector, and charged-particle-beam microlithography apparatus and methods comprising same
JP2001144008A (ja) 1999-11-17 2001-05-25 Nec Corp 電子線露光方法、並びにこれに用いるマスク及び電子線露光装置
US6320187B1 (en) * 1999-12-07 2001-11-20 Nikon Corporation Magnification and rotation calibration patterns for particle beam projection system
JP2001185477A (ja) 1999-12-27 2001-07-06 Nikon Corp 荷電粒子線露光方法、荷電粒子線露光装置及び半導体デバイスの製造方法
KR100327343B1 (ko) 2000-01-12 2002-03-06 윤종용 전자빔 리소그래피시 재산란된 전자빔에 의한 선폭변화를보정하는 방법 및 이를 기록한 기록매체
US6815693B2 (en) 2000-02-18 2004-11-09 Nikon Corporation Charged-particle-beam microlithography apparatus and methods including proximity-effect correction
JP2001305720A (ja) 2000-02-18 2001-11-02 Nikon Corp 被転写媒体の製造方法、被転写パターン形成プログラムを記憶した記憶媒体、及び半導体デバイスの製造方法
JP2001313253A (ja) 2000-02-25 2001-11-09 Hitachi Ltd 電子線描画装置及び電子線描画方法
US6433348B1 (en) 2000-07-25 2002-08-13 Applied Materials, Inc. Lithography using multiple pass raster-shaped beam
JP2002050559A (ja) 2000-08-01 2002-02-15 Canon Inc 露光装置及びそれを用いたデバイスの製造方法
JP2002075830A (ja) 2000-08-29 2002-03-15 Nikon Corp 荷電粒子線露光方法、レチクル及びデバイス製造方法
JP2002083763A (ja) 2000-09-08 2002-03-22 Nikon Corp 荷電粒子線露光装置及び半導体デバイスの製造方法
US6372391B1 (en) 2000-09-25 2002-04-16 The University Of Houston Template mask lithography utilizing structured beam
JP3831188B2 (ja) * 2000-09-27 2006-10-11 株式会社東芝 露光処理装置及び露光処理方法
US6557162B1 (en) 2000-09-29 2003-04-29 Numerical Technologies, Inc. Method for high yield reticle formation
JP2002162566A (ja) 2000-11-27 2002-06-07 Nikon Corp 光学系の設計方法,光学系および投影露光装置
KR100594225B1 (ko) 2000-12-27 2006-07-03 삼성전자주식회사 전자빔 노광 방법 및 이를 이용한 패턴 형성 방법
JP2002217088A (ja) 2001-01-17 2002-08-02 Nikon Corp 荷電粒子線露光装置、荷電粒子線露光方法及び半導体デバイスの製造方法
JP2002217092A (ja) 2001-01-22 2002-08-02 Nec Corp レジストパターンの形成方法および半導体装置の製造方法
JP2002329659A (ja) 2001-05-02 2002-11-15 Nikon Corp 荷電粒子線露光方法、荷電粒子線露光装置及びデバイス製造方法
WO2002101463A1 (en) 2001-06-08 2002-12-19 The Penn State Research Foundation Patterning compositions using e-beam lithography and structures and devices made thereby
US20030043358A1 (en) 2001-08-31 2003-03-06 Nikon Corporation Methods for determining focus and astigmatism in charged-particle-beam microlithography
JP2003100591A (ja) 2001-09-21 2003-04-04 Nikon Corp 荷電粒子線露光装置における露光方法、半導体デバイスの製造方法及び荷電粒子線露光装置
US6767674B2 (en) 2001-10-26 2004-07-27 Infineon Technologies Ag Method for obtaining elliptical and rounded shapes using beam shaping
JP4308467B2 (ja) 2001-12-27 2009-08-05 新光電気工業株式会社 露光方法及び露光装置
US6721939B2 (en) 2002-02-19 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd Electron beam shot linearity monitoring
JP4190796B2 (ja) 2002-04-24 2008-12-03 Necエレクトロニクス株式会社 露光原版の作成方法
JP4327497B2 (ja) * 2002-06-26 2009-09-09 株式会社アドバンテスト 電子ビーム露光装置、電子ビーム露光方法、半導体素子製造方法、マスク、及びマスク製造方法
JP4252262B2 (ja) * 2002-07-11 2009-04-08 株式会社オクテック 露光用転写マスクの製造方法
JP2004134447A (ja) 2002-10-08 2004-04-30 Sony Corp 露光方法、マスクおよび半導体装置の製造方法
JP2004273526A (ja) 2003-03-05 2004-09-30 Nikon Corp レチクル作製方法、レチクル及び荷電粒子線露光方法
JP2004304031A (ja) 2003-03-31 2004-10-28 Toshiba Corp マスクスキャン描画方法
JP4091470B2 (ja) 2003-05-06 2008-05-28 株式会社東芝 電子ビーム描画装置および電子ビーム描画方法
JP4046012B2 (ja) * 2003-05-29 2008-02-13 ソニー株式会社 マスク歪データの生成方法、露光方法および半導体装置の製造方法
US7186486B2 (en) 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
JP2005079111A (ja) 2003-08-29 2005-03-24 Semiconductor Leading Edge Technologies Inc 電子線描画データ作成方法、作成装置及び作成プログラム並びに電子線描画装置
US7055127B2 (en) 2003-10-27 2006-05-30 Takumi Technology Corp. Mask data preparation
KR100844872B1 (ko) 2004-03-31 2008-07-09 호야 가부시키가이샤 전자빔 묘화방법 및 리소그래피 마스크의 제조방법
JP4570400B2 (ja) 2004-06-03 2010-10-27 富士通セミコンダクター株式会社 露光データ作成方法及び露光データ作成装置
JP4603305B2 (ja) 2004-07-21 2010-12-22 ルネサスエレクトロニクス株式会社 露光方法、パターン寸法調整方法及び焦点ぼかし量取得方法
US7536664B2 (en) 2004-08-12 2009-05-19 International Business Machines Corporation Physical design system and method
JP2006100336A (ja) 2004-09-28 2006-04-13 Advantest Corp 電子ビーム露光用マスク、電子ビーム露光方法及び電子ビーム露光装置
JP4398342B2 (ja) 2004-10-06 2010-01-13 株式会社東芝 荷電ビーム描画装置及び描画方法
US7908572B2 (en) 2004-10-15 2011-03-15 Takumi Technology Corporation Creating and applying variable bias rules in rule-based optical proximity correction for reduced complexity
JP4476773B2 (ja) 2004-10-28 2010-06-09 株式会社東芝 電子ビーム制御方法および電子ビーム描画装置
US7453063B2 (en) 2004-12-08 2008-11-18 Asml Netherlands B.V. Calibration substrate and method for calibrating a lithographic apparatus
JP2006222230A (ja) 2005-02-09 2006-08-24 Semiconductor Leading Edge Technologies Inc 近接効果補正方法
JP2006294794A (ja) 2005-04-08 2006-10-26 Toshiba Corp 電子ビーム露光装置および電子ビーム露光方法
US20060292501A1 (en) 2005-06-24 2006-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process with an enhanced depth-on-focus
JP4171479B2 (ja) 2005-06-28 2008-10-22 株式会社日立ハイテクノロジーズ 荷電粒子線応用装置及び荷電粒子線応用方法
JP2007043078A (ja) 2005-07-04 2007-02-15 Nuflare Technology Inc 描画装置及び描画方法
JP4808447B2 (ja) 2005-08-01 2011-11-02 株式会社リコー 電子ビーム描画方法及び電子ビーム描画装置
JP4989158B2 (ja) 2005-09-07 2012-08-01 株式会社ニューフレアテクノロジー 荷電粒子線描画データの作成方法及び荷電粒子線描画データの変換方法
KR101330344B1 (ko) * 2005-09-13 2013-11-15 루미네슨트 테크놀로지, 인크. 포토리소그래피용 시스템, 마스크 및 방법
JP2007115999A (ja) 2005-10-21 2007-05-10 Toshiba Corp キャラクタプロジェクション(cp)方式の荷電粒子ビーム露光方法、キャラクタプロジェクション方式の荷電粒子ビーム露光装置及びプログラム
US7176470B1 (en) 2005-12-22 2007-02-13 Varian Semiconductor Equipment Associates, Inc. Technique for high-efficiency ion implantation
US7788628B1 (en) 2006-01-11 2010-08-31 Olambda, Inc. Computational efficiency in photolithographic process simulation
JP4984810B2 (ja) 2006-02-16 2012-07-25 株式会社ニコン 露光方法、露光装置及びフォトマスク
JP4814651B2 (ja) 2006-02-22 2011-11-16 富士通セミコンダクター株式会社 荷電粒子ビーム露光方法及びそれに用いられるプログラム
JP4915502B2 (ja) 2006-03-06 2012-04-11 凸版印刷株式会社 レジストパターンシミュレーション方法
WO2007112465A1 (en) 2006-04-03 2007-10-11 Ims Nanofabrication Ag Particle-beam exposure apparatus with overall-modulation of a patterned beam
JP2007305880A (ja) 2006-05-12 2007-11-22 Toshiba Corp キャラクタパターン抽出方法、荷電粒子ビーム描画方法、及びキャラクタパターン抽出プログラム
US20070280526A1 (en) 2006-05-30 2007-12-06 Irfan Malik Determining Information about Defects or Binning Defects Detected on a Wafer after an Immersion Lithography Process is Performed on the Wafer
JP4843425B2 (ja) 2006-09-06 2011-12-21 エルピーダメモリ株式会社 可変成形型電子ビーム描画装置
JP4378648B2 (ja) 2006-10-06 2009-12-09 エルピーダメモリ株式会社 照射パターンデータ作成方法、マスク製造方法、及び描画システム
US8426832B2 (en) 2006-11-21 2013-04-23 D2S, Inc. Cell projection charged particle beam lithography
US7902528B2 (en) 2006-11-21 2011-03-08 Cadence Design Systems, Inc. Method and system for proximity effect and dose correction for a particle beam writing device
US7772575B2 (en) 2006-11-21 2010-08-10 D2S, Inc. Stencil design and method for cell projection particle beam lithography
JP4903675B2 (ja) 2006-12-29 2012-03-28 株式会社リコー 収差評価方法、収差補正方法、電子線描画装置、電子顕微鏡、原盤、スタンパ、記録媒体、及び構造物
US7550749B2 (en) 2007-03-30 2009-06-23 Tel Epion Inc. Methods and processing systems for using a gas cluster ion beam to offset systematic non-uniformities in workpieces processed in a process tool
GB2451480B (en) 2007-07-31 2011-11-02 Vistec Lithography Ltd Pattern writing on a rotaing substrate
JP5090887B2 (ja) 2007-12-18 2012-12-05 日本電子株式会社 電子ビーム描画装置の描画方法及び電子ビーム描画装置
TW201007383A (en) 2008-07-07 2010-02-16 Brion Tech Inc Illumination optimization
US8062813B2 (en) 2008-09-01 2011-11-22 D2S, Inc. Method for design and manufacture of a reticle using a two-dimensional dosage map and charged particle beam lithography
US7759026B2 (en) * 2008-09-01 2010-07-20 D2S, Inc. Method and system for manufacturing a reticle using character projection particle beam lithography
US8473875B2 (en) 2010-10-13 2013-06-25 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
US7901850B2 (en) * 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US7985514B2 (en) * 2009-10-21 2011-07-26 D2S, Inc. Method for fracturing a pattern for writing with a shaped charged particle beam writing system using dragged shots
JP5676449B2 (ja) 2008-09-01 2015-02-25 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 光近接効果補正、設計およびキャラクタプロジェクションリソグラフィを用いたレチクルの製造のための方法
US8039176B2 (en) * 2009-08-26 2011-10-18 D2S, Inc. Method for fracturing and forming a pattern using curvilinear characters with charged particle beam lithography
US20130070222A1 (en) 2011-09-19 2013-03-21 D2S, Inc. Method and System for Optimization of an Image on a Substrate to be Manufactured Using Optical Lithography
US8017288B2 (en) 2008-09-01 2011-09-13 D2S, Inc. Method for fracturing circular patterns and for manufacturing a semiconductor device
US8017286B2 (en) 2008-09-01 2011-09-13 D2S, Inc. Method for design and manufacture of a reticle using a two-dimensional dosage map and charged particle beam lithography
US7759027B2 (en) * 2008-09-01 2010-07-20 D2S, Inc. Method and system for design of a reticle to be manufactured using character projection lithography
DE102008062450B4 (de) 2008-12-13 2012-05-03 Vistec Electron Beam Gmbh Anordnung zur Beleuchtung eines Substrats mit mehreren individuell geformten Partikelstrahlen zur hochauflösenden Lithographie von Strukturmustern
US8312406B2 (en) 2009-06-22 2012-11-13 Cadence Design Systems, Inc. Method and system performing RC extraction
JP5570774B2 (ja) 2009-08-04 2014-08-13 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置および方法
JP2011040716A (ja) 2009-08-06 2011-02-24 Nikon Corp 露光装置、露光方法、およびデバイス製造方法
US8671366B2 (en) 2009-08-21 2014-03-11 Hitachi High-Technologies Corporation Estimating shape based on comparison between actual waveform and library in lithography process
US8137871B2 (en) 2009-12-26 2012-03-20 D2S, Inc. Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes which expose different surface area
JP5289343B2 (ja) 2010-01-15 2013-09-11 株式会社東芝 露光量決定方法、半導体装置の製造方法、露光量決定プログラムおよび露光量決定装置
US8193005B1 (en) 2010-12-13 2012-06-05 International Business Machines Corporation MEMS process method for high aspect ratio structures
US20120217421A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with overlapping shots
US8719739B2 (en) 2011-09-19 2014-05-06 D2S, Inc. Method and system for forming patterns using charged particle beam lithography

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03205815A (ja) * 1990-01-08 1991-09-09 Hitachi Ltd 可変整形絞り
JP2000091191A (ja) * 1998-09-09 2000-03-31 Nikon Corp 電子線露光用のマスクと露光装置及び電子線露光方法
JP2003347192A (ja) * 2002-05-24 2003-12-05 Toshiba Corp エネルギービーム露光方法および露光装置
EP1429368A2 (en) * 2002-11-21 2004-06-16 FEI Company Fabrication of three dimensional structures

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140041736A (ko) * 2011-06-25 2014-04-04 디2에스, 인코포레이티드 하전된 입자 빔 리소그래피로 패턴들을 형성하기 위한 방법 및 시스템
US10431422B2 (en) 2012-04-18 2019-10-01 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography

Also Published As

Publication number Publication date
US8343695B2 (en) 2013-01-01
US8916315B2 (en) 2014-12-23
JP5749905B2 (ja) 2015-07-15
TW201109862A (en) 2011-03-16
US8283094B2 (en) 2012-10-09
US20130122406A1 (en) 2013-05-16
US8501374B2 (en) 2013-08-06
US20120034554A1 (en) 2012-02-09
US20110053056A1 (en) 2011-03-03
EP2302659A2 (en) 2011-03-30
US20150106772A1 (en) 2015-04-16
US8039176B2 (en) 2011-10-18
CN102023489A (zh) 2011-04-20
EP2302659A3 (en) 2011-05-25
US20130316273A1 (en) 2013-11-28
KR101715807B1 (ko) 2017-03-13
JP2011049556A (ja) 2011-03-10
TWI526788B (zh) 2016-03-21
US20120025108A1 (en) 2012-02-02

Similar Documents

Publication Publication Date Title
US8039176B2 (en) Method for fracturing and forming a pattern using curvilinear characters with charged particle beam lithography
US8137871B2 (en) Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes which expose different surface area
US9400857B2 (en) Method and system for forming patterns using charged particle beam lithography
US8883375B2 (en) Method and system for forming a pattern using charged particle beam lithography with multiple exposure passes
US9625809B2 (en) Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US20130070222A1 (en) Method and System for Optimization of an Image on a Substrate to be Manufactured Using Optical Lithography
KR102005083B1 (ko) 하전 입자 빔 리소그래피를 사용하여 패턴들을 형성하는 방법 및 시스템
US20130205264A1 (en) Method and system for forming high precision patterns using charged particle beam lithography
US9091946B2 (en) Method and system for forming non-manhattan patterns using variable shaped beam lithography
US20120221985A1 (en) Method and system for design of a surface to be manufactured using charged particle beam lithography
WO2011078968A2 (en) Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes
US8221940B2 (en) Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes
US8669023B2 (en) Method for optical proximity correction of a reticle to be manufactured using shaped beam lithography
US9448473B2 (en) Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
US9164372B2 (en) Method and system for forming non-manhattan patterns using variable shaped beam lithography

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20200217

Year of fee payment: 4