KR20140041736A - 하전된 입자 빔 리소그래피로 패턴들을 형성하기 위한 방법 및 시스템 - Google Patents

하전된 입자 빔 리소그래피로 패턴들을 형성하기 위한 방법 및 시스템 Download PDF

Info

Publication number
KR20140041736A
KR20140041736A KR1020147001014A KR20147001014A KR20140041736A KR 20140041736 A KR20140041736 A KR 20140041736A KR 1020147001014 A KR1020147001014 A KR 1020147001014A KR 20147001014 A KR20147001014 A KR 20147001014A KR 20140041736 A KR20140041736 A KR 20140041736A
Authority
KR
South Korea
Prior art keywords
shots
pattern
shot
charged particle
particle beam
Prior art date
Application number
KR1020147001014A
Other languages
English (en)
Other versions
KR101979799B1 (ko
Inventor
아키라 후지무라
잉고 보르크
Original Assignee
디2에스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 디2에스, 인코포레이티드 filed Critical 디2에스, 인코포레이티드
Publication of KR20140041736A publication Critical patent/KR20140041736A/ko
Application granted granted Critical
Publication of KR101979799B1 publication Critical patent/KR101979799B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2037Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/20Masks or mask blanks for imaging by charged particle beam [CPB] radiation, e.g. by electron beam; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • G03F1/78Patterning of masks by imaging by charged particle beam [CPB], e.g. electron beam patterning of masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31761Patterning strategy
    • H01J2237/31764Dividing into sub-patterns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31769Proximity effect correction
    • H01J2237/31771Proximity effect correction using multiple exposure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31776Shaped beam
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/143Electron beam

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Electron Beam Exposure (AREA)

Abstract

하전된 입자 빔 리소그래피를 위한 프랙쳐링 또는 마스크 데이터 준비 또는 마스크 공정 보정을 위한 방법에서, 표면상에 패턴을 형성할 복수의 샷들이 결정되며, 여기에서 샷들은 빔 블러(βf)에서의 변화들에 대한 결과적인 패턴의 민감도를 감소시키기 위해 결정된다. 상기 복수의 샷들에서 적어도 일부 샷들은 다른 샷들을 중첩시킨다. 일부 실시예들에서, βf는 초기 샷 결정 동안 또는 후-처리 단계에서 상기 복수의 샷들에서의 샷 중첩의 양을 제어함으로써 감소된다. βf에 대한 감소된 민감도는 하전된 입자 빔 리소그래피 공정을 위한 공정 윈도우를 확장시킨다.

Description

하전된 입자 빔 리소그래피로 패턴들을 형성하기 위한 방법 및 시스템{METHOD AND SYSTEM FOR FORMING PATTERNS WITH CHARGED PARTICLE BEAM LITHOGRAPHY}
관련 출원들
본 출원은 모든 목적들을 위해 참조로서 여기에 통합되는, "하전된 입자 빔 리소그래피로 패턴들을 형성하기 위한 방법 및 시스템"이라는 제목의, 2011년 6월 25일에 출원된 미국 특허 출원 번호 제13/168,953호에 대한 우선권을 주장한다. 본 출원은 또한 모든 목적들을 위해 참조로서 여기에 통합되는, "하전된 입자 빔 리소그래피를 사용하여 고 정확도 패턴들을 형성하기 위한 방법 및 시스템"이라는 제목의, 2011년 6월 25일에 출원된, 미국 특허 출원 번호 제13/168,954호와 관련된다.
본 발명은 리소그래피에 관한 것으로, 보다 상세하게는 하전된 입자 빔 리소그래피를 사용하여 레티클(reticle), 웨이퍼, 또는 임의의 다른 표면일 수 있는 표면의 설계 및 제조에 관한 것이다.
집적 회로들과 같은, 반도체 디바이스들의 생산 또는 제조에서, 광학 리소그래피는 반도체 디바이스들을 제작하기 위해 사용될 수 있다. 광학 리소그래피는 프린팅 공정(printing process)이며 레티클로부터 제조된 리소그래피 마스크 또는 포토마스크가 집적 회로(I.C.)를 생성하기 위해 반도체 또는 실리콘 웨이퍼와 같은 기판에 패턴들을 전사시키기 위해 사용된다. 다른 기판들은 평판 디스플레이들, 홀로그래픽 마스크들, 또는 심지어 다른 레티클을 포함할 수 있다. 종래의 광학 리소그래피는 193nm의 파장을 가진 광원을 사용하지만, 극 자외선(extreme ultraviolet; EUV) 또는 X-선 리소그래피가 또한 광학 리소그래피의 고려되는 유형들이다. 상기 레티클 또는 다수의 레티클들은 집적 회로의 개개의 층에 대응하는 회로 패턴을 포함할 수 있으며, 이러한 패턴은 포토레지스트 또는 레지스트로서 알려진 방사선-민감성 재료의 층으로 코팅된 기판상에서의 특정 영역으로 이미징될 수 있다. 일단 상기 패터닝된 층이 전사된다면, 상기 층은 에칭, 이온-주입(도핑), 금속화, 산화, 및 연마와 같은 다양한 다른 공정들을 경험할 수 있다. 이들 공정들은 상기 기판에서의 개개의 층을 마무리하기 위해 이용된다. 여러 개의 층들이 요구된다면, 전체 공정 또는 그 변화들은 각각의 새로운 층을 위해 반복될 것이다. 결국, 다수의 디바이스들 또는 집적 회로들의 조합이 기판상에 존재할 것이다. 이들 집적 회로들은 그 후 다이싱(dicing) 또는 소잉(sawing)에 의해 서로 분리될 수 있으며 그 후 개개의 패키지들에 장착될 수 있다. 보다 일반적인 경우에서, 상기 기판상에서의 패턴들은 디스플레이 픽셀들, 홀로그램들, 또는 자기 기록 헤드들과 같은 아티팩트들(artifacts)을 정의하기 위해 사용될 수 있다.
집적 회로들과 같은, 반도체 디바이스들의 생산 또는 제조에서, 비-광학적 방법들이 실리콘 웨이퍼와 같은 기판에 리소그래피 마스크 상에서의 패턴을 전사하기 위해 사용될 수 있다. 나노임프린트 리소그래피(Nanoimprint lithography; NIL)는 비-광학적 리소그래피 공정의 일 예이다. 나노임프린트 리소그래피에서, 리소그래피 마스크 패턴은 상기 표면과 리소그래피 마스크의 접촉을 통해 표면에 전사된다.
집적 회로들과 같은, 반도체 디바이스들의 생산 또는 제조에서, 마스크 없는 직접 기록(maskless direct write)이 또한 반도체 디바이스들을 제작하기 위해 사용될 수 있다. 마스크 없는 직접 기록은 하전된 입자 빔 리소그래피가 집적 회로를 생성하기 위해 반도체 또는 실리콘 웨이퍼와 같은 기판에 패턴들을 전사하기 위해 사용되는 프린팅 공정이다. 다른 기판들은 평판 디스플레이들, 나노-임프린팅(nano-imprinting)을 위한 임프린트 마스크들, 또는 심지어 레티클들을 포함할 수 있다. 층의 원하는 패턴들은, 이 경우에 또한 기판인, 표면상에 직접 기록된다. 일단 상기 패터닝된 층이 전사된다면, 상기 층은 에칭, 이온-주입(도핑), 금속화, 산화, 및 연마와 같은 다양한 다른 공정들을 경험할 수 있다. 이들 공정들은 상기 기판에서 개개의 층을 마무리하기 위해 이용된다. 여러 개의 층들이 요구된다면, 전체 공정 또는 그 변화들은 각각의 새로운 층을 위해 반복될 것이다. 상기 층들의 일부는 다른 것들이 동일한 기판을 제작하기 위해 마스크 없는 직접 기록을 사용하여 기록될 수 있는 반면 광학 또는 비-광학 리소그래피를 사용하여 기록될 수 있다. 또한, 주어진 층의 일부 패턴들은 광학 또는 비-광학 리소그래피를 사용하여 기록될 수 있으며, 다른 패턴들은 마스크 없는 직접 기록을 사용하여 기록될 수 있다. 결국, 다수의 디바이스들 또는 집적 회로들의 조합이 기판상에 존재할 것이다. 이들 집적 회로들은 그 후 다이싱 또는 소잉에 의해 서로 분리되며 그 후 개개의 패키지들에 장착된다. 보다 일반적인 경우에서, 상기 표면상에서의 패턴들은 디스플레이 픽셀들, 홀로그램들, 또는 자기 기록 헤드들과 같은 아티팩트들을 정의하기 위해 사용될 수 있다.
하전된 입자 빔 리소그래피의 두 개의 보편적인 유형들은 가변 성형 빔(variable shaped beam; VSB) 및 캐릭터 투사(character projection; CP)이다. 이것들은 둘 모두 성형 빔 하전된 입자 빔 리소그래피의 서브-카테고리들이며, 여기에서 웨이퍼의 표면 또는 레티클의 표면과 같은, 레지스트-코팅된 표면을 노광시키기 위해 정확한 전자 빔이 성형되고 조종된다. VSB에서, 이들 형상들은 단순한 형상들이며, 보통 특정의 최소 및 최대 크기들이며, 직각 좌표 평면(Cartesian coordinate plane)의(즉, "맨하탄(manhattan)" 방향의) 축들에 평행인 측면들을 가진 직사각형들, 및 특정 최소 및 최대 크기들의 45도 직각 삼각형들(즉, 45도, 45도, 및 90도인 그것들의 3개의 내부각들을 갖는 삼각형들)에 제한된다. 미리 결정된 위치들에서, 전자들의 선량(dose)들은 이들 단순한 형상들을 가진 레지스트로 샷된다. 이러한 유형의 시스템을 위한 총 기록 시간은 샷들의 수에 따라 증가한다. 캐릭터 투사(CP)에서, 직선, 임의-각 선형, 원형, 거의 원형, 고리 모양, 거의 고리 모양, 타원형, 거의 타원형, 부분적으로 원형, 부분적으로 거의 원형, 부분적으로 고리 모양, 부분적으로 거의 고리 모양, 부분적으로 거의 타원형, 또는 임의의 곡선 형상들과 같은 복잡한 형상들일 수 있으며, 복잡한 형상들의 연결된 세트 또는 복잡한 형상들의 연결된 세트의 분리 세트들의 그룹일 수 있는, 다양한 개구부(aperture)들 또는 캐릭터들에서 갖는 시스템에서의 스텐실이 존재한다. 전자 빔은 레티클 상에서 보다 복잡한 패턴들을 효율적으로 생성하기 위해 상기 스텐실 상에서의 캐릭터를 통해 슈팅될 수 있다. 이론적으로, 이러한 시스템은 VSB 시스템보다 더 빠를 수 있고 왜냐하면 그것이 각각의 시간-소모적 샷을 갖고 보다 복잡한 형상들을 슈팅할 수 있기 때문이다. 따라서, VSB 시스템을 갖고 슈팅된 E-형 패턴은 4개의 샷들을 취하지만, 동일한 E-형 패턴은 캐릭터 투사 시스템으로는 하나의 샷을 갖고 슈팅될 수 있다. VSB 시스템들은 캐릭터 투사의 특수한(단순한) 경우로서 생각되어지며, 상기 캐릭터들은 단지 단순한 캐릭터들이며 보통 직사각형들 또는 45-45-90도 삼각형들임을 주의하자. 캐릭터를 부분적으로 노광시키는 것이 또한 가능하다. 이것은 예를 들면, 입자 빔의 일부를 차단함으로써 행해질 수 있다. 예를 들면, 상술된 E-형 패턴은 F-형 패턴 또는 I-형 패턴으로서 부분적으로 노광될 수 있으며, 여기에서 상기 빔의 다른 부분들은 개구부에 의해 컷 오프된다. 이것은 다양한 크기의 직사각형들이 어떻게 VSB를 사용하여 슈팅될 수 있는지와 동일한 메커니즘이다. 본 발명에서, 부분적인 투사는 캐릭터 투사 및 VSB 투사 둘 모두를 의미하기 위해 사용된다.
표시된 바와 같이, 리소그래피에서, 상기 리소그래피 마스크 또는 레티클은 기판상에 통합될 회로 구성요소들에 대응하는 기하학적 패턴들을 포함한다. 상기 레티클을 제조하기 위해 사용된 패턴들은 컴퓨터-보조 설계(computer-aided design; CAD) 소프트웨어 또는 프로그램들을 이용하여 생성될 수 있다. 상기 패턴들을 설계할 때, CAD 프로그램은 레티클을 생성하기 위해 미리-결정된 설계 규칙들의 세트를 따를 수 있다. 이들 규칙들은 공정, 설계, 및 최종-용도 제한들에 의해 설정된다. 최종-용도 제한의 일 예는 그것이 요구된 공급 전압에서 충분하게 동작할 수 없는 방식의 트랜지스터 기하학적 구조를 정의하는 것이다. 특히, 설계 규칙들은 회로 디바이스들 또는 상호 연결 라인들 사이에서의 공간 허용 오차를 정의할 수 있다. 상기 설계 규칙들은 예를 들면, 상기 회로 디바이스들 또는 라인들이 바람직하지 않은 방식으로 서로 상호작용하지 않는 것을 보장하기 위해 사용된다. 예를 들면, 상기 설계 규칙들은 라인들이 단락 회로를 야기할 수 있는 방식으로 서로에게 너무 가깝게 되지 않도록 사용된다. 상기 설계 규칙 제한들은 무엇보다도, 신뢰 가능하게 제조될 수 있는 최소 치수들을 반영한다. 이들 작은 치수들을 언급할 때, 하나는 보통 임계 치수(critical dimension)의 개념을 도입한다. 이것들은, 예를 들면, 하나의 라인의 최소 폭 또는 두 개의 라인들 사이에서의 최소 공간으로서 정의되며, 이들 치수들은 정교한 제어를 요구한다.
광학 리소그래피에 의한 집적 회로 제작에서의 하나의 목표는 상기 레티클의 사용에 의해 상기 기판상에서의 원래 회로 설계를 재생하는 것이다. 집적 회로 제작자들은 항상 가능한 한 효율적으로 반도체 웨이퍼 리얼 에스테이트(real estate)를 사용하려고 시도한다. 엔지니어들은 상기 집적 회로들이 보다 많은 회로 소자들을 포함하도록 및 보다 적은 전력을 사용하도록 허용하기 위해 상기 회로들의 크기를 계속해서 줄어들게 하고 있다. 집적 회로 임계 치수의 크기가 감소되며 그것의 회로 밀도가 증가할수록, 상기 회로 패턴 또는 물리적 설계의 임계 치수는 종래의 광학 리소그래피에 사용된 광학적 노광 툴의 해상도 한계에 도달한다. 상기 회로 패턴이 임계 치수들이 보다 작게 되고 상기 노광 툴의 해상도 값에 도달함에 따라, 레지스트 층 상에 현상된 실제 회로 패턴에 대한 물리적 설계의 정확한 전사는 어려워진다. 광학 리소그래피 공정에 사용된 광 파장보다 작은 피처들을 가진 패턴들을 전사시키기 위한 광학 리소그래피의 사용을 발전시키기 위해, 광학 근접 보정(optical proximity correction; OPC)으로서 알려진 공정이 개발되었다. OPC는 근사 피처(feature)들을 가진 피처들의 광학 회절 및 광학적 상호작용과 같은 영향들에 의해 야기된 왜곡들을 보상하기 위해 물리적 설계를 변경한다. OPC는 레티클로 수행되는 모든 해상도 강화 기술들을 포함한다.
OPC는 원래 물리적 설계 패턴, 즉 설계 및 상기 기판상에서의 최종 전사된 회로 패턴 사이에서의 차이들을 감소시키기 위해 마스크 패턴들에 서브-해상도 리소그래피 피처들을 부가할 수 있다. 상기 서브-해상도 리소그래피 피처들은 물리적 설계에서의 원래 패턴들과 서로 상호작용하며 최종 전사된 회로 패턴을 개선하기 위해 근접성 영향(proximity effect)들을 보상한다. 상기 패턴의 전사를 개선하기 위해 사용되는 하나의 피처는 서브-해상도 보조 피처(sub-resolution assist feature; SRAF)이다. 패턴 전사를 개선하기 위해 부가되는 또 다른 피처는 "셰리프들(serifs)"로서 불리운다. 셰리프들은 최종 전사된 이미지에서의 코너를 날카롭게 하기 위해 패턴의 내부 또는 외부 코너 상에 위치될 수 있는 작은 피처들이다. 그것은 종종 SRAF들에 대한 표면 제조 공정의 요구된 정밀도가 종종 주요 피처들로서 불리우는, 상기 기판상에 프린트하려고 의도되는 패턴들에 대한 것들보다 작은 경우이다. 셰리프들은 주요 피처의 일 부분이다. 광학 리소그래피의 한계들이 서브-파장 영역으로 더 확장됨에 따라, 상기 OPC 피처들은 훨씬 더 섬세한 상호작용들 및 영향들을 보상하기 위해 점점 더 복잡해져야 한다. 이미징 시스템들이 그것들의 한계들에 더 가까이 확장됨에 따라, 충분히 미세한 OPC 피처들을 가진 레티클들을 생산하기 위한 능력은 중대해진다. 마스크 패턴에 셰리프들 또는 다른 OPC 피처들을 부가하는 것이 유리하지만, 그것은 또한 마스크 패턴에서 총 피처 카운트를 상당히 증가시킨다. 예를 들면, 종래의 기술들을 사용하여 정사각형의 코너들의 각각에 셰리프를 부가하는 것은 마스크 또는 레티클 패턴에 8개 더 많은 직사각형들을 부가한다. OPC 피처들을 부가하는 것은 매우 힘든 작업이고, 값비싼 계산 시간을 요구하며, 보다 값비싼 레티클들을 초래한다. OPC 패턴들은 복잡할 뿐만 아니라, 광학 근접 영향들이 최소 라인 및 공간 치수들에 비교하여 장기적이기 때문에, 주어진 위치에서 정확한 OPC 패턴들은 어떤 다른 기하학적 구조가 근처에 있는지에 상당히 의존한다. 따라서, 예를 들면, 라인 단이 상기 레티클 상에서 그것에 무엇이 가까이 있는지에 의존하여 상이한 크기 셰리프들을 가질 것이다. 이것은 상기 목표가 웨이퍼 상에서 정확히 동일한 형상을 생성하는 것일지라도 그렇다. 이들 작지만 중대한 변화들은 중요하며 다른 것들이 레티클 패턴들을 형성할 수 있는 것을 방지한다. OPC 데코레이션(decoration) 전에 설계를 반영하는 피처들인 주요 피처들, 및 OPC 피처들에 대하여 레티클 상에 기록될 OPC-데코레이션된 패턴들을 논의하는 것이 관례적이며, OPC 피처들은 셰리프들, 조그들(jogs), 및 SRAF를 포함할 수 있다. 작은 변화들에 의해 의도된 것을 수량화하기 위해, 이웃에서 이웃으로 OPC 데코레이션에서의 통상적인 작은 변화는 주요 피처 크기의 5% 내지 80%일 수 있다. 명료함을 위해, OPC의 설계에서의 변화들이 참조되는 것임을 주의하자. 코너 라운딩(corner rounding)과 같은 제조 변화들이 또한 실제 표면 패턴들에 존재할 것이다. 이들 OPC 변화들이 웨이퍼 상에서 실질적으로 동일한 패턴들을 생성한다면, 의미하는 것은 웨이퍼 상에서의 기하학적 구조가 특정 오차범위 - 이것은 그 기하학적 구조가 예로서 트랜지스터 또는 와이어를 수행하도록 설계된 기능의 세부사항들에 의존한다 - 내에서 동일하도록 타겟팅되는 것이다. 그럼에도 불구하고, 통상적인 규격들은 주요 피처 범위의 2% 내지 50%에 있다. 또한 변화들을 야기하는 다수의 제조 인자들이 존재하지만, 전체 오류의 OPC 구성요소는 종종 리스트된 범위에 있다. 서브-해상도 보조 피처들과 같은 OPC 형상들은 광학 리소그래피를 사용하여 웨이퍼에 전사될 수 있는 최소 피처의 크기에 기초한 규칙과 같은, 다양한 설계 규칙들의 대상이 된다. 다른 설계 규칙들은 마스크 제조 공정으로부터 올 수 있거나, 또는 캐릭터 투사 하전된 입자 빔 기록 시스템이 레티클 상에 패턴을 형성하기 위해 사용된다면, 스텐실 제조 공정으로부터 올 수 있다. 상기 마스크 상에서의 SRAF 피처들의 정확도 요건은 상기 마스크 상에서의 주요 피처들에 대한 정확도 요건들보다 낮을 수 있다는 것이 또한 주의되어야 한다. 공정 노드들이 계속해서 줄어듦에 따라, 포토마스크 상에서의 최소 SRAF들의 크기가 또한 줄어들게 된다. 예를 들면, 20 nm 로직 공정 노드에서, 40 nm 내지 60 nm SRAF들이 최고 정확도 층들을 위한 마스크 상에서 요구된다.
EUV 광학 리소그래피는 종래의 광학 리소그래피보다 훨씬 더 높은 해상도를 가진다. EUV의 매우 높은 해상도는 OPC 처리를 위한 요구를 상당히 감소시켜서, 193 nm 광학 리소그래피에 대한 것보다 더 낮은 EUV에 대한 마스크 복잡도를 야기한다. 그러나, EUV의 매우 높은 해상도 때문에, 과도한 라인 에지 거칠기(line edge roughness; LER)와 같은 포토마스크에서의 결함들이 상기 웨이퍼에 전사될 것이다. 그러므로, EUV 마스크들에 대한 정확도 요건들은 종래의 광학 리소그래피에 대한 것보다 더 높다. 부가적으로, EUV 마스크 형상들이 종래의 193 nm 리소그래피에 대해 요구된 복잡한 SRAF들 또는 셰리프들의 부가에 의해 복잡해지지 않을지라도, EUV 마스크 형상들은 EUV 제조에 대한 고유한 일부 복잡도들의 부가에 의해 복잡해진다. 약 2 um의 반경에 영향을 미칠 수 있는, 전자들과 같은 하전 입자들의 중간거리(mid-range) 산란(scattering)은 EUV 리소그래피를 위해 마스크들 상에 패턴들을 기록할 때 특히 관련 있다. 이러한 중간거리 산란은, 처음에 이웃하는 패턴들로부터의 영향이 특정한 패턴이 마스크 표면으로 캐스팅할 형상에 상당한 영향을 주기 때문에, 마스크 데이터 준비를 위한 새로운 고려사항을 도입한다. 이전에, 종래의 193 nm 리소그래피와 사용을 위한 마스크들을 노광시킬 때, 근거리 산란은 단지 기록되는 패턴에만 영향을 미치며, 원거리 산란은 그것의 상세한 형상이 아닌, 단지 패턴의 크기에만 영향을 미치는 충분히 큰 유효 범위를 가져서, 단지 선량 변조(dose modulation)만을 사용함으로써 보정하는 것이 가능해진다. 또한, 웨이퍼들의 EUV 처리는 보다 값비싸기 때문에, 다수의 패터닝을 감소시키거나 또는 제거하는 것이 바람직하다. 다수의 패터닝은 그 각각이 층 패턴의 일 부분을 포함하는, 다수의 마스크들을 사용하여 웨이퍼 처리의 하나의 층에 대한 패턴들을 노광시킴으로써 작은 피처들의 노광을 허용하기 위해 종래의 광학 리소그래피에 사용된다. 다수의 노광들을 감소시키거나 또는 제거하는 것은 보다 미세한 패턴들을 포함하기 위해 단일 마스크를 요구한다. 예를 들면, 일련의 동일선상의 라인 세그먼트들은 먼저 긴 라인을 그리고, 그 후 종래의 리소그래피에서 제 2 마스크에 의해 상기 라인을 라인 세그먼트들로 자름으로써 이중-패터닝될 수 있다. EUV 리소그래피에 대해서와 같이, 단일 마스크를 갖고 기록된 동일한 층은 많은 보다 작은 라인 세그먼트들을 포함한 마스크를 요구할 것이다. 각각의 패턴이 보다 정확할 것을 요구하는, 단일 마스크 상에서의 보다 많은 수의 보다 미세한 패턴들을 기록하기 위한 요구는 EUV 마스크들 상에서의 정밀도를 위한 요구를 증가시킨다.
광학 리소그래피 또는 하전된 입자 빔 리소그래피를 사용하는 것을 포함하여, 레티클 상에 패턴들을 형성하기 위해 사용된 다수의 기술들이 존재한다. 가장 보편적으로 사용된 시스템은 상술된 바와 같이, 맨하탄 직사각형들 및 45-도 직각 삼각형들과 같은 단순한 형상들을 가진 전자들의 선량들이 레지스트-코팅된 레티클 표면을 노광시키는 가변 성형 빔(VSB)이다. 종래의 마스크 기록에서, 상기 레티클 상에서의 레지스트가 어떻게 상기 패턴을 등록할 것인지에 대한 산출을 크게 간소화하기 위해, 전자들의 선량들 또는 샷들이 관례적으로 어디에 있든 가능하다면 중첩을 회피하도록 설계된다. 유사하게, 샷들의 세트는 레티클 상에 형성될 패턴 영역을 완전히 커버하도록 설계된다. 현재 특허 출원의 양수인에 의해 소유되며 모든 목적들을 위해 참조로서 통합되는, 미국 특허 제7,754,401호는 패턴들을 기록하기 위한 의도적인 샷 중첩이 사용되는 마스크 기록의 방법을 개시한다. 중첩 샷들이 사용될 때, 하전된 입자 빔 시뮬레이션이 상기 레티클 상에서의 레지스트가 등록할 패턴을 결정하기 위해 사용될 수 있다. 중첩 샷들의 사용은 패턴들이 감소된 샷 카운트를 갖고 기록되도록 허용할 수 있다. 미국 특허 제7,754,401호는 또한 선량 변조의 사용을 개시하며, 여기에서 샷들의 할당된 선량들은 다른 샷들의 선량들에 대하여 변화한다. 용어(모델-기반 프랙쳐링(model-based fracturing))는 미국 특허 제7,754,401호의 기술들을 사용하여 샷들을 결정하는 공정을 설명하기 위해 사용된다.
가장 진보된 기술 노드들을 위한 레티클 기록은 다중-패스 노광(multi-pass exposure)이라 불리우는 공정인, 하전된 입자 빔 기록의 다수의 패스들을 수반하며, 그에 의해 레티클 상에서의 주어진 형상이 기록되고 겹쳐 쓰여진다. 통상적으로, 2 내지 4개의 패스들이 보다 정확한 포토마스크들의 생성을 허용하는, 하전된 입자 빔 기록기에서의 정확도 오류들의 평균을 내도록 레티클을 기록하기 위해 사용된다. 또한 통상적으로, 선량들을 포함한, 샷들의 리스트는 모든 패스에 대해 동일하다. 다중-패스 노광의 일 변화에 있어서, 샷들의 리스트들은 노광 패스들 중에서 변할 수 있지만, 임의의 노광 패스에서의 상기 샷들의 결합은 동일한 영역을 커버한다. 다중-패스 기록은 상기 표면을 코팅하는 레지스트의 과열을 감소시킬 수 있다. 다중-패스 기록은 또한 하전된 입자 빔 기록기의 무작위 오류들의 평균을 낸다. 상이한 노광 패스들에 대한 상이한 샷 리스트들을 사용한 다중-패스 기록은 또한 기록 공정에서 특정한 시스템 오류들의 영향들을 감소시킬 수 있다.
현재 광학 리소그래피 기록 장비들은 통상적으로 상기 광학 리소그래피 공정 동안 4의 인수에 의해 포토마스크 패턴을 감소시킨다. 그러므로, 레티클 또는 마스크 상에 형성된 패턴들은 기판 또는 웨이퍼 상에서의 원하는 패턴의 크기보다 4배 더 커야 한다.
제조 변화들은 하전된 입자 빔 리소그래피 샷들의 주어진 세트를 사용하여 웨이퍼 또는 레티클과 같은 표면상에 제조된 패턴의 크기 및 형상에서의 변화를 야기할 수 있다. 제조 변화들은 예를 들면, 제조된 패턴의 임계 치수들(CD)에서의 변화를 야기한다. 이러한 제조 변화의 소스들은 입자 빔 강도에서의 변화, 노광 시간에서의 의도치 않은 변화 및 레지스트 민감도에서의 변화를 포함한다. 용어(선량 마진)는 선량-관련 제조 변화들에 대한 하전된 입자 빔 샷들의 세트에 의해 정의된 패턴의 허용 오차를 설명한다. 보다 높은 선량 마진은 보다 높은 허용 오차를 표시한다.
선량 마진은 제작 공차를 위한 통상적인 측정치이다. 그러나 제조 변화의 다른 소스들이 있다. 중첩되거나 또는 선량-변조된 샷들이 사용되는 세상에서, 전방 산란의 물리적 영향들을 포함하는 빔 블러 또는 βf에 대한 민감도, 쿨롱 효과(Coulomb effect) 및 레지스트 확산이 또한 이슈가 될 수 있다.
표면상에 패턴을 형성할 복수의 샷들이 결정되는, 하전된 입자 빔 리소그래피를 위한 프랙쳐링(fracturing) 또는 마스크 데이터 준비 또는 마스크 공정 교정을 위한 방법이 개시되며, 여기에서 샷들은 βf에서의 변화들에 대한 결과적인 패턴의 민감도를 감소시키기 위해 결정된다. 상기 복수의 샷들에서의 적어도 일부 샷들은 다른 샷들을 중첩시킨다. 일부 실시예들에서, βf는 초기 샷 결정 동안, 또는 후-처리 단계에서 상기 복수의 샷들에서의 샷 중첩의 양을 제어함으로써 감소된다. βf에 대한 감소된 민감도는 하전된 입자 빔 리소그래피 공정에 대한 공정 윈도우(process window)를 확장시킨다.
표면상에 패턴들을 형성하기 위한, 및 집적 회로를 제조하기 위한 방법들이 또한 개시되며, 여기에서 βf에서의 변화들에 대한 패턴 민감도는 감소된다.
도 1은 하전된 입자 빔 시스템의 일 예를 예시한다;
도 2a는 표면상에 형성되는 것이 바람직한 직사각형 패턴의 일 예를 예시한다;
도 2b는 표면상에 도 2a의 패턴을 형성할 때 코너 라운딩의 일 예를 예시한다;
도 3a는 표면상에 형성되는 것이 바람직한 패턴의 또 다른 예를 예시한다;
도 3b는 표면상에 도 3a의 패턴을 형성할 때 코너 라운딩의 일 예를 예시한다;
도 4a는 표면상에 형성되는 것이 바람직한 원형 패턴의 일 예를 예시한다;
도 4b는 도 4a의 원형 패턴을 형성할 수 있는 종래의 비-중첩 VSB 샷들의 세트의 일 예를 예시한다;
도 4c는 도 2a의 원형 패턴과 중첩된, 도 4b의 샷들의 세트를 예시한다;
도 5a는 도 4a의 원형 패턴을 형성할 수 있는 중첩 VSB 샷들의 세트의 일 예를 예시한다;
도 5b는 도 4a의 원형 패턴과 중첩된, 도 5a의 샷들의 세트를 예시한다;
도 6은 두 세트의 샷들에 대한 βf 및 패턴 영역 사이에서의 그래프 관계의 일 예를 예시한다;
도 7a는 현재 발명에 따른 도 4a의 원형 패턴을 형성할 수 있는 중첩 VSB 샷들의 대표적인 세트를 예시한다;
도 7b는 도 4a의 원형 패턴과 중첩된, 도 7a의 샷들의 세트를 예시한다;
도 7c는 현재 발명에 따른 도 4a의 원형 패턴을 형성할 수 있는 중첩 VSB 샷들의 또 다른 대표적인 세트를 예시한다;
도 7d는 도 4a의 원형 패턴과 중첩된, 도 7c의 샷들의 세트를 예시한다;
도 8은 4개의 세트의 샷들에 대한 βf 및 패턴 영역 사이에서의 그래프 관계의 일 예를 예시한다;
도 9는 실리콘 웨이퍼 상에서의 집적 회로와 같은 기판을 제조할 때 표면을 준비하는 방법에 대한 개념 흐름도의 일 실시예를 예시한다;
도 10은 실리콘 웨이퍼 상에서의 집적 회로와 같은 기판을 제조할 때 표면을 준비하는 방법에 대한 개념 흐름도의 일 실시예를 예시한다.
본 발명의 개선들 및 이점들은 βf의 변화에 의해 야기된 패턴 변화들의 규모를 감소시키기 위해, 패턴을 형성하는 샷들의 파라미터들을 제어함으로써 달성될 수 있으며, 그에 의해 보다 높은 품질의 패턴들이 레티클들 및 웨이퍼들과 같은 다른 표면들 상에 형성되도록 허용한다.
같은 번호들은 같은 아이템들을 나타내는 도면들을 이제 참조하면, 도 1은 본 발명에 따른 표면(12)을 제조하기 위해 가변 성형 빔(VSB)을 이용하는, 하전된 입자 빔 기록기 시스템과 같은 리소그래피 시스템, 이 경우에 전자 빔 기록기 시스템(10)의 일 실시예를 식별한다. 상기 전자 빔 기록기 시스템(10)은 개구부 판(18)을 향해 전자 빔(16)을 투사하는 전자 빔 소스(14)를 가진다. 상기 판(18)은 전자 빔(16)이 지나가도록 허용하는 그 안에 형성된 개구부(20)를 가진다. 일단 상기 전자 빔(16)이 개구부(20)를 통과하면, 그것은 또 다른 직사각형 개구부 판 또는 스텐실 마스크(24)를 향해 전자 빔(22)으로서 렌즈들의 시스템(도시되지 않음)에 의해 향해지거나 또는 편향된다. 상기 스텐실 마스크(24)는 직사각형들 및 삼각형들과 같은 다양한 단순한 형상들을 정의하는 다수의 개구부들(26)을 그 안에 형성한다. 상기 스텐실 마스크(24)에 형성된 각각의 개구부(26)는 표면(12) 상에 패턴을 형성하기 위해 사용될 수 있다. 전자 빔(30)은 상기 개구부들(26) 중 하나로부터 나오며 패턴(28)으로서 표면(12)으로 향해진다. 상기 표면(12)은 전자 빔(30)과 반응하는 레지스트(도시되지 않음)로 코팅된다. 상기 전자 빔(22)은 상기 패턴(28)의 크기 및 형상에 영향을 미치는 개구부(26)의 변화가능한 부분을 중첩시키도록 통제될 수 있다. 상기 표면(12)은 이동 가능한 플랫폼(32) 상에 장착된다. 상기 플랫폼(32)은 표면(12)으로 하여금 하전된 입자 빔(30)의 최대 편향 능력 또는 필드 크기보다 큰 패턴들이 표면(12)에 기록될 수 있도록 재배치되게 허용한다. 일 실시예에서, 상기 표면(12)은 레티클일 수 있다. 이 실시예에서, 상기 레티클은, 상기 패턴으로 노광된 후, 그것이 리소그래피 마스크 또는 포토마스크가 되는 다양한 제조 단계들을 겪는다. 상기 마스크는 그 후 집적 회로를 생산하기 위해 일반적으로 크기가 감소된, 레티클 패턴(28)의 이미지를 실리콘 웨이퍼에 투사하도록 광학 리소그래피 장비에서 사용될 수 있다. 보다 일반적으로, 상기 마스크는 상기 패턴(28)을 기판에 전사시키기 위해 또 다른 디바이스 또는 장비에서 사용된다. 또 다른 실시예에서, 상기 표면(12)은 실리콘 웨이퍼와 같은 기판의 표면일 수 있다.
적정한 정확도를 갖고 표면(12)으로 투사될 수 있는 최소 크기 패턴은 전자 빔 기록기 시스템(10)과 및 상기 표면(12)과 연관된 다양한 근거리 물리 영향들에 의해 제한된다. 이들 영향들은 전방 산란, 쿨롱 효과, 및 레지스트 확산을 포함한다. βf라 불리우는, 빔 블러는 이들 근거리 영향들의 모두를 포함하기 위해 사용된 용어이다. 가장 최신의 전자 빔 기록기 시스템들은 20 nm 내지 30 nm의 범위에서 유효 빔 블러 반경 또는 βf를 달성할 수 있다. 전방 산란은 총 빔 블러의 1/4 내지 1/2를 구성할 수 있다. 최신 전자 빔 기록기 시스템들은 빔 블러의 구성 조각들의 각각을 최소로 감소시키기 위해 다수의 메커니즘들을 포함한다. 빔 블러의 일부 구성요소들은 입자 빔 기록기의 교정 레벨의 함수이기 때문에, 동일한 설계의 2개의 입자 빔 기록기들의 βf는 상이할 수 있다. 레지스트들의 확산 특성들이 또한 변할 수 있다. 샷 크기 또는 샷 선량에 기초한 βf의 변화가 시뮬레이션되고 조직적으로 고려될 수 있다. 그러나 고려될 수 없거나 또는 고려되지 않는 다른 영향들이 있으며, 그것들은 무작위 변화로서 나타난다.
전자 빔 기록기 시스템과 같은 하전된 입자 빔 기록기의 샷 선량은 각각의 샷에 대한 노광 시간 및 빔 소스(14)의 강도의 함수이다. 통상적으로, 빔 강도는 고정적인 채로 있으며, 노광 시간은 가변 샷 선량들을 얻기 위해 변경된다. 상기 노광 시간은 근접 영향 교정(PEC)이라 불리우는 공정에서 포깅(fogging) 및 후방 산란과 같은 다양한 원거리 영향들을 보상하기 위해 변경될 수 있다. 전자 빔 기록기 시스템들은 보통 노광 패스에서의 모든 샷들에 영향을 미치는, 기본 선량이라 불리우는, 전체 선량을 설정하는 것을 허용한다. 일부 전자 빔 기록기 시스템들은 전자 빔 기록기 시스템 자체 내에서의 선량 보상 산출들을 수행하고, 각각의 샷의 선량이 입력 샷 리스트의 일부로서 개별적으로 할당되도록 허용하지 않으며, 그러므로 상기 입력 샷들은 할당되지 않은 샷 선량들을 가진다. 이러한 전자 빔 기록기 시스템들에서, 모든 샷들은 PEC 전에 기본 선량을 가진다. 다른 전자 빔 기록기 시스템들은 샷 단위로 선량 할당을 허용한다. 샷 단위 선량 할당을 허용하는 전자 빔 기록기 시스템들에서, 이용가능한 선량 레벨들의 수는 64 내지 4096 또는 그 이상일 수 있거나, 또는 3 내지 8 레벨들과 같이, 비교적 적은 이용가능한 선량 레벨들이 있을 수 있다. 현재 발명의 일부 실시예들은 비교적 적은 선량 레벨들 중 하나의 할당을 허용하는 하전된 입자 빔 기록 시스템들을 가진 사용을 위해 타겟팅된다.
관례적으로, 샷들은 직사각형 샷들을 가진 입력 패턴을 완전히 커버하도록 설계되는 반면, 가능하면 어디든 샷 중첩을 회피한다. 또한, 모든 샷들은 보통의 선량을 갖도록 설계되며, 이것은 원거리 영향들의 부재시, 비교적 큰 직사각형 샷이 샷 크기와 동일한 크기인 표면상에 패턴을 생산하는 선량이다.
100nm 이상의 에지 길이들을 가진 관례적으로-프랙쳐링된 맨하탄 형상들은 βf 변화에 비교적 영향을 받지 않는다. 이것은 VSB 샷들의 에지들을 갖고 그려진 원하는 마스크 형상들의 맨하탄 에지들이 βf에서의 변화들에 민감하지 않기 때문이다. 임의의 설계된 맨하탄 형상에 대해, 그러나, 설계된 형상에서의 90도 코너들은 포토마스크 상에서 90도 코너들로서 형성되지 않을 것이다. 대신에, 상기 코너들은 원칙적으로 βf의 값에 의해 결정된 각도(degree)로 라운딩될 것이다. 상기 코너-라운딩된 영역들은 관례적으로-프랙쳐링된 형상들에서조차 βf에 민감하다. 도 2a는 레티클 상에 형성되는 것이 바람직할 수 있는 직사각형 형상(202)의 일 예를 예시한다. 도 2b는 종래의 VSB 샷을 사용하여 형상(202)으로부터 레티클 상에 형성될 수 있는 형상(222)의 일 예를 예시한다. 형상(222)의 치수들은 코너 영역들(224)을 제외하고, 형상(202)과 동일하다. 형상(222)의 코너 영역들(224)은 형상(202)의 날카로운 코너들과 비교하여, βf로 인해 라운딩된다. 코너들(224)의 반경(226)은 βf의 값에 의존한다: 보다 큰 βf는 라운딩의 보다 큰 반경을 생성하며, 보다 작은 βf는 라운딩의 보다 작은 반경을 생성할 것이다.
서브-100nm 피처들을 가진 포토마스크들의 생성을 요구하는 반도체 기술에서의 계속적인 진보로, 보다 큰 정밀도에 대한 결과적인 요건은 맨하탄 형상들에 대해서조차, 종래의 프랙쳐링은 선량 마진 및 다른 제조 변화에 점차 민감해진다는 것을 의미한다. 더욱이, 마스크들 상에 요구된 형상들이 작은(서브-80nm) 맨하탄 조그들, 대각선 피처들 또는 곡선으로 이루어진 피처들을 포함할 때, 관례적으로-프랙쳐링된 형상들은 선량 마진(βf) 및 다른 제조 변화들에 대한 증가된 민감도를 가진다. 도 3a는 레티클 상에 형성되는 것이 바람직할 수 있는 패턴(302)의 일 예를 예시한다. 패턴(302)은 샷(304), 샷(306), 샷(308), 및 샷(310)을 포함하여, 4개의 VSB 샷들을 사용하여 관례적으로 노광될 수 있다. 패턴(302)에서, 상기 샷들 사이에서의 경계들은 파선들을 사용하여 예시된다. 도 3b는 종래의 VSB 샷들(304, 306, 308, 310)의 세트를 사용하여 형상(302)으로부터 레티클 상에 형성될 수 있는 패턴(322)을 예시한다. 보여지는 바와 같이, 패턴(322) 상에서의 코너들은 형상(222)에서 예시된 바와 유사한 코너 반경을 갖고, βf로 인해 라운딩된다. 그러나, 상기 패턴(322)은 상기 형상(222)보다 βf에 대해 비교적 더 민감하다. 다시 말해서, 상기 레티클 상에서의 패턴(322)의 형상은 패턴(222)의 형상인 것보다 βf에서의 변화에 의해 보다 심하게 영향을 받는다. 형상(222)과 비교하여 βf 변화에 대해 비교적 더 큰 패턴(322)의 민감도를 야기하는 하나의 인자는 패턴(222)에 사용된 샷과 비교할 때, 패턴(322)에서 사용된 샷들의 비교적 더 작은 크기이다.
상기 표시된 바와 같이, 100nm 이상의 에지 길이들의 맨하탄 형상들의 에지들은 비-중첩 VSB 샷들을 사용한 종래의 프랙쳐링이 사용될 때 βf 변화에 비교적 영향을 받지 않는다. 반대로, 선량 변조를 가진 중첩 샷들을 사용한 부가된 가요성은 제조 변화에 대한 패턴 허용 오차를 개선하기 위한 기회를 증가시키며 이용가능한 해결책들 중에서, 제조 변화에 대해 최적화한 해결책을 선택하기 위한 요구를 증가시킨다.
도 4a는 레지스트-코팅된 표면상에 형성되는 것이 바람직한 원형 패턴(402)의 일 예를 예시하며, 상기 패턴은 350nm의 직경을 가진다. 원형 패턴들은 예를 들면, 반도체 제조 공정에서 층들 사이에 컨택(contact)들 또는 비아들(vias)을 형성하기 위해 사용될 수 있다. 도 4b는 상기 패턴(402)을 형성하기 위해 사용될 수 있는 종래의 비-중첩 VSB 샷들의 세트(410)를 예시한다. 상기 샷들의 세트(410)는 5개의 샷들, 즉 샷(414), 샷(416), 샷(418), 샷(420), 및 샷(422)으로 이루어진다. 도 4c는 도 4a의 원형 패턴(402)과 중첩된 도 4b의 샷들의 세트(410)를 예시한다. 관습적으로, 상기 샷들의 세트(410)에서의 샷들은 원거리 보정이 적용되기 전에, 모두 동일한 선량을 가질 것이다.
도 5a는 또한 원형 패턴(402)을 형성하기 위해 사용될 수 있는 중첩 샷들(500)의 세트를 예시한다. 샷들의 세트(500)는 7개의 샷들, 즉 샷(502), 샷(504), 샷(506), 샷(508), 샷(510), 샷(512), 및 샷(514)으로 이루어진다. 명료함을 위해, 샷들이 중첩하는 영역들은 크로스-해칭(cross-hatching)으로 음영된다. 샷들의 세트(500)에서 상기 샷들의 선량은 원거리 보정 전에, 샷에서 샷으로 변할 수 있다. 도 5b는 원하는 원형 패턴(402)으로 오버레이된 상기 샷들의 세트(500)를 예시한다. 샷들의 세트(500)와 같은 중첩 샷들을 가진 모델-기반 프랙쳐링 기술들의 사용은 특히 곡선으로 이루어진 패턴들을 가진, 종래의 비-중첩 샷들과 비교하여, 보다 적은 샷들을 갖고 주어진 정확도의 패턴들의 형성을 허용할 수 있다. 유사하게는, 패턴 정확도가 주요 목적일 때, 모델-기반 프랙쳐링은 특히 원형 또는 유사-원형 패턴들과 같은 곡선으로 이루어진 패턴들을 가진, 종래의 비-중첩 샷들과 비교할 때, 주어진 수의 샷들에 대한 보다 높은 품질 패턴들을 형성하기 위해 사용될 수 있다.
하전된 입자 빔 샷들의 세트로부터 표면상에 제작될 패턴은 시뮬레이션 기술들을 통해 결정될 수 있다. 샷들의 세트는 βf에서의 변화들에 대한 패턴의 민감도를 결정하기 위해 βf의 복수의 값들에 대해 시뮬레이션될 수 있다. 도 6은 샷들의 세트(410)에 대해 및 샷들의 세트(500)에 대해, βf의 다양한 값들에 대해 표면상에 생성된 패턴 영역의 그래프(600)의 일 예를 예시한다. 곡선(612)은 샷들의 세트(410)에 대해 산출된 패턴 영역을 예시한다. 곡선(614)은 샷들의 세트(500)에 대해 산출된 패턴 영역을 예시한다. 보여질 수 있는 바와 같이, 28 nm 및 32 nm 사이에서의 βf의 변화는 곡선(612) 및 곡선(614) 둘 모두에 대한 패턴 영역에서의 변화를 생성한다. 곡선(612)의 음의 구배는 샷들의 세트(410)가 βf가 증가함에 따라 감소된 패턴 영역을 생성한다는 것을 표시한다. 반대로, 곡선(614)의 양의 구배는 βf가 증가함에 따라 샷들의 세트(500)가 증가된 패턴을 생성한다는 것을 표시한다. 중첩이 없는 샷들의 세트로부터의, 곡선(612)의 구배의 부호, 및 패턴의 상이한 부분들에서 변화하는 선량을 생성하는 중첩을 가진 샷들의 세트로부터의, 곡선(614)의 구배의 부호 사이에서의 차이는 상기 패턴 영역이 패턴을 형성하는 샷들에 대한 βf에서의 균일한 변화에 대해 민감하지 않은 선량 분포를 발견하는 것이 가능할 수 있음을 제안한다. 현재 발명의 일 실시예는 βf에 대하여 패턴 영역 곡선의 구배의 절대 값을 감소시키기 위해 샷 중첩의 양을 조정하는 것을 포함한다. 일부 패턴 구성들에 대해, βf의 값들의 일부 범위를 넘어, βf에서의 변화가 패턴 영역에서 어떤 변화도 생성하지 않는 곳인, 거의 제로 구배 곡선을 생성하는 샷들의 세트가 생성될 수 있다. 본 발명의 다른 실시예들에서, 패턴 영역 이외의 다른 패턴 파라미터들은 βf의 변화들에 민감하지 않도록 조정될 수 있다. 일 실시예에서, 샷 중첩은 임계 치수가 일부 미리 결정된 범위를 넘는 βf에서의 변화들에 민감하지 않는 표면 패턴을 생성하는 선량 패턴을 생성하도록 조정될 수 있다.
샷들에 걸쳐 βf의 변화의 가장 중요한 소스는 빔이 교차할 때 서로에 대해 밀어내는 음-전하 전자들의 영향인, 쿨롱 효과(Coulomb effect)이다. 쿨롱 효과는 쿨롱 효과가 주어진 샷 동안 표면을 조사하는 하전된 입자 빔의 영역에 의존한다는 것을 의미하는, 개방 영역 종속 요인이다. 보다 큰 영역은, 빔에서의 보다 많은 전자들이 보다 큰 척력들을 생성하기 때문에, 쿨롱 효과를 증가시킨다. 최적화하는 상기 설명된 방법은 기본 E-빔 시뮬레이션이 βf의 샷 크기 의존성을 모델링할 때 보다 영향적이다.
도 7a는 현재 발명의 일 실시예에 따라, 표면상에 패턴(402)을 형성할 수 있는 대표적인 샷들의 세트(700)를 예시한다. 샷들의 세트(700)는 5개의 샷들, 즉 샷(702), 샷(704), 샷(706), 샷(708), 및 샷(710)으로 이루어진다. 도 7a에서, 샷들의 세트(700)에서 중첩의 영역들은 크로스-해칭을 사용하여 음영된다. 중첩의 영역들은 영역(714), 영역(716), 영역(718), 및 영역(720)으로 이루어진다. 샷들의 세트(700)에서 중첩의 양은 샷들의 세트(500)에서의 것보다 적다. 도 7b는 원형 패턴(402)을 갖고 오버레이된 샷들의 세트(700)를 예시한다. 도 8은 그래프(600)와 유사한, βf에 대한 패턴 영역의 그래프(800)의 일 예를 예시한다. 그래프(800)에서, 곡선(812)은 샷들의 세트(410)에 대한 패턴 영역의 변화인, 그래프(600) 곡선(612)에 대응한다. 곡선(814)은 샷들의 세트(500)에 대한 패턴 영역의 변화인, 그래프(600) 곡선(614)에 대응한다. 곡선(816)은 샷들의 세트(700)에 대한 βf의 변화에 대하여 상기 산출된 패턴 영역의 일 예를 예시한다. 보여질 수 있는 바와 같이, 곡선(816)의 구배의 절대 값은 곡선(812)의 또는 곡선(814)의 구배들 중 하나보다 더 작다. 이것은 βf의 변화들이 샷들의 세트(410)에 관하여 또는 샷(500)에 관하여, 샷들의 세트(700)로부터 표면상에 생성된 패턴의 영역 상에 적은 영향을 미칠 것이다. βf에 대한 둔감함이 바람직하며, 이것은 βf에 영향을 미칠 수 있는 제조 공정 변화들에도 불구하고, 보다 정확한 패턴이 표면상에 형성될 수 있음을 표시한다. 일 실시예에서, 원형 패턴이 전사되는 표면은 실리콘 웨이퍼와 같은 기판에 패턴을 전사하기 위해 광학 리소그래피 공정에서 사용될 레티클이다. 상기 레티클 상에서의 보다 정확한 패턴은 웨이퍼 상에 보다 정확한 패턴을 생성할 수 있으며, 예를 들면, 보다 높은 웨이퍼 제조 수율을 이끈다.
본 발명의 일부 실시예들에서, 예를 들면, βf 민감도를 최소화하기 위한 샷 중첩의 조정은 2 단계 공정의 일부일 수 있다. 제 1 단계에서, 표면상에 원하는 패턴을 형성할 수 있는 샷들의 초기 세트가 결정된다. 이러한 샷들의 초기 세트에서의 샷들은 중첩할 수 있다. 이러한 제 1 단계는 표면상에 형성될 패턴을 결정하기 위해 하전된 입자 빔 시뮬레이션의 사용을 포함할 수 있다. 제 2 단계에서, 샷 중첩은 βf 변화에 대한 민감도를 감소시키도록 조정된다. βf 민감도를 감소시키기 위해 샷 중첩을 조정하는 공정은 표면상에서의 패턴 형상, 선량 마진, 또는 마스크의 품질에 영향을 미치는 다른 기준들을 크게 변화시키지 않는다는 것이 중요하다. 하전된 입자 빔 시뮬레이션은 또한 βf의 다양한 값들에 대해 표면상에 생성될 패턴을 결정하기 위해 제 2 단계에서 사용될 수 있다. 도 7a의 예에서, 샷 중첩을 조정하는 제 2 단계는 하나 이상의 서브-단계들을 포함할 수 있다. 샷들의 초기 세트의 하전된 입자 빔 시뮬레이션이 부가적인 샷 중첩이 βf 민감도를 감소시키기 위해 요구된다고 결정하면, 이를 달성하기 위해 사용될 수 있는 두 개의 대표적인 서브-단계들은 다음과 같다:
ㆍ 샷(702)과의 중첩을 증가시키기 위해 샷들(704, 706, 708, 710)의 내부 에지들을 이동시킨다. 샷(704)의 에지(714)는 양의 "x" 방향으로 이동되고, 샷(706)의 에지(716)는 음의 "y" 방향으로 이동되고, 샷(708)의 에지(718)는 음의 "x" 방향으로 이동되며, 샷(710)의 에지(720)는 양의 "y" 방향으로 이동된다.
ㆍ 이들 샷들의 내부 에지들이 이전 서브-단계에서 이동되는 것과 동일한 방향으로 샷들(704, 706, 708, 710)의 외부 에지들을 이동시킨다. 외부 에지들의 이동은 이전 서브-단계에서 내부 에지들을 이동시킴으로써 제공된 부가적인 선량으로 인해 표면상에서 패턴 형상의 확장을 방지하기 위해 행해진다. 외부 에지들은 일반적으로 내부 에지들이 이동된 것보다 덜 이동시킬 필요가 있을 것이다.
상기 두 개의 서브-단계들은 실제로 조합될 수 있다. 이러한 예는 표면상에 형성될 패턴의 무결성을 유지하면서 샷 중첩을 조정하기 위한 하나의 기술을 예시한다. 본 발명의 다른 실시예들에서, 원하는 표면 패턴을 생성하기 위한 샷들의 결정 및 βf 민감도를 감소시키기 위한 샷 중첩의 조정은 단일 단계 또는 공정으로 조합될 수 있다.
도 7c는 표면상에 원형 패턴(402)을 형성할 수 있는 중첩 샷들(740)의 또 다른 대표적인 세트를 예시한다. 샷들의 세트(740)는 7개의 샷들, 즉 샷(742), 샷(744), 샷(746), 샷(748), 샷(750), 샷(752), 및 샷(754)으로 이루어진다. 샷들의 세트(740)에서 각각의 샷은 적어도 하나의 다른 샷을 중첩시키지만, 다른 실시예들에서, 샷들의 세트에서의 일부 샷들은 다른 샷들을 중첩시키지 않을 수 있다. 도 7c에서, 샷 중첩의 영역들은 크로스-해칭된 것으로서 예시된다. 샷들의 7개의 샷 세트(740)는 샷들의 5개의 샷 세트(700)에 의해 생성된 패턴보다 원형 패턴(402)에 더 가깝게 근사하는 표면상에 패턴을 생성할 것이다. 도 8의 그래프(800)에서, 곡선(818)은 βf의 변화하는 값들을 갖고 샷들의 세트(740)에 의해 생성된 패턴의 영역의 일 예를 예시한다. 보여질 수 있는 바와 같이, 곡선(816)이 구배의 절대 값과 같이, 곡선(818)의 구배의 절대 값은 곡선(812) 또는 곡선(814)보다 작다.
그래프(800) 곡선(816) 및 곡선(818)의 비-제로 구배들은 패턴(402)과 같은 패턴을 생성하는 샷들의 세트에서 중첩의 양을 조정함으로써 달성될 수 있다. 증가하는 중첩의 영역은 곡선의 구배를 증가시킬 수 있으며, 감소하는 중첩의 양은 곡선의 구배를 감소시킬 수 있다. 또한, 패턴의 둘레에 가까운 샷 중첩에서의 변화는 패턴의 둘레로부터 더 먼 샷 중첩에서의 같은 변화보다 많이 상기 패턴의 βf 민감도에 영향을 미칠 수 있다. 본 발명의 일부 실시예들에서, 규칙-기반 기술들은 βf 민감도를 감소시키기 위한 적절한 샷 구성들을 결정하기 위해 사용될 수 있다.
샷 중첩은 또한 복수의 중첩하는 복합 캐릭터 투사 샷들이 패턴을 형성하기 위해 사용될 때, 및 복합 캐릭터 투사 샷들 및 VSB 샷들의 조합이 패턴을 형성하기 위해 사용될 때 βf 종속성을 감소시키기 위해 사용될 수 있다.
본 발명에 설명되거나 또는 언급된 산출들은 다양한 방식들로 달성될 수 있다. 일반적으로, 산출들은 인-프로세스(in-process), 전-처리(pre-process) 또는 후-처리(post-process) 방법들에 의해 달성될 수 있다. 인-프로세스 산출은 그것의 결과들이 요구될 때 산출을 수행하는 것을 수반한다. 전-처리 산출은 사전-산출하는 것 및 그 후 후속 처리 단계 동안 나중 검색을 위해 결과들을 저장하는 것을 수반하며, 특히 다수 회 반복될 수 있는 산출들에 대해, 처리 성능을 개선할 수 있다. 산출들은 또한 처리 단계로부터 거치되고 그 후 나중 후-처리 단계에서 행해질 수 있다. 전-처리 산출의 일 예는 다양한 양들의 샷 중첩 변화에 대해 βf에서의 변화들에 대한 다양한 유형들의 패턴들의 민감도에서의 변화를 사전 산출하는 것이다. 전-처리 산출의 또 다른 예는 주어진 입력 패턴 또는 입력 패턴 특성들의 세트와 연관된 하나 이상의 샷들에 대한 선량 패턴 정보의 사전-산출인, 샷 그룹이다. 상기 샷 그룹 및 연관된 입력 패턴은 사전-산출된 샷 그룹들의 라이브러리에 저장될 수 있으며, 따라서 샷 그룹을 포함한 상기 샷들의 세트는 패턴 재-산출 없이, 입력 패턴의 부가적인 인스턴스들에 대해 빠르게 생성될 수 있다. 일부 실시예들에서, 상기 사전-산출은 상기 샷 그룹이 레지스트-코팅된 표면상에 생성할 선량 패턴의 시뮬레이션을 포함할 수 있다. 다른 실시예들에서, 상기 샷 그룹은 구성에 의한 정정(correct-by-construction) 기술들을 사용함으로써와 같이, 시뮬레이션 없이 결정될 수 있다. 일부 실시예들에서, 상기 사전-산출된 샷 그룹들은 샷들의 리스트의 형태로 샷 그룹 라이브러리에 저장될 수 있다. 다른 실시예들에서, 사전-산출된 샷 그룹들은 특정 유형 또는 유형들의 입력 패턴들에 대한 샷들을 생성할 수 있는 컴퓨터 코드의 형태로 저장될 수 있다. 다른 실시예들에서, 복수의 사전-산출된 샷 그룹들이 테이블의 형태로 저장될 수 있으며, 여기에서 상기 테이블에서의 엔트리들은 패턴 폭과 같은 다양한 입력 패턴들 또는 입력 패턴 특성들에 대응하며, 여기에서 각각의 테이블 엔트리는 적절한 세트의 샷들을 생성하는 방법에 대한 정보, 또는 상기 샷 그룹에서의 샷들의 리스트를 제공한다. 부가적으로, 상이한 샷 그룹들은 샷 그룹 라이브러리에서 상이한 형태들로 저장될 수 있다. 일부 실시예들에서, 주어진 샷 그룹이 생성할 수 있는 선량 패턴은 또한 상기 샷 그룹 라이브러리에 저장될 수 있다. 일 실시예에서, 상기 선량 패턴은 글리프(glyph)라 불리우는 2-차원(X 및 Y) 선량 맵으로서 저장될 수 있다.
도 9는 실리콘 웨이퍼 상에서의 집적 회로와 같은 표면을 제조할 때 사용하기 위한 레티클을 준비하는 방법에 대한 개념 흐름도(950)이다. 제 1 단계(952)에서, 집적 회로의 물리적 설계와 같은 물리적 설계가 설계된다. 이것은 집적 회로에서의 것과 같은 물리적 설계에서 발견되도록 요구되는 로직 게이트들, 트랜지스터들, 금속 층들, 및 다른 아이템들을 결정하는 것을 포함할 수 있다. 상기 물리적 설계는 직선으로 이루어지고, 부분적으로 곡선으로 이루어지거나, 또는 완전히 곡선으로 이루어질 수 있다. 다음으로, 단계(954)에서, 광학 근접 보정이 결정된다. 본 발명의 일 실시예에서, 이것은 샷 그룹 라이브러리(974)로부터 사전-산출된 샷 그룹들의 라이브러리를 입력으로서 취하는 것을 포함할 수 있다. 이것은 또한 대안적으로, 또는 또한, 단계(962)에서 스텐실(984) 상에서 이용가능할 복합 캐릭터들을 포함한 사전-설계된 캐릭터들(980)의 라이브러리를 입력으로서 취하는 것을 포함할 수 있다. 본 발명의 일 실시예에서, OPC 단계(954)는 또한 샷 카운트 또는 기록 시간들의 동시 최적화를 포함할 수 있으며, 또한 프랙쳐링 동작, 샷 배치 동작, 선량 할당 동작을 포함할 수 있거나, 또는 또한 샷 시퀀스 최적화 동작, 또는 다른 마스크 데이터 준비 동작들을 포함할 수 있으며, 이들 동작들의 일부 또는 모두는 동시적이거나 또는 단일 단계에 조합된다. 상기 OPC 단계는 부분적으로 또는 완전히 곡선으로 이루어진 패턴들을 생성할 수 있다. 상기 OPC 단계(954)의 출력은 마스크 설계(956)이다.
마스크 공정 보정(mask process correction; 957)은 상기 마스크 설계(956) 상에서 선택적으로 수행될 수 있다. MPC는 종래의 광학 리소그래피 마스크들에서 약 100 nm보다 작은 패턴들과 연관된 영향들과 같은, 비-선형 영향들을 보상하기 위해 상기 레티클에 기록될 패턴을 수정한다. MPC는 또한 EUV 마스크들에 영향을 미치는 비-선형 영향들을 보상하기 위해 사용될 수 있다. MPC(957)가 수행된다면, 그것의 출력은 마스크 데이터 준비(MDP) 단계(958)를 위한 입력이 된다.
단계(958)에서, 프랙쳐링 동작, 샷 배치 동작, 선량 할당 동작, 또는 샷 시퀀스 최적화를 포함할 수 있는 마스크 데이터 준비(MDP) 동작이 발생할 수 있다. MDP는 MPC(957)의 결과들 또는 마스크 설계(956)를 입력으로서 사용할 수 있다. 본 발명의 일부 실시예들에서, MPC는 프랙쳐링 또는 다른 MDP 동작의 일부로서 수행될 수 있다. 다른 보정들이 또한 프랙쳐링 또는 다른 MDP 동작의 일부로서 수행될 수 있으며, 가능한 보정들은 전방 산란, 레지스트 확산, 쿨롱 효과, 에칭, 후방 산란, 포깅, 로딩, 레지스트 충전, 및 EUV 중간거리 산란을 포함한다. MDP 단계(958)의 결과는 샷 리스트(960)이다. OPC 단계(954) 또는 MDP 단계(958) 중 하나, 또는 별개의 프로그램(972)은 주어진 입력 패턴을 위해 사용될 수 있는 하나 이상의 샷 그룹들을 사전-산출하는 것 및 샷 그룹 라이브러리(974)에 이러한 정보를 저장하는 것을 포함할 수 있다. OPC 및 마스크 데이터 준비의 다양한 동작들 중 임의의 것 또는 모두를 하나의 단계에 조합하는 것이 본 발명에 고려된다. 프랙쳐링 동작을 포함할 수 있는, 마스크 데이터 준비 단계(958)는 또한 마스크 설계에 가깝게 매칭하는 마스크를 생성하기 위해 사전-산출된 샷 그룹들을 매칭하기 위한 패턴 매칭 동작을 포함할 수 있다. 마스크 데이터 준비 단계(958)는 또한 포토마스크(964) 상에서의 결과적인 패턴 또는 상기 패턴의 부분들이 βf에서의 변화들에 민감하지 않도록 샷들의 중첩을 조정하는 것을 포함할 수 있다. 마스크 데이터 준비 단계(958)는 또한 포토마스크(964) 상에서 패턴의 선량 마진을 증가시키는 것을 포함할 수 있다. 마스크 데이터 준비는 또한 약간 상이한 패턴들을 갖고 표면상에 형성될 패턴들을 입력하는 것, 다수의 패턴들을 형성하기 위해 사용될 캐릭터들의 세트를 선택하는 것으로서, 상기 캐릭터들의 세트는 스텐실 마스크에 잘 맞고, 상기 캐릭터들의 세트는 가능하게는 복합 및 VSB 캐릭터들 둘 모두를 포함하며, 상기 캐릭터들의 세트는 변화하는 캐릭터 선량 또는 변화하는 캐릭터 위치 또는 변화하는 빔 블러 반경에 기초하는, 상기 선택하기 또는 상기 캐릭터들의 세트 내에서 캐릭터의 부분적인 노광을 적용하는 것 또는 샷 카운트 또는 총 기록 시간을 감소시키기 위해 캐릭터를 드래그하는 것을 포함할 수 있다. 표면상에서 약간 상이한 패턴들의 세트는 기판상에서 실질적으로 동일한 패턴을 생성하도록 설계될 수 있다. 또한, 상기 캐릭터들의 세트는 미리 결정된 캐릭터들의 세트로부터 선택될 수 있다. 본 발명의 일 실시예에서, 마스크 기록 단계(962) 동안 빠르게 선택될 수 있는 단계(980)에서 스텐실에 이용가능한 캐릭터들의 세트는 특정 마스크 설계를 위해 준비될 수 있다. 상기 실시예에서, 마스크 데이터 준비 단계(958)가 완료되면, 스텐실이 단계(984)에서 준비된다. 본 발명의 또 다른 실시예에서, 스텐실은 MDP 단계(958) 이전 또는 그것과 동시에 단계(984)에서 준비되며 특정한 마스크 설계에 독립적일 수 있다. 이 실시예에서, 단계(980)에서 이용가능한 캐릭터들 및 스텐실 레이아웃은 특정한 OPC 프로그램(954) 또는 특정한 MDP 프로그램(958) 또는 메모리들, 플래시 메모리들, 시스템 온 칩 설계들과 같은 물리적 설계(952)를 특성화하는 특정한 유형들의 설계들, 또는 물리적 설계(952)로 설계되는 특정한 공정 기술, 또는 물리적 설계(952)에 사용된 특정한 셀 라이브러리, 또는 마스크 설계(956)에서 약간 상이한 패턴들의 상이한 세트들을 형성할 수 있는 임의의 다른 공통 특성들에 의해 출력될 가능성이 높은 패턴들을 통합하기 위해 많은 잠재적인 마스크 설계들(956)에 대해 포괄적으로 출력하기 위해 단계(982)에서 설계된다. 상기 스텐실은 단계(958)에서 결정된 제한된 수의 캐릭터들과 같은, 캐릭터들의 세트를 포함할 수 있다.
상기 샷 리스트(960)는 전자 빔 기록기 시스템과 같은 하전된 입자 빔 기록기를 사용하는, 마스크 기록 단계(962)에 표면을 생성하기 위해 사용된다. 마스크 준비 단계(962)는 VSB 개구부들 및 복수의 복합 캐릭터들 양쪽 모두를 포함한 스텐실(984)을 사용할 수 있거나, 또는 단지 VSB 개구부들만을 포함한 스텐실을 사용할 수 있다. 상기 전자 빔 기록기 시스템은 레티클과 같은 표면에 패턴들을 형성하기 위해 스텐실을 통해 표면으로 전자들의 빔을 투사하며, 이것은 그 후 포토마스크(964)가 되도록 처리된다. 완성된 포토마스크(964)는 그 후 광학 리소구배 장비에서 사용될 수 있으며, 이것은 단계(966)에 도시된다. 마지막으로, 단계(968)에서, 실리콘 웨이퍼와 같은 기판이 생성된다. 이전에 설명된 바와 같이, 단계(980)에서, 캐릭터들은 OPC 단계(954) 또는 MDP 단계(958)에 제공될 수 있다. 상기 단계(980)는 또한 캐릭터들을 캐릭터 및 스텐실 설계 단계(982) 또는 샷 그룹 사전-산출 단계(972)에 제공한다. 상기 캐릭터 및 스텐실 설계 단계(982)는 입력을 상기 스텐실 단계(984)에 및 상기 캐릭터들 단계(980)에 제공한다. 상기 샷 그룹 생성 단계(972)는 정보를 샷 그룹 라이브러리(974)에 제공한다. 또한, 상기 샷 그룹 사전-산출 단계(972)는 물리적 설계(952) 또는 마스크 설계(956)를 입력으로서 사용할 수 있으며, 샷 그룹 라이브러리(974)에 저장되는 하나 이상의 샷 그룹들을 사전-산출할 수 있다.
이제 도 10을 참조하면, 실리콘 웨이퍼와 같은 기판상에 직접 기록되는 표면을 준비하는 방법에 대한 또 다른 개념 흐름도(1050)가 도시된다. 제 1 단계(1052)에서, 집적 회로의 물리적 설계와 같은 물리적 설계가 결정된다. 이것은 설계자가 기판으로 전사하길 원하는 이상적인 패턴일 수 있다. 상기 물리적 설계는 직선으로 이루어지고, 부분적으로 곡선으로 이루어지거나, 또는 완전히 곡선으로 이루어질 수 있다. 다음으로, 단계(1058)에서, 근접 영향 보정(proximity effect correction; PEC), 및 프랙쳐링와 같은 다른 데이터 준비(data preparation; DP) 단계들이 기판 기록 디바이스에 대한 입력 데이터를 준비하기 위해 수행된다. 단계(1058)는 기판 이미지(1068)가 βf에서의 변화들에 민감하지 않도록 샷 중첩을 조정하는 것을 포함할 수 있다. 상기 단계(1058)는 또한 단계(1074)로부터 가능한 글리프들 또는 파라미터화된 글리프들을 입력하는 것을 포함할 수 있으며, 상기 글리프들은 가능하게는 중첩 VSB 샷들에 기초하며, 상기 글리프들은 글리프 생성 단계(1072)에서 샷 선량을 변화시키거나 또는 샷 위치를 변화시키는 산출을 사용하여 결정된다. 상기 단계(1058)는 또한 단계(1052)에서 생성된 물리적 설계에 가깝게 매칭하는 웨이퍼 이미지를 생성하기 위해 글리프들을 매칭시키기 위한 패턴 매칭을 포함할 수 있다. 패턴 매칭, 선량 할당, 및 동치 검사의, 잠재적으로 구성에 의한 정정 "결정론적" 산출이 수행되는 단지 하나의 반복을 포함한, 반복들이 또한 수행될 수 있다. 단계(1058)의 결과는 샷 리스트(1060)이며, 이것은 그 후 웨이퍼 기록 단계(1062)에서 웨이퍼를 준비하기 위해 사용된다. 일 실시예에서, 웨이퍼 기록(1062)은 전자 빔 기록기 시스템을 사용하여 달성될 수 있다. 상기 전자 빔 기록기 시스템은 실리콘 웨이퍼와 같은 기판(1068) 상에 패턴들을 형성하기 위해 조정 가능한 개구부를 통해 표면으로 전자들의 빔을 투사한다. 샷 그룹 사전-산출 단계(1072)는 샷 그룹 라이브러리(1074)에 정보를 제공한다. 또한, 상기 샷 그룹 사전-산출 단계(1072)는 입력으로서 물리적 설계(1052)를 사용할 수 있으며, 샷 그룹 라이브러리(1074)에 저장되는, 하나 이상의 샷 그룹들을 사전-산출할 수 있다. 상기 그룹(1062)은 처리의 각각의 층을 위해 요구된 바와 같은 반복된 애플리케이션을 포함할 수 있으며, 잠재적으로 일부는 도 9에 관련하여 설명된 방법들을 사용하여 처리되며 다른 것들은 도 10에 관하여 상기 개괄된 방법들을 사용하여 처리되거나, 또는 다른 것들은 실리콘 웨이퍼 상에 집적 회로들을 생성하기 위해 임의의 다른 웨이퍼 기록 방법을 사용하여 생성된다.
본 발명에 설명된 프랙쳐링, 마스크 데이터 준비, 근접 영향 보정 및 샷 그룹 생성 흐름들은 계산 디바이스들로서 적절한 컴퓨터 소프트웨어를 가진 범용 컴퓨터들을 사용하여 구현될 수 있다. 요구된 다량의 산출들로 인해, 다수의 컴퓨터들 또는 프로세서 코어들이 또한 동시에 사용될 수 있다. 일 실시예에서, 상기 산출들은 병렬 처리를 지원하기 위해, 상기 흐름에서 하나 이상의 계산-집중 단계들을 위한 복수의 2-차원 기하학적 영역들로 세분될 수 있다. 또 다른 실시예에서, 단일로 또는 다수들로 사용된 특수-목적 하드웨어 디바이스는 범용 컴퓨터들 또는 프로세서 코어들을 사용하는 것보다 더 빠른 속도를 갖고 하나 이상의 단계들의 계산들을 수행하기 위해 사용될 수 있다. 일 실시예에서, 상기 특수-목적 하드웨어 디바이스는 그래픽스 프로세싱 유닛(graphics processing unit; GPU)일 수 있다. 또 다른 실시예에서, 본 발명에 설명된 최적화 및 시뮬레이션 공정들은 가능한 해결책들을 변경하고 재산출하는 반복적인 공정들을 포함할 수 있으며, 따라서 샷들의 총 수, 또는 총 하전된 입자 빔 기록 시간, 또는 일부 다른 파라미터를 최소화한다. 또 다른 실시예에서, 샷들의 초기 세트는 구성에 의한 정정 방법에서 결정될 수 있으며, 그러므로 어떤 샷 수정들도 요구되지 않는다.
명세서는 특정 실시예들에 대하여 상세히 설명하였지만, 앞서 말한 것의 이해를 이룰 때, 이 기술분야의 숙련자들은 이들 실시예들에 대한 변경들, 그것의 변화들, 및 그에 대한 등가물들을 쉽게 상상할 수 있다는 것이 이해될 것이다. 이들 및 프랙쳐링, 마스크 데이터 준비, 근접 영향 보정 및 광학 근접 보정을 위한 본 방법들에 대한 다른 수정들 및 변화들이, 보다 특히 첨부된 청구항들에 제안되는, 본 주제의 사상 및 범위로부터 벗어나지 않고, 이 기술분야의 숙련자들에 의해 실시될 수 있다. 더욱이, 이 기술분야의 숙련자들은 앞서 말한 설명이 단지 예로서이며 제한적인 것으로 의도되지 않는다는 것을 이해할 것이다. 단계들은 본 발명의 범위로부터 벗어나지 않고 본 명세서에서의 단계들에 부가되고, 그로부터 취해지거나 또는 그로부터 수정될 수 있다. 일반적으로, 제공된 임의의 흐름도들은 단지 기능을 달성하기 위해 기본 동작들의 하나의 가능한 시퀀스를 표시하도록 의도되며, 많은 변화들이 가능하다. 따라서, 본 주제는 첨부된 청구항들 및 그 등가물들의 범위 내에 있는 바와 같이 이러한 수정들 및 변화들을 커버하도록 의도된다.

Claims (28)

  1. 빔 블러(βf)를 포함한 하전된 입자 빔 리소그래피 공정을 사용하여 표면을 제조하기 위한 방법에 있어서,
    상기 표면상에 패턴을 형성할 복수의 하전된 입자 빔 샷들을 결정하는 단계로서, 상기 복수의 샷들에서 일부 샷들은 서로 중첩하는, 상기 결정 단계;
    βf에서의 변화에 대한 패턴 민감도를 감소시키는 단계; 및
    상기 복수의 샷들을 갖고 상기 표면상에 상기 패턴을 형성하는 단계를 포함하는, 표면을 제조하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 βf에 대한 패턴 민감도는 상기 복수의 샷들에서의 샷들 간 상기 중첩을 변경함으로써 감소되는, 표면을 제조하기 위한 방법.
  3. 제 1 항에 있어서,
    상기 민감도는 임계 치수 민감도(critical dimension sensitivity)를 포함하는, 표면을 제조하기 위한 방법.
  4. 제 1 항에 있어서,
    상기 감소시키는 단계는 하전된 입자 빔 시뮬레이션을 사용하는 단계를 포함하는, 표면을 제조하기 위한 방법.
  5. 제 4 항에 있어서,
    상기 하전된 입자 빔 시뮬레이션은 전방 산란, 후방 산란, 레지스트 확산, 쿨롱 효과(Coulomb effect), 에칭, 포깅(fogging), 로딩 및 레지스트 충전으로 이루어진 그룹 중 적어도 하나를 포함하는, 표면을 제조하기 위한 방법.
  6. 레티클을 사용한 광학 리소그래피 공정을 사용하여 집적 회로를 제조하기 위한 방법으로서, 상기 레티클은 빔 블러(βf)를 포함한 하전된 입자 빔 리소그래피 공정을 사용하여 제조되는, 상기 집적 회로를 제조하기 위한 방법에 있어서,
    상기 레티클 상에 패턴을 형성할 복수의 하전된 입자 빔 샷들을 결정하는 단계로서, 상기 복수의 샷들에서 일부 샷들은 서로 중첩하는, 상기 결정 단계;
    βf에서의 변화에 대한 패턴 민감도를 감소시키는 단계; 및
    상기 복수의 샷들을 갖고 상기 레티클 상에 상기 패턴을 형성하는 단계를 포함하는, 집적 회로를 제조하기 위한 방법.
  7. 제 6 항에 있어서,
    상기 βf에 대한 패턴 민감도는 상기 복수의 샷들에서의 샷들 간 상기 중첩을 변경함으로써 감소되는, 집적 회로를 제조하기 위한 방법.
  8. 제 6 항에 있어서,
    상기 감소시키는 단계는 하전된 입자 빔 시뮬레이션을 사용하는 단계를 포함하는, 집적 회로를 제조하기 위한 방법.
  9. 제 8 항에 있어서,
    상기 하전된 입자 빔 시뮬레이션은 전방 산란, 후방 산란, 레지스트 확산, 쿨롱 효과, 에칭, 포깅, 로딩 및 레지스트 충전으로 이루어진 그룹 중 적어도 하나를 포함하는, 집적 회로를 제조하기 위한 방법.
  10. 빔 블러(βf)를 포함한 하전된 입자 빔 리소그래피 공정과 사용하기 위한 프랙쳐링(fracturing) 또는 마스크 데이터 준비 또는 마스크 공정 보정을 위한 방법에 있어서,
    표면상에 패턴을 형성할 복수의 하전된 입자 빔 샷들을 결정하는 단계로서, 상기 복수의 샷들에서 일부 샷들은 서로 중첩하는, 상기 결정 단계; 및
    βf에서의 변화에 대한 패턴 민감도를 감소시키는 단계를 포함하는, 프랙쳐링 또는 마스크 데이터 준비 또는 마스크 공정 보정을 위한 방법.
  11. 제 10 항에 있어서,
    상기 복수의 샷들에서 샷들은 가변 성형 빔(VSB) 샷들을 포함하는, 프랙쳐링 또는 마스크 데이터 준비 또는 마스크 공정 보정을 위한 방법.
  12. 제 10 항에 있어서,
    상기 βf에 대한 패턴 민감도는 상기 복수의 샷들에서의 샷들 간 상기 중첩을 변경함으로써 감소되는, 프랙쳐링 또는 마스크 데이터 준비 또는 마스크 공정 보정을 위한 방법.
  13. 제 12 항에 있어서,
    상기 샷 중첩은 상기 표면상에서의 상기 패턴의 둘레 가까이에 있는, 프랙쳐링 또는 마스크 데이터 준비 또는 마스크 공정 보정을 위한 방법.
  14. 제 10 항에 있어서,
    상기 감소시키는 단계는 최적화 기술(optimization technique)을 사용하는 단계를 포함하는, 프랙쳐링 또는 마스크 데이터 준비 또는 마스크 공정 보정을 위한 방법.
  15. 제 14 항에 있어서,
    상기 민감도는 βf의 미리 결정된 범위 내에서, 최소화되거나 또는 거의 최소화되는, 프랙쳐링 또는 마스크 데이터 준비 또는 마스크 공정 보정을 위한 방법.
  16. 제 10 항에 있어서,
    상기 감소시키는 단계는 규칙-기반 기술(rule-based technique)을 포함하는, 프랙쳐링 또는 마스크 데이터 준비 또는 마스크 공정 보정을 위한 방법.
  17. 제 10 항에 있어서,
    상기 결정하는 단계에서, 상기 표면상에서의 상기 패턴의 선량 마진(dose margin)이 증가되는, 프랙쳐링 또는 마스크 데이터 준비 또는 마스크 공정 보정을 위한 방법.
  18. 제 10 항에 있어서,
    상기 민감도는 영역 민감도(area sensitivity)를 포함하는, 프랙쳐링 또는 마스크 데이터 준비 또는 마스크 공정 보정을 위한 방법.
  19. 제 18 항에 있어서,
    상기 샷 중첩은 영역을 포함하며, 상기 감소시키는 단계는:
    βf의 복수의 값들에 대한 상기 복수의 샷들에 의해 형성될 상기 표면상에 상기 패턴을 시뮬레이션하는 단계;
    상기 시뮬레이션이 상기 표면상에서의 상기 패턴의 상기 영역이 증가하는 βf에 따라 감소하는 것을 나타내면 상기 샷 중첩의 영역을 증가시키는 단계; 및
    상기 시뮬레이션이 상기 표면상에서의 상기 패턴의 상기 영역이 증가하는 βf에 따라 증가하는 것을 나타내면 상기 샷 중첩의 영역을 감소시키는 단계를 포함하는, 프랙쳐링 또는 마스크 데이터 준비 또는 마스크 공정 보정을 위한 방법.
  20. 제 19 항에 있어서,
    상기 샷 중첩의 영역이 증가되면 비-중첩 샷 영역은 감소되며, 상기 샷 중첩의 영역이 감소되면 상기 비-중첩 샷 영역은 증가되는, 프랙쳐링 또는 마스크 데이터 준비 또는 마스크 공정 보정을 위한 방법.
  21. 제 10 항에 있어서,
    상기 민감도는 임계 치수 민감도를 포함하는, 프랙쳐링 또는 마스크 데이터 준비 또는 마스크 공정 보정을 위한 방법.
  22. 제 10 항에 있어서,
    상기 패턴은 원형 또는 유사-원형 패턴을 포함하는, 프랙쳐링 또는 마스크 데이터 준비 또는 마스크 공정 보정을 위한 방법.
  23. 제 10 항에 있어서,
    상기 표면은 레티클인, 프랙쳐링 또는 마스크 데이터 준비 또는 마스크 공정 보정을 위한 방법.
  24. 제 10 항에 있어서,
    상기 감소시키는 단계는 하전된 입자 빔 시뮬레이션을 사용하는 단계를 포함하는, 프랙쳐링 또는 마스크 데이터 준비 또는 마스크 공정 보정을 위한 방법.
  25. 제 24 항에 있어서,
    상기 하전된 입자 빔 시뮬레이션은 전방 산란, 후방 산란, 레지스트 확산, 쿨롱 효과, 에칭, 포깅, 로딩 및 레지스트 충전으로 이루어진 그룹 중 적어도 하나를 포함하는, 프랙쳐링 또는 마스크 데이터 준비 또는 마스크 공정 보정을 위한 방법.
  26. 빔 블러(βf)를 포함한 하전된 입자 빔 리소그래피 공정과 사용하기 위한 프랙쳐링 또는 마스크 데이터 준비 또는 마스크 공정 보정을 위한 시스템에 있어서,
    표면상에 패턴을 형성할 복수의 하전된 입자 빔 샷들을 결정할 수 있는 디바이스로서, 상기 복수의 샷들에서 일부 샷들은 서로 중첩하는, 상기 결정할 수 있는 디바이스; 및
    βf에서의 변화에 대한 패턴 민감도를 감소시킬 수 있는 디바이스를 포함하는, 프랙쳐링 또는 마스크 데이터 준비 또는 마스크 공정 보정을 위한 시스템.
  27. 제 26 항에 있어서,
    상기 βf에 대한 패턴 민감도는 상기 복수의 샷들에서의 샷들 간 상기 중첩을 변경함으로써 감소되는, 프랙쳐링 또는 마스크 데이터 준비 또는 마스크 공정 보정을 위한 시스템.
  28. 제 26 항에 있어서,
    상기 감소시킬 수 있는 디바이스는 하전된 입자 빔 시뮬레이션을 포함하는, 프랙쳐링 또는 마스크 데이터 준비 또는 마스크 공정 보정을 위한 시스템.
KR1020147001014A 2011-06-25 2012-06-19 하전된 입자 빔 리소그래피로 패턴들을 형성하기 위한 방법 및 시스템 KR101979799B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/168,953 US8703389B2 (en) 2011-06-25 2011-06-25 Method and system for forming patterns with charged particle beam lithography
US13/168,953 2011-06-25
PCT/US2012/043042 WO2013003102A1 (en) 2011-06-25 2012-06-19 Method and system for forming patterns with charged particle beam lithography

Publications (2)

Publication Number Publication Date
KR20140041736A true KR20140041736A (ko) 2014-04-04
KR101979799B1 KR101979799B1 (ko) 2019-08-30

Family

ID=47362259

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147001014A KR101979799B1 (ko) 2011-06-25 2012-06-19 하전된 입자 빔 리소그래피로 패턴들을 형성하기 위한 방법 및 시스템

Country Status (6)

Country Link
US (1) US8703389B2 (ko)
EP (1) EP2724197A4 (ko)
JP (1) JP6054385B2 (ko)
KR (1) KR101979799B1 (ko)
TW (1) TWI569088B (ko)
WO (1) WO2013003102A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170048158A (ko) * 2015-10-06 2017-05-08 아셀타 나노그라픽 입자 또는 광자 빔의 직접 기록의 샷 카운트 감소 방법

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120219886A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US7901850B2 (en) 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US8057970B2 (en) 2008-09-01 2011-11-15 D2S, Inc. Method and system for forming circular patterns on a surface
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US9323140B2 (en) 2008-09-01 2016-04-26 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US9448473B2 (en) 2009-08-26 2016-09-20 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9034542B2 (en) 2011-06-25 2015-05-19 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
WO2013158573A1 (en) 2012-04-18 2013-10-24 D2S, Inc. Method and system for forming patterns using charged particle beam lithograph
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US8826196B2 (en) * 2013-01-30 2014-09-02 Mentor Graphics Corporation Integration of optical proximity correction and mask data preparation
JP6169876B2 (ja) 2013-04-11 2017-07-26 日本コントロールシステム株式会社 電子ビーム描画装置、描画用図形データ作成装置、電子ビーム描画方法、描画用図形データ作成方法、およびプログラム
US9009634B2 (en) 2013-07-08 2015-04-14 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
US9170501B2 (en) 2013-07-08 2015-10-27 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
JP6283180B2 (ja) 2013-08-08 2018-02-21 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
EP2869119A1 (en) * 2013-10-30 2015-05-06 Aselta Nanographics Free form fracturing method for electronic or optical lithography using resist threshold control
US9023730B1 (en) 2013-11-05 2015-05-05 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating e-beam patterns for directed self-assembly
US9208275B2 (en) 2014-02-25 2015-12-08 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
US9286434B2 (en) 2014-05-23 2016-03-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly (DSA) using DSA target patterns
US10410831B2 (en) 2015-05-12 2019-09-10 Ims Nanofabrication Gmbh Multi-beam writing using inclined exposure stripes
US9817927B2 (en) * 2015-08-31 2017-11-14 Globalfoundries Inc. Hard mask etch and dielectric etch aware overlap for via and metal layers
US10460071B2 (en) * 2015-11-04 2019-10-29 D2S, Inc. Shaped beam lithography including temperature effects
US10325756B2 (en) 2016-06-13 2019-06-18 Ims Nanofabrication Gmbh Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer
US10325757B2 (en) 2017-01-27 2019-06-18 Ims Nanofabrication Gmbh Advanced dose-level quantization of multibeam-writers
US10663633B2 (en) 2017-06-29 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Aperture design and methods thereof
US10522329B2 (en) 2017-08-25 2019-12-31 Ims Nanofabrication Gmbh Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
US11569064B2 (en) 2017-09-18 2023-01-31 Ims Nanofabrication Gmbh Method for irradiating a target using restricted placement grids
US10651010B2 (en) 2018-01-09 2020-05-12 Ims Nanofabrication Gmbh Non-linear dose- and blur-dependent edge placement correction
EP3518272A1 (en) * 2018-01-09 2019-07-31 IMS Nanofabrication GmbH Non-linear dose- and blur-dependent edge placement correction
US10840054B2 (en) 2018-01-30 2020-11-17 Ims Nanofabrication Gmbh Charged-particle source and method for cleaning a charged-particle source using back-sputtering
US11099482B2 (en) 2019-05-03 2021-08-24 Ims Nanofabrication Gmbh Adapting the duration of exposure slots in multi-beam writers
US11467488B2 (en) * 2019-06-14 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor apparatus and method of operating the same
KR20210132599A (ko) 2020-04-24 2021-11-04 아이엠에스 나노패브릭케이션 게엠베하 대전 입자 소스

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004063546A (ja) * 2002-07-25 2004-02-26 Nikon Corp 電子ビーム露光方法
KR20110021698A (ko) * 2009-08-26 2011-03-04 디2에스, 인코포레이티드 하전 입자 빔 리소그래피에 의해 곡선 캐릭터들을 사용하여 패턴을 형성하고 분할하기 위한 방법

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04155337A (ja) 1990-10-18 1992-05-28 Nec Corp フォトマスクの製造方法
JPH10294255A (ja) 1997-04-17 1998-11-04 Canon Inc 電子ビーム照明装置、および該電子ビーム照明装置を備えた露光装置
WO1999056308A1 (fr) 1998-04-28 1999-11-04 Nikon Corporation Systeme d'exposition et procede de production d'un microdispositif
JP2000269123A (ja) * 1999-03-19 2000-09-29 Toshiba Corp 露光パターンデータの生成方法と荷電ビーム露光装置
JP2002162566A (ja) 2000-11-27 2002-06-07 Nikon Corp 光学系の設計方法,光学系および投影露光装置
JP2002329659A (ja) 2001-05-02 2002-11-15 Nikon Corp 荷電粒子線露光方法、荷電粒子線露光装置及びデバイス製造方法
US6767674B2 (en) 2001-10-26 2004-07-27 Infineon Technologies Ag Method for obtaining elliptical and rounded shapes using beam shaping
SG125109A1 (en) 2003-01-14 2006-09-29 Asml Masktools Bv Method and apparatus for providing optical proximity features to a reticle pattern for deep sub-wavelength optical lithography
US7186486B2 (en) 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
US6873938B1 (en) 2003-09-17 2005-03-29 Asml Netherlands B.V. Adaptive lithographic critical dimension enhancement
EP1612835A1 (en) * 2004-06-29 2006-01-04 Leica Microsystems Lithography GmbH Method for Reducing the Fogging Effect
JP4324049B2 (ja) 2004-07-23 2009-09-02 富士通マイクロエレクトロニクス株式会社 マスクパターンの補正装置及び方法、並びに露光補正装置及び方法
JP2007115999A (ja) 2005-10-21 2007-05-10 Toshiba Corp キャラクタプロジェクション(cp)方式の荷電粒子ビーム露光方法、キャラクタプロジェクション方式の荷電粒子ビーム露光装置及びプログラム
US7902528B2 (en) * 2006-11-21 2011-03-08 Cadence Design Systems, Inc. Method and system for proximity effect and dose correction for a particle beam writing device
JP2008175959A (ja) 2007-01-17 2008-07-31 Toshiba Corp フォトマスク製造方法、及び半導体装置の製造方法
US20130070222A1 (en) 2011-09-19 2013-03-21 D2S, Inc. Method and System for Optimization of an Image on a Substrate to be Manufactured Using Optical Lithography
EP2321701A2 (en) 2008-09-01 2011-05-18 D2S, Inc. Method for optical proximity correction, design and manufacturing of a reticle using character projection lithography
EP2321840B1 (en) 2008-09-01 2017-05-03 D2S, Inc. Method for optical proximity correction, design and manufacturing of a reticle using variable shaped beam lithography
US8017288B2 (en) 2008-09-01 2011-09-13 D2S, Inc. Method for fracturing circular patterns and for manufacturing a semiconductor device
US7901850B2 (en) 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US7799489B2 (en) 2008-09-01 2010-09-21 D2S, Inc. Method for design and manufacture of a reticle using variable shaped beam lithography
US7759027B2 (en) 2008-09-01 2010-07-20 D2S, Inc. Method and system for design of a reticle to be manufactured using character projection lithography
US7981575B2 (en) 2008-09-01 2011-07-19 DS2, Inc. Method for optical proximity correction of a reticle to be manufactured using variable shaped beam lithography
WO2011022703A1 (en) 2009-08-21 2011-02-24 D2S, Inc. Method and system for manufacturing a surface using character projection lithography with variable magnification
TWI496182B (zh) 2009-08-26 2015-08-11 D2S Inc 以可變束模糊技術使用帶電粒子束微影術製造表面之方法及系統
JP5289343B2 (ja) 2010-01-15 2013-09-11 株式会社東芝 露光量決定方法、半導体装置の製造方法、露光量決定プログラムおよび露光量決定装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004063546A (ja) * 2002-07-25 2004-02-26 Nikon Corp 電子ビーム露光方法
KR20110021698A (ko) * 2009-08-26 2011-03-04 디2에스, 인코포레이티드 하전 입자 빔 리소그래피에 의해 곡선 캐릭터들을 사용하여 패턴을 형성하고 분할하기 위한 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170048158A (ko) * 2015-10-06 2017-05-08 아셀타 나노그라픽 입자 또는 광자 빔의 직접 기록의 샷 카운트 감소 방법

Also Published As

Publication number Publication date
US20120329289A1 (en) 2012-12-27
US8703389B2 (en) 2014-04-22
TWI569088B (zh) 2017-02-01
WO2013003102A1 (en) 2013-01-03
JP6054385B2 (ja) 2016-12-27
JP2014520403A (ja) 2014-08-21
EP2724197A4 (en) 2015-08-26
TW201305718A (zh) 2013-02-01
EP2724197A1 (en) 2014-04-30
KR101979799B1 (ko) 2019-08-30

Similar Documents

Publication Publication Date Title
KR101979799B1 (ko) 하전된 입자 빔 리소그래피로 패턴들을 형성하기 위한 방법 및 시스템
US10031413B2 (en) Method and system for forming patterns using charged particle beam lithography
US10101648B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
US8612901B2 (en) Method and system for forming patterns using charged particle beam lithography with multiple exposure passes
US9625809B2 (en) Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US9465297B2 (en) Method and system for forming patterns with charged particle beam lithography
US20130070222A1 (en) Method and System for Optimization of an Image on a Substrate to be Manufactured Using Optical Lithography
KR20110069044A (ko) 가변 형상 비임 리소그래피를 이용한 레티클의 광 근접 보정, 설계 및 제조 방법
KR102258587B1 (ko) 하전 입자 빔 리소그래피를 이용한 치수 균일도를 위한 방법 및 시스템
KR20140015340A (ko) 하전 입자 빔 리소그래피를 사용하여 패턴들을 형성하는 방법 및 시스템
US8745549B2 (en) Method and system for forming high precision patterns using charged particle beam lithography
US9323140B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
WO2012148606A2 (en) Method and system for forming non-manhattan patterns using variable shaped beam lithography
TWI592762B (zh) 使用帶電粒子束微影術形成圖案的方法與系統

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant