TWI592762B - 使用帶電粒子束微影術形成圖案的方法與系統 - Google Patents

使用帶電粒子束微影術形成圖案的方法與系統 Download PDF

Info

Publication number
TWI592762B
TWI592762B TW101105806A TW101105806A TWI592762B TW I592762 B TWI592762 B TW I592762B TW 101105806 A TW101105806 A TW 101105806A TW 101105806 A TW101105806 A TW 101105806A TW I592762 B TWI592762 B TW I592762B
Authority
TW
Taiwan
Prior art keywords
dose
pattern
photoresist
shots
shot
Prior art date
Application number
TW101105806A
Other languages
English (en)
Other versions
TW201250394A (en
Inventor
藤村明
哈洛德R 札伯
Original Assignee
D2S公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/037,268 external-priority patent/US20120221980A1/en
Priority claimed from US13/037,263 external-priority patent/US20120221985A1/en
Priority claimed from US13/037,270 external-priority patent/US9057956B2/en
Priority claimed from US13/329,315 external-priority patent/US20120219886A1/en
Priority claimed from US13/329,314 external-priority patent/US20120217421A1/en
Application filed by D2S公司 filed Critical D2S公司
Publication of TW201250394A publication Critical patent/TW201250394A/zh
Application granted granted Critical
Publication of TWI592762B publication Critical patent/TWI592762B/zh

Links

Description

使用帶電粒子束微影術形成圖案的方法與系統 相關申請案
此申請案(1)主張2011年12月18日提出申請,且發明名稱為「使用具有重疊射擊之帶電粒子束微影術形成圖案的方法與系統(Method and System for Forming Patterns Using Charged Particle Beam Lithography with Overlapping Shots)」之美國專利申請案第13/329,314號,以及(2)主張2011年12月18日提出申請,且發明名稱為「使用具有可變圖案劑量之帶電粒子束微影術形成圖案的方法與系統(Method and System for Forming Patterns Using Charged Particle Beam Lithography with Variable Pattern Dosage)」之美國專利申請案第13/329,315號,此二文獻皆為在2011年2月28日提出申請,且發明名稱為「用於使用帶電粒子束微影術之待製造表面之設計的方法與系統(Method and System For Design Of A Surface To Be Manufactured Using Charged Particle Beam Lithography)」之美國專利申請案第13/037,263號的部分繼續申請案;且此等文獻就各方面而言係併入本文中以供參考。此申請案亦關於2011年2月28日提出申請,且發明名稱為「用於帶電粒子束微影術用之增強精確圖案之設計的方法與系統(Method and System For Design Of Enhanced Accuracy Patterns For Charged Particle Beam Lithography)」之美國專利申請案第13/037,268號,以及關於2011年2月28日提出申請,且發明名稱為「用 於帶電粒子束微影術用之增強邊緣斜率圖案之設計的方法與系統(Method and System For Design Of Enhanced Edge Slope Patterns For Charged Particle Beam Lithography)」之美國專利申請案第13/037,270號,此二文獻就各方面而言皆併入本文中以供參考。
技術領域
本發明揭露是關於微影術,且特別是關於使用帶電粒子束微影術之表面的設計與製造,此表面可為倍縮光罩(reticle)、晶圓或任何其它表面。
在例如積體電路之半導體元件的生產或製造中,光微影術可用於製造半導體元件。光微影術為一印刷製程,其中由倍縮光罩製造之光刻遮罩或光罩係用於轉移圖案至如半導體或矽晶圓的基板上以產生積體電路(I.C.)。其他基板可包括平面顯示器或甚至其它的倍縮光罩。雖然傳統的光微影術使用具有波長193 nm的光源,極紫外線(EUV)或X-射線微影術在此應用上亦被認為是光微影術的類型。倍縮光罩或多重倍縮光罩可含有對應於積體電路個別層的電路圖案,且此圖案可成像在基板之已塗覆有一已知的光阻或抗蝕劑之輻射敏感材料層的特定區域上。一旦圖案化層被轉移,該層可進行各種不同的其它製程,例如蝕刻、離子植入(摻雜)、金屬化、氧化及拋光。此等製程係應用於完成基板中的個別層。若需要數層,則每一新層將重覆整個製程或其變化。最後,多數元件或積體電路的組合將呈現在 基板上。此等積體電路可接著藉由切割或鋸開而彼此分離,且接著可安裝入個別的封裝體中。在更一般的例子中,基板上的圖案可用於界定加工品,例如顯示器像素、全息圖或磁性記錄頭。在光微影術製程期間,傳統光微影術寫入機一般以四的倍數縮小光罩圖案。因此,形成在倍縮光罩或遮罩上的圖案必須比基板或晶圓上所欲圖案的尺寸大四倍。
在例如積體電路之半導體裝置的生產或製造中,非光學方法可用於將光微影遮罩上的圖案轉移至例如矽晶圓的基板。奈米壓模微影術(NIL)為非光微影術製造的一例子。在奈米壓模微影術中,光微影遮罩圖案係經由使光微影術遮罩與表面接觸而轉移至一表面。
在例如積體電路之半導體元件的生產或製造中,無光罩直寫(maskless direct write)亦可使用於製造半導體元件。無光罩直寫為一種印刷製程,其中將帶電粒子束微影術使用於轉移圖案至例如半導體或矽晶圓的基板以產生積體電路。其它基板可包括平面顯示器,用於奈米壓模微影術的壓印光罩,或甚至是倍縮光罩。一層的所欲圖案係直接寫在表面上,該表面在此例子中亦為基板。一旦圖案層被轉移,該層可進行各種不同的其它製程,例如蝕刻、離子植入(摻雜)、金屬化、氧化,及拋光。此等製程係應用於最後加工基板中的個別層。若需要數層,則每一新層將重覆整個製程或其變化。部分層可使用光微影術寫入,而其它層可使用無光罩直寫來寫入以製造相同基板。另外,特 定層的部分圖案可使用光微影術寫入,且使用無光罩直寫其它圖案。最後,多數元件或積體電路的組合將出現在基板上。此等積體電路接著藉由切割或鋸切彼此分離且接著安裝入個別封裝體中。在更一般性的例子中,表面上的圖案可使用於界定例如顯示器像素、全息圖或磁性記錄頭。
二種常見形式之帶電粒子束微影術為可變型束(VSB)及符元投影(CP)。此等皆為形狀電子束帶電粒子束微影術的次種類,其中精密電子束被成型且被操縱,以致能曝光塗覆光阻的表面,例如晶圓表面或倍縮光罩表面。在VSB中,此等形式為簡單形狀,一般限制於特定最小及最大尺寸的矩形,且具有與笛卡兒座標平面(亦即具有曼哈頓(manhattan)位向)之軸平行的邊,以及特定最小及最大尺寸的45度正三角形(亦即具有三個內角為45度、45度及90度的三角形)。在預定的位置,將電子劑量射擊入具有此等簡單形狀的光阻中。此系統形式的總寫入時間隨著射擊次數而增加。在符元投影(CP)中,在系統中有一模板,其中具有各種不同的孔徑或符元,該孔徑或符元可為複雜的形狀,例如直線、任意角度的線形、圓形、近圓形、環形、近環形、橢圓形、近橢圓形、部分圓形、部分近圓形、部分環形、部分近環形、部分近橢圓形,或任意曲線形狀,且可為一連接的複雜形狀組或一連接的複雜形狀組的多數脫節組的群組。電子束可經由模板上之一符元射擊以有效率地在倍縮光罩上產生更複雜的圖案。理論上,因為此一系統在每次耗時射擊能夠射擊出更複雜的形狀,所以能夠 比VSB系統更快速。因此,利用VSB系統之E-型圖案射擊需要4次射擊,但利用符元投影系統,以1次射擊可射擊出相同的E-型圖案。需注意的是,VSB系統可視為做為符元投影之特殊(簡單)個案,其中此等符元只是簡單符元,一般為矩形或45-45-90度的三角形。亦可能局部曝光一符元。其可藉由例如阻斷部分粒子束來完成。舉例而言,上述之E-型圖案可局部曝光成F-型圖案或I-型圖案,其中藉由孔徑截斷不同的射束部分。此與如何可使用VSB射擊不同尺寸矩形的機制相同。在此揭露中,局部投影係用於意指符元投影及VSB投影二者。
如所指出者,在光微影術中,光刻遮罩或倍縮光罩包含對應待積體化至基板上的電路元件的幾何圖案。用於製造倍縮光罩之圖案可利用電腦輔助設計(CAD)軟體或程式產生。在設計圖案上,CAD程式可依循一組預定的設計規則以致能產生倍縮光罩。此等規則可藉由加工、設計及終端使用限制來設定。終端使用限制的一例子為以無法在所需供應電壓下充分操作的方式,限定電晶體之幾何形狀。尤其,設計規則可界定電路裝置或互連線之間的空間公差。此設計規則為例如用於確保電路裝置或線以非所欲的方式與另一者交互作用。舉例而言,使用設計規則,使得線不會以可造成短路的方式彼此太靠近。除了其它事項之外,此設計規則限制能被可靠製造的最小尺寸。當意指此等最小尺寸時,通常引入臨界尺寸的觀念。此等例如界定為一線的最小寬度或二線之間的最小空間,此等尺寸需要 精細控制。
在藉由光微影術之積體電路製造中的一目標為藉由使用倍縮光罩,在基板上複製原始電路設計。積體電路製造者總是企圖盡可能有效率地使用半導體晶圓真實狀況。工程師保持縮小電路尺寸以容許積體電路含有更多的電路元件及使用較少電力。因為積體電路臨界尺寸降低且其電路密度增加,電路圖案或實體設計的臨界尺寸逼近使用於傳統光微影術之光學曝光工具的解析限度。因為電路圖案的臨界尺寸變得更小且逼近曝光工具的解析度值,實體設計至光阻層上顯影之實際電路圖案的精確轉錄變得困難。為了進一步使用光微影術於轉移具有小於光微影術使用之光波長的特徵之圖案,已發展一種已知為光學鄰近校正(OPC)的製程。OPC改變實體設計以補償因例如光繞射及特徵與緊鄰特徵之光交互作用的效應造成的畸變。OPC包括所有利用倍縮光罩執行的解析度增進技術。
OPC可增加次解析微影特徵以遮罩圖案以降低原始實體設計圖案,亦即設計,與基板上最終被轉移之電路圖案之間的差異。此次解析微影特徵與實體設計中原始圖案相互作用且彼此相互作用,且補償緊近效應以改良最終被轉移之電路圖案。用於改良圖案之轉移的一特徵為次解析輔助特徵(SRAF)。增加以改良圖案轉移的另一特徵稱為「襯線」。襯線為能被放置在圖案的內部或外部角落以銳化最終被轉移影像中之該角落的小特徵。通常的狀況為SRAF之表面製造方法的精密度要求低於通常被稱為主要特徵之欲印 刷在基板上的圖案。襯線為主要特徵的一部分。因為光微影術的限制更延伸入次波長波段(sub-wavelength regime),必須使OPC特徵愈來愈複雜,以致於補償更為細微的交互作用及功效。因為成像系統被推向更靠近其限制,製造具有足夠精細OPC特徵的倍縮光罩的能力變為關鍵性的。雖然增加襯線或其他OPC特徵至光罩圖案是有利的,其亦實質增加光罩圖案中的總特徵計數。舉例而言,使用傳統技術將一襯線添加至一正方形的每一角落,將使光罩或倍縮光罩多增加8個矩形。增加OPC特徵是非常吃力的工作,需要費用極高的計算時間,且造成更昂貴的倍縮光罩。不僅OPC特徵複雜,且因為光學鄰近效應(optical proximity effect)與最小線距尺寸相較為長程,在既定位置的正確OPC圖案顯著地依鄰近其他幾何圖形而定。因此,舉例而言,依倍縮光罩上鄰近者而定,線末端將具有不同尺寸的襯線。即使目的可能是在晶圓上製造完全相同的形狀也是如此。此等些微但關鍵性的改變是重要的,且已防止避免其他得以形成倍縮光罩圖案。傳統上是以設計特徵的角度討論待寫在倍縮光罩上的OPC裝飾圖案(OPC decoration),該主要特徵為在OPC裝飾之前反映出來的特徵,且OPC特徵,其中OPC特徵可包括襯線、直角凹凸(jogs)及SRAF。為了量化些微改變的意義,由鄰近地區至鄰近地區OPC裝飾中典型的些微改變可為主要特徵尺寸的5%至80%。需注意的是,為了清楚起見,所參照的是OPC設計中的變化。例如角落圓化之製造變化,亦將出現於實際表面圖案中。當 此等OPC變化在晶圓上產生實質相同圖案時,其意指晶圓上的幾何圖形在具體指明的失誤內被規定成相同,其依幾何圖形被設計執行的功能細節而定,例如電晶體或導線。然而,典型的規格為主要特徵範圍的2%-50%。存在有無數亦造成變化的製造因子,但整體失誤的OPC組件一般是在所列示的範圍內。例如次解析輔助特徵的OPC形狀,例如受到各種不同設計規則的管制,例如基於使用光微影術可轉移至晶圓之最小特徵尺寸的規則。其它設計規則可來自於光罩製程或若符元投影帶電粒子束寫入系統被使用於在倍縮光罩上形成圖案,則可來自模板製程。亦應注意的是,光罩上SRAF特徵的精確性要求可能低於遮罩上設計特徵的精確性要求。因為製程節點持續縮小,光罩上最小SRAF的尺寸也縮小。舉例而言,在20 nm之邏輯製程節點,在用於最高精密度層之遮罩上需要40 nm至60 nm的SRAF。
反轉式光微影術(ILT)為OPC技術之一種型式。ILT為一種製程,其中待形成在倍縮光罩上的圖案係直接由欲形成在例如矽晶圓之基板上的圖案計算出來。此可包括使用所欲的基板上圖案做為輸入,在反向上模擬光微影術製程。ILT-計算倍縮光罩圖案可為單純曲線-亦即完全非直線-及可包括圓形、近圓形、環形、近環形、橢圓形及/或近橢圓形。因為使用傳統技術在倍縮光罩上形成此等理想的ILT直接曲線圖案是困難且昂貴的,可使用曲線圖案的直線近似或直線化。然而,與理想ILT曲線圖案相較,直線近似降低精確度。此外,直線近似係由理想ILT曲線圖案產生, 與理想ILT曲線圖案相較,整體計算時間增加。在本專利案揭露中,ILT、OPC、光源遮罩最適化(SMO)及計算微影術诙可互換使用的例子。
用於在倍縮光罩上形成圖案的技術很多,包括使用光微影術或帶電粒子束微影術。最常使用的系統為可變型束(VSB),其中如上文中所述,具有例如曼哈頓三角形及45-度正三角形之簡單形狀的電子劑量使經光阻塗覆之倍縮光罩表面曝光。在傳統遮罩寫入中,電子之劑量或射擊在傳統上係設計成儘可能避免重疊,以致能大幅簡化在倍縮光罩上的光阻將如何記錄在圖案上的計算。類似地,設計成組的射擊以致能完全覆蓋待形成在倍縮光罩上的圖案。由本發明之受讓人所擁有且就各方面而言皆併入本文中以供參考的美國專利第7,754,401號,揭露一種遮罩寫入的方法,其中使用用於寫入圖案的意想射擊重疊。當使用重疊射擊時,可使用帶電粒子束模擬以決定倍縮光罩上光阻將記錄的圖案。使用重疊射擊容許以降低的射擊計數寫入圖案。美國專利第7,754,401號亦揭露使用劑量調制,其中射擊之指定劑量相對於其它射擊的劑量改變。模型為基碎化一詞係用於描述使用美國專利第7,754,401號的技術決定射擊的過程。
對於最先進技術節點的倍縮光罩寫入一般涉及多道帶電粒子束寫入,所謂多次曝光的製程,藉此在倍縮光罩上寫入且覆寫既定形狀。一般而言,使用二至四道來寫入倍縮光罩以平均帶電粒子寫入器的精密度誤差,容許產生更 精確的光罩。一般而言,包括劑量的射擊的清單也每道相同。在多次曝光之一變化中,在多道曝光之間射擊清單可改變,但在任一道曝光中射擊的聯合覆蓋相同區域。多次寫入可降低光阻塗覆表面的過熱。多次寫入亦平均帶電粒子束寫入器的無規誤差。使用用於不同道曝光的不同射擊清單的多次寫入亦可降低寫入製程中特定系統性誤差的影響。
在EUV微影術中,一般不需要OPC特徵。因此,欲在倍縮光罩上製造的圖案的複雜性低於利用傳統的193 nm波長光微影術,且射擊計數降低對應上比較不重要。然而,在EUV中,因為遮罩上的圖案,其一般為晶圓上圖案之尺寸的4倍,小到足以挑戰使用例如E-光束之帶電粒子技術的精確形成。
發明概要
本發明揭露一種用於碎化或遮罩資料準備或光學鄰近校正或鄰近效應校正或遮罩製程校正之方法及系統,其中能夠在一表面上形成圖案的一組型束射擊被決定,在該表面處多數射擊以相同曝光歷程重疊,且在該表面處自該組射擊計算劑量限度。
本發明亦揭露在一表面上形成圖案之方法,其中能夠在一表面上形成圖案的一組型束射擊被決定,在該表面處多數射擊以相同曝光歷程重疊,且在該表面處自該組射擊計算劑量限度。
本發明揭露一種用於碎化或遮罩資料準備或光學鄰近校正或鄰近效應校正或遮罩製程校正之方法及系統,其中能夠在一表面上形成圖案的一組型束射擊被決定,在該表面處該組射擊對該圖案的不同部分提供不同的劑量,且在該表面處自該組射擊計算劑量限度。
本發明亦揭露在一表面上形成圖案之方法,其中能夠在一表面上形成圖案的一組型束射擊被決定,在該表面處該組射擊對該圖案的不同部分提供不同的劑量,且在該表面處自該組射擊計算劑量限度。
圖式之簡單說明
第1圖係圖解說明符元投影帶電粒子束系統之一實施例;第2A圖係圖解說明一單一帶電粒子束射擊的一實施例及該射擊之截面劑量圖;第2B圖係圖解說明一對鄰近射擊之一實施例以及該射擊對的截面劑量圖;第2C圖係圖解說明一形成在來自該成對之第2B圖射擊的光阻塗覆表面上之圖案的一實施例;第3A圖係圖解說明一多邊形圖案的一實施例;第3B圖係圖解說明第3A圖之多邊形圖案之傳統碎化的一實施例;第3C圖係圖解說明第3A圖之多邊形圖案之替代碎化的一實施例;第4A圖係圖解說明來自矩形射擊之射擊輪廓的一實 施例;第4B圖係圖解說明使用正常射擊劑量之第4A圖射擊的縱向射擊曲線的一實施例;第4C圖係圖解說明包括長程效應之類似第4B圖之縱向射擊曲線的一實施例;第4D圖係圖解說明使用高於正常射擊劑量之第4A圖射擊的縱向射擊曲線的一實施例;第4E圖係圖解說明包括長程效應之類似第4C圖之縱向射擊曲線的一實施例;第4F圖係圖解說明類似第4E圖之縱向射擊曲線但具有較高背景劑量等級的一實施例;第5A圖係圖解說明欲形成在一表面上之圓形圖案的一實施例;第5B圖係圖解說明可形成第5A圖之圖案的九個射擊之輪廓的一實施例;第6A圖係圖解說明欲形成在一表面上的正方形圖案;第6B圖係圖解說明在一表面上形成第6A圖之圖案的單一射擊方法;第6C圖係圖解說明藉由本發明之另一具體實施例在一表面上形成第6A圖之圖案的方法的一實施例;第6D圖係圖解說明藉由本發明之又另一具體實施例在一表面上形成第6A圖之圖案的方法的一實施例;第7圖係圖解說明如何製備用於使用光微影術之製造例如矽晶圓上的積體電路之基板的一例如倍縮光罩之表面 的概念流程圖;以及 第8圖係圖解說明如何製備用於製造例如矽晶圓上的積體電路之基板的一表面的概念流程圖。
具體實施例之詳細說明
本發明之揭露內容描述一種用於使圖案碎化成用於帶電粒子束寫入器之射擊的方法,其中產生重疊射擊以改良寫入表面之圖案的精確度及/或邊緣斜率。劑量限度改良降低與製程變化有關聯之寫入圖案的尺寸變異。
現參考圖式,其中類似的數字意指類似的物件,第1圖係圖解說明傳統微影術系統100的一具體實施例,例如帶電粒子束寫入器系統,在此例子中為應用符元投影以製造表面130的電子束寫入器系統。電子束寫入器系統100具有電子束源112,其朝向孔板116投射電子束114。板116上形成有一孔118,其容許電子束114通過。一旦電子束114通過孔118,其藉由一透鏡系統(未顯示)被導向或偏轉成為朝向另一矩形孔板或模板遮罩122的電子束120。模板122中形成有多數開孔或孔124,其界定各種不同形式的符元126,其可為複雜的符元。形成在模板122中的每一符元126可用於在例如矽晶圓、倍縮光罩或其它基板之基板132的一表面130上形成圖案148。在局部曝光中、局部曝光、局部投影、局部符元投影,或可變符元投影中,電子束120可被定位以致能僅撞擊或照射符元126中之一者的一部分,藉此形成圖案148,其為符元126的子集。對每一符元 126而言,其小於藉由孔118界定之電子束120的尺寸,不含孔的遮没區域136,其被設計成鄰近符元126,以致能防止電子束120照射到非所欲的模板122上符元。電子束134自符元126中之一者射出且通過縮小來自符元126之圖案尺寸的電磁或靜電縮小透鏡138。在普遍可取得的帶電粒子束寫入器系統,縮減因數係界於10至60。縮減電子束140自縮小透鏡138射出且藉由一系列的偏向器142導向至表面130做為圖案148,其被描述成具有對應符元126A之字母「H」的形狀。因為縮小透鏡138,與符元126A相較,圖案148具有縮小的尺寸。圖案148藉由使用電子束系統100之一射擊來描繪。與使用可變型束(VSB)投影系統或方法相較,此降低完成圖案148的整體寫入時間。雖然顯示一孔118被形成於板116中,在板116中形成一個以上的孔是可能的。雖然在此實施例中顯示二板116及122,也可能只有一板或超過二板,每一板包含一或多個孔。模板遮罩122亦含有供VSB射擊的孔,例如矩形孔125。
在傳統帶電粒子束寫入器系統,縮小透鏡138被校正以提供固定縮減因數。縮小透鏡138及/或偏向器142亦使粒子束聚焦在表面130的平面。表面130的尺寸也可顯著大於偏轉板142之射束偏轉能力。因此,圖形一般是以一系列條紋的形式寫在表面上。每一條紋含有多數子場,其中一子場係在偏轉板142的射束偏轉能力內。電子束寫入器系統100含有一定位機構150以容許定位每一條紋及子場的基板132。在傳統帶電粒子束寫入器系統的一變化 中,基板132係保持靜止不動,而一子場被曝光,之後定位機構150移動基板132至下一子場位置。在傳統帶電粒子束寫入器系統的另一變化中,基板132在寫入過程中連續移動。在此涉及連續移動的變化中,當基板132移動時,除了偏轉板142之外,有另一組偏轉板(未顯示)在相同速度及方向下移動射束。在一具體實施例中,基板132可為倍縮光罩。在此具體實施例中,倍縮光罩於利用此圖案曝光之後,進行各種不同的製造步驟,經由此等製造步驟,該倍縮光罩成為光刻遮罩或光罩。遮罩接著可使用於光微影機以投射大致上尺寸減小的倍縮光罩圖案148影像在矽晶圓上,以產生積體電路。更一般地,遮罩是用於另一裝置或機器中以轉移圖案148至基板上。在另一具體實施例中,基板132可為矽晶圓。
能夠以合理精確度投射在表面130上的最小尺寸圖案受限於與電子束寫入器系統100及表面130有關聯的不同短程物理效應,其一般包含基板132上的光阻塗層。此等效應包括前散射、庫侖效應(Coulomb effect)及光阻擴散。射束模糊,亦稱為βf,為用於包括所有此等短程效應的專門術語。最現代的電子束寫入器系統可達到20 nm至30 nm範圍內的有效射束模糊或βf。前散射可構成四分之一至二分之一的總射束模糊。現代電子束寫入器系統含有無數的機構以使每一射束模糊的構成部分減至最低。因為部分光束模糊的分量為粒子束寫入器的校正程度的函數,相同設計之二粒子束寫入器的βf可不同。光阻的擴散特性亦可改 變。可模擬且有系統地說明以射擊尺寸或射擊劑量為主的βf變化。但存在有無法或未被說明的其它效果,且其等以隨機變異呈現。
例如電子束寫入器系統之帶電粒子束寫入器的射擊劑量為射束源112的強度與每一射擊之曝光時間的函數。一般而言,射束強度維持固定,且改變曝光時間以獲得可變的射擊劑量。可改變曝光時間以補償各種不同的長程效應,例如在所謂鄰近效應校正(PEC)過程中的反向散射及霧化。電子束寫入器系統一般容許設定一總劑量,稱為基本劑量,其影響一曝光操作的所有射擊。部分電子束寫入器系統進行電子束寫入器系統本身內的劑量補償計算,且不容許每一射擊的劑量被個別指定成輸入射擊清單的部分,因此輸入射擊具有未指定的射擊劑量。在PEC之前,此一電子束寫入器系統中,所有射擊具有基本劑量。其它電子束寫入器系統確實容許以逐一射擊的基礎進行劑量指定。在容許逐一射擊劑量指定的電子束寫入器系統中,可得之劑量程度的數目為64至4096或以上,若有相對較少的劑量程度,例如3至8種程度。本發明的部分具體實施例以與帶電粒子束寫入系統一起使用為目標,該壬等系統容許容許指定相對較少劑量水平中之一者。
在電子束寫入器中的機構具有相對較粗糙的計算分辨率。因此,藉由目前的電子束寫入器,無法精確地計算例如EUV遮罩可能要求的2 μm範圍內的中程數校正。
第2A-B圖係圖解說明如何自一或多帶電粒子束射擊 在光阻塗覆表面上記錄能量。在第2A圖,矩形圖案202圖解說明一射擊輪廓,其為自非鄰近其它射擊之一射擊,將產生在一光阻塗覆表面的圖案。圖案202的角落因射束模糊被圓化。在劑量圖210中,劑量曲線212係圖解說明通過射擊輪廓202沿著線路204的截面劑量。線路214代表光阻閾值,在該光阻閾值之劑量以上,光阻將記錄一圖案。如同自劑量圖210可看出,劑量曲線212係在界於X-座標「a」及「b」之間的光阻閾值以上。座標「a」對應虛線216,其表示射擊輪廓202之最左範圍。同理,座標「b」對應虛線218,其表示射擊輪廓202之最右範圍。在第2A圖的例子中,用於射擊的射擊劑量為正常劑量,如劑量圖210上所標示者。在傳統遮罩寫入方法學中,設定正常劑量以致於在無長程效應之下,相對較大矩形射擊將在光阻塗覆表面上,記錄具有所欲尺寸的圖案。因此正常劑量將依光阻閾值214的值而定。
第2B圖係圖解說明二粒子束射擊的射擊輪廓,以及對應的劑量曲線。射擊輪廓222及射擊輪廓224得自於二鄰近粒子束射擊。在劑量圖220中,劑量曲線230係圖解說明通過射擊輪廓222及224沿著線路226的劑量。如劑量曲線230所示,藉由光阻沿著線路226記錄的劑量為例如來自藉由射擊輪廓222及射擊輪廓224所代表的二粒子束射擊之總和的組合。如可見者,劑量曲線230是在自X-座標「a」至X-座標「d」之閾值214以上。此表示光阻將記錄該二射擊為單一形狀,自座標「a」延伸至座標「d」。第 2C圖係圖解說明一圖案252,其為來自第2B圖之實施例的二射擊可形成的圖案。圖案252之可變寬度為射擊輪廓222與射擊輪廓224之間間隙的結果,且圖解說明射擊222與226之間間隙造成劑量下降至低於最接近間隙之射擊輪廓的角落的閾值。
當使用非重疊射擊時,其使用單一曝光操作,傳統上所有射擊在PEC劑量調整之前,被指定一正常劑量。因此不支持逐一射擊劑量指定的帶電粒子束寫入器可藉由設定基本劑量至正常劑量來使用。若以此帶電粒子束寫入器使用多數曝光操作,基本劑量傳統上係根據下述方程式設定:基本劑量=正常劑量/曝光操作的數目。
第3A-C圖係圖解說明二已知碎化多邊形圖案的方法。第3A圖係圖解說明欲形成在一表面上的多邊形圖案302。第3B圖係圖解說明使用非重疊或不相交射擊形成此圖案的傳統方法。為了清晰度起見以X’s標示的射擊輪廓310、射擊輪廓312及射擊輪廓314係彼此不相交。此外,與此等射擊輪廓相關聯的三射擊在鄰近效應校正之前,皆使用所欲的正常劑量。使用如第3B圖所示之傳統方法的優點為可容易地預料光阻的反應。再者,第3B圖的射擊可藉由將帶電粒子束寫入器的基本劑量設定為正常劑量,使用不容許以逐一射擊劑量為基礎進行劑量指定的帶電粒子束系統來曝光。第3C圖係圖解說明使用揭露於美國專利第7,754,401號之重疊射擊,在光阻塗覆表面上形成圖案302的替代方法。在第3C圖中,已消弭射擊輪廓無法重疊的限制,且射擊320 及射擊322確實重疊。在第3C圖的實施例中,與第3B圖的三射擊相較,容許射擊輪廓重疊使得僅以二射擊形成圖案302。然而,在第3C圖中,光阻對於重疊射擊的反應不如第3B圖般容易預料。尤其,因為藉由重疊區域332接收的大劑量,如水平陰影線所示,內部角落324、326、328及330可能記錄成過度圓化。帶電粒子束模擬可用於測定藉由光阻記錄的圖案。在揭露於美國專利第8,062,813號之一具體實施例中,帶電粒子束模擬可用於計算在二維(X及Y)網格中,用於每一網格位置的劑量,產生所謂劑量圖之計算劑量之網格。帶電粒子束模擬的結果可表示用於射擊320及射擊322之非正常劑量的使用。此外,在第3C圖中,在區域332之射擊的重疊,使區域劑量增加超過其在無射擊重疊下將有的區域劑量。雖然二個別射擊的重疊將不會顯著增加區域劑量,此技術將增加區域劑量及若使用在整個設計中將增加總劑量。
在曝光時,舉例而言,使用帶電粒子束微影術在表面上重疊的圖案,每一圖案例的尺寸,如在最終製造表面上測得者,由於製造差異將些許不同。尺寸變化的量為主要製造最適化的標準。在今日光罩遮罩技術,所欲可為均方根(RMS)變異不超過1 nm(1σ)。更多的尺寸變異轉換電路效能的更多變化,導致需要更高的設計裕度,使得設計更快、電力更低的積體電路的難度漸增。此變化被稱為臨界尺寸(CD)變異。低CD變異是理想的,且表示製造變化將在最終製造表面上產生相對小的尺寸變異。在較小的規模中,高 CD變化的效果可以線路邊緣粗糙度(LER)來觀察。LER是由每一線路邊緣的一部分被稍微不同地製造所造成,導致欲具有直線邊緣的線路的部分波紋。CD變化與被稱為邊緣斜率的光阻閾值處的劑量曲線斜率呈負相關。因此,邊緣斜率或劑量限值為表面之粒子束寫入的關鍵最適化因子。
第4A圖係圖解說明矩形射擊402之一輪廓的實施例。第4B圖係圖解說明以正常射擊劑量,無例如若射擊402為在例如可為10微米之反向散射效應範圍內的唯一射擊將發生的反向散射,通過射擊輪廓402,沿著線路404之劑量的劑量圖410之一實施例。其它長程效應亦假設無助於第4B圖的背景曝光,導致零背景曝光程度。輸送至光阻的總劑量係圖解說明於y-軸,且為正常劑量的100%。因為零背景曝光,總劑量及射擊劑量相同。劑量圖410亦圖解說明光阻閾值414。由劑量圖410在x-方向所代表之形狀的CD變化,與和光阻閾值相交之劑量曲線412在x-座標「a」及「b」的斜率負相關。
第4B圖之零背景曝光條件並未反映實際設計。實際設計一般將在射擊402之反向散射距離內具有許多其它射擊。第4C圖係圖解說明以非零背景曝光428,以正常劑量之射擊的劑量圖420之一實施例。在此實施例中,顯示占正常劑量之20%的背景曝光。在劑量圖420中,劑量曲線422係圖解說明類似射擊402之射擊的截面劑量。由於反向散射造成的背景曝光,如曲線422與光阻閾值424在點「a」及「b」相交處的較低邊緣斜率所示,曲線422的CD變化比曲線412 的CD變化更差。
一增加劑量曲線在光阻閾值之斜率的方法為增加射擊劑量。第4D圖係圖解說明具有劑量曲線432之劑量圖430的一實施例,總劑量為正常劑量的150%,無背景曝光。無背景曝光,射擊劑量等於總劑量。在第4D圖中的閾值434未由第4B圖中的閾值414改變。增加射擊劑量增加了藉由光阻記錄之圖案的尺寸。因此,為了維持光阻圖案的尺寸,如劑量曲線432與閾值434的交點的圖解說明,用於劑量圖430之射擊尺寸稍微小於射擊402。如所示,劑量曲線432與閾值434相交處的斜率係高於劑量曲線412與閾值414相交處的斜率,表示第4D圖之較高劑量射擊具有比第4B圖之正常劑量更低、改良之CD變化。
然而,類似劑量圖410,劑量圖430之零背景曝光條件未反映實際設計。第4E圖係圖解說明具有調整達到具有20%之背景曝光之在光阻上總劑量為正常劑量之150%的射擊劑量的劑量圖440之一實施例,例如若僅有一射擊的劑量增加至達到正常劑量的150%之總劑量且其它射擊保持在正常劑量之100%之劑量將發生的情況。閾值444與第4B-4D圖相同。背景曝光係圖解說明於線路448。如所示者,因為存在反向散射,劑量曲線442在x-座標「a」及「b」的斜率比劑量曲線432在x-座標「a」及「b」的斜率小。比較圖420及440來看射擊劑量的影響,劑量曲線442在x-座標「a」及「b」的斜率高於劑量曲線422在相同x-座標的斜率,表示若其它射擊的劑量維持相同,藉由增加劑量可獲得改 良的邊緣斜率。
第4F圖係圖解說明一劑量圖450的實施例,圖解說明所有射擊的劑量已增加至150%之正常劑量的情況。二背景劑量程度係顯示在劑量圖450上:30%背景劑量459,例如若所有射擊使用150%之正常劑量可產生,以及顯示供比較之20%之背景劑量458,因為20%為劑量圖440之背景劑量。劑量曲線452係以30%背景劑量459為基礎。如可見者,在x-座標「a」及「b」之劑量曲線452的邊緣斜率小於在相同點的劑量曲線442的邊緣斜率。
總之,第4A-F圖係圖解說明可使用高於正常劑量來選擇性地降低隔離之形狀的CD變化。然而,增加劑量具有二非所欲的效應。首先,劑量上的增加係藉由延長曝光時間,以現代帶電粒子束寫入器達成。因此,劑量上的增加使寫入時間增加,其增加成本。第二,如第4E-F圖中圖解說明,若在彼此反向散射範圍內的許多射擊使用增加的劑量,反向散射的增加降低所有射擊的邊緣斜率,藉此惡化特定指定劑量之所有射擊的CD變化。任一既定射擊的避免此問題的方法為增加劑量及以較小尺寸發射。然而,如此做甚至增加反向散射。此循環造成所有射擊是在較高的劑量,使得寫入次數更惡化。因此,較佳為僅增加用於界定邊緣之射擊的劑量。
邊緣斜率或劑量限度僅為圖案邊緣處的問題。舉例而言,若正常劑量為2x光阻閾值以致能提供良好的邊緣斜率,圖案的內部區域的劑量可低於正常劑量,只要在說明 製造變化的部分限度之後,所有內部區域的劑量保持高於光阻閾值即可。在本發明的揭露內容中,揭露二種降低圖案之內部區域的劑量之方法。
.若可取得指定射擊劑量,使用的劑量低於正常劑量。
.在圖案內部之射擊之間插入間隙。雖然射擊輪廓可顯示出間隙,若在間隙區域內的劑量到處皆高於光阻閾值,具有供製造變化的限度,將無藉由光阻記錄的間隙。
此二技術中之一或全部將降低區域劑量,因此降低藉由反向散射所造成的背景劑量。在圖案邊緣的邊緣斜率將因而增加,藉此修改CD變化。
最適化技術可用於決定在圖案內部可達到的最低劑量。在一些具體實施例中,此等最適化技術將包括計算對該組射擊的光阻反應,例如使用粒子束模擬,以致能決定該組射擊可能在預定的容許誤差內形成所欲圖案。需注意的是,當產生用於僅支持未指定劑量射擊之帶電粒子束的射擊時,間隙可使用於圖案的內部區域以降低區域劑量。藉由模擬,尤其是製造容許誤差的「角落案例」,可預定具有較低劑量或間隙的設計,利用減少的寫入時間及修改的邊緣斜率,以安全地射擊所欲形狀。
第5A圖係圖解說明待形成在一表面上之圓形圖案502的一實施例。第5B圖係圖解說明圖案502如何可利用具有指定射擊劑量之一組九個VSB射擊形成的一實施例。第5B圖係圖解說明該九個射擊之個別的射擊輪廓。在第5B圖中 因為重疊射擊512、514、516、518、520、522、524及526各自定義表面上圖案的周邊,此等射擊可被指定一相對較高之劑量組,或在一些具體實施例中,全部被指定正常劑量,以維持良好的邊緣斜率。然而,因為射擊530未定義圖案的邊緣,射擊530可具有小於射擊512、514、516、518、520、522、524及526之指定劑量,例如0.7x正常劑量。換言之,提供不同劑量至圖案的不同部分。小心地選擇射擊尺寸以致於形狀502的內部無任何一部分落至低於光阻閾值,也許具有某一製造變化的限度。亦可按尺寸製作射撃530,使得在射擊530的輪廓及每一鄰近射擊的輪廓之間存在有間隙,如第5B圖所圖解說明者。當間隙存在,在該組射擊中射撃輪廓的結合不會涵蓋所欲的圖案。粒子束模擬可用於決定間隙之最適化尺寸,以致於在未造成由光阻記錄的間隙之下可降低劑量。與曝光射擊530及在正常劑量的反向散射範圍內的大量其它射擊相較,當在此等射擊的彼此反向散射範圍內應用於大量此等射擊530時,於射擊530使用低於正常劑量將降低反向散射及起霧,有助於修改邊緣斜率。
上述第5B圖描述的分辨率,甚至使用不容許個別射擊之劑量指定的帶電粒子束系統也可實行。在本發明之一具體實施例中,可選擇小量的劑量,例如1.0x正常劑量及0.7x正常劑量二種劑量,且此二劑量之個別射擊可在二分隔的曝光歷程中分隔及曝光,其中一曝光歷程的基本劑量為1.0x正常劑量且另一曝光歷程的基本劑量為0.7x基本劑 量。在第5B圖的實施例中,射擊512、514、516、518、520、522、524及526可指定第一曝光歷程,其在PEC校正之前,使用1.0x正常劑量的基本劑量。射擊530可指定第二曝光歷程,其在PEC校正之前使用0.7x正常劑量之基本劑量。
即使利用不支持個別射擊之劑量指定的帶電粒子束寫入器,重疊射擊可用於產生大於100%正常劑量之光阻劑量。在第5B圖中,舉例而言,射擊514及512、射擊526及524、射擊520及522,及射擊518及516的輪廓可指定重疊,在周邊產生高於正常劑量的區域。自此等區域投射的較高能量可「充填」射擊輪廓530與周邊射擊之間的間隙,使得能夠減少射擊530的尺寸。
第6A-D圖係圖解說明將重疊射擊使用於例如一般用於積體電路設計之接觸及通孔圖案的正方形圖案。第6A圖係圖解說明待形成在倍縮光罩上的所欲圖案602的一實施例。第6B圖係圖解說明單一VSB射擊612,其傳統上可用於形成圖案602。然而,使用單一射擊612可造成邊緣斜率非所欲地低。第6C圖係圖解說明本發明之一具體實施例。第6C圖可使用五個VSB射擊,包括以交叉陰影線繪製的射擊632,以及環繞原始圖案602之周邊區域的四個額外射擊634。再者,CP符元可被指定以曝光藉由單一CP射擊中的四個矩形634所圖解說明的CP符元,對所有形狀634而言,容許第6C圖在一個VSB射擊632及一個CP射擊中曝光。與內部區域相較,周邊CP射擊或VSB射擊的使用可藉由增加接 近周邊之波峰劑量來增加轉移圖案之整個周邊的邊緣斜率,因此亦對於圖案的不同部分提供不同的劑量。與若僅單獨使用較高劑量612相較,小周邊CP射擊或VSB射擊並未使區域劑量增加至如同若於射擊612使用較高劑量一般地多,降低反向散射。
第6D圖係圖解說明本發明之另一具體實施例的例子。9個區域被圖解說明於第6D圖中:(a)大區域642,(b)四側邊區域644,以及(c)四角落區域648。如所見者,所有區域644及648與區域642重疊。此等區域可藉由下述方法中任一者來曝光:
.九個分隔的VSB射擊,包括用於區域642的一個射擊,用於四區域644的四個射擊,以及用於四個角落區域648的四個射擊。
.五個VSB射擊。區域642係藉由一射擊曝光。對於其餘的四個VSB射擊,每一射擊包括一側邊區域644及二鄰方該側邊區域之二角落區域648的聯合。此在角落提供的劑量高於沿著側邊的劑量。接近角落的額外波峰曝光可提供改良的精確度及/或邊緣斜率。
.一個用於區域642的VSB射擊以及二個CP射擊-二CP符元各自一射擊。一CP符元可設計成例如包括四側邊區域644以及一第二CP符元可設計成包括四角落區域648。此解決方式容許角落區域及非角落的側邊區域的獨立劑量控制。
使用一VSB射擊與二CP射擊的方法應需要九個射擊VSB或 五個射擊VSB方法更少的曝光時間。此外,射擊642的尺寸可調整以小於所欲的圖案602。
上述以第6C圖描述的解決方法即使使用不容許個別射擊的劑量指定之帶電粒子束系統也可實行。在本發明之一具體實施例中,可選擇小數目的劑量,例如1.0x正常劑量及0.6x正常劑量,且二劑量的個別射擊可分隔且在二分開的曝光操作中曝光,其中一曝光操作的基本劑量為1.0x正常劑量,且另一曝光操作的基本劑量為0.6x正常劑量。在第9C圖的例子中,射擊632可被指定給第一曝光操作,其在PEC校正之前,使用1.0x正常劑量之基本劑量。四個射擊634可被指定給第二曝光操作,其在PEC校正之前,使用0.6x正常劑量之基本劑量。因此,即使是利用無法支持個別射擊之劑量指定的帶電粒子束寫入器,重疊射擊可產生大於正常劑量之100%的圖案劑量。
在本發明之一具體實施例中,在正常劑量或接近正常劑量射擊之間的間隙可充填或部分充填低劑量射擊,例如具有小於50%正常劑量的射擊。
本發明中描述或意指的校正可以不同的方式完成。一般而言,校正可藉由製程中、製程前、製程後的方法完成。製程中的計算涉及在需要計算的結果時進行計算。製程前的計算涉及預計算並接著儲存結果以供後續加工步驟期限取回,且可改良加工效能,尤其是可重覆多次的計算。計算亦可推衍自加工步驟,且接著在後續製程後步驟完成。製程前的計算之一實施例為預計算對於不同反向散射值的 PEC劑量調整。製程前的計算之另一實施例為射擊組群,其為與一既定輸入圖案或一組輸入圖案特性有關聯的一或多個射擊的劑量圖案訊息之預計算。射擊組群及相關聯的輸入圖案可儲存在預計算射擊組群庫中,以致於包含射擊組群的該組射擊在額外輸入圖案的狀況可快速產生,而不需圖案再計算。在一些具體實施例中,預計算可包含劑量圖案的模擬,射擊組群將產生在塗覆光阻的表面上。在其它具體實施例中,可在無模擬下決定射擊組群,例如藉由使用自動建構校正(correct-by-construction)技術。在一些具體實施例中,預計算的射擊組群可為以射擊清單的形式儲存在射擊組群庫中。在其它具體實施例中,預計算射擊組群可以計算機碼的形式儲存,該計算機碼可產生用於一或多特定形式之輸入圖案的射擊。在另外其他的具體實施例中,多數預計算射擊組群可以表的形式儲存,其中表中的項目對應各種不同輸入圖案或輸入圖案特性,例如圖案寬度,以及其中每一表中項目提供該射擊組群中的射擊清單或如何產生適當射擊組的資訊。此外,不同的的射擊組群可以不同形式儲存於不同射擊組群庫中。在一些具體實施例中,一給定射擊組群可產生的劑量圖案亦可儲存於射擊組群庫中。在一具體實施例中,劑量圖案可儲存成被稱為字符(glyph)之二維(X及Y)劑量圖。
第7圖為說明如何製備用於製造例如晶圓上的積體電路之一表面的倍縮光罩的範例概念流程圖750。在第一步驟752中,設計一實體設計,例如積體電路的實體設計。此可 包括決定邏輯閘極、電晶體、金屬層及其它在例如積體電路之實體設計必須被發現的必要物件。實體設計可為直線、部分曲線或完全曲線。接下來,在步驟754中,決定光學鄰近校正。在本發明揭露之一具體實施例中,此可包括自射擊組群庫788取得預計算的射擊組群庫做為輸入。此亦可替換地或額外地包括取得預設計之符元780庫做為輸入,該等符元可在步驟768中在模板784上取得。在本發明揭露之一具體實施例中,OPC步驟754亦可包括同時最適化射擊計數或寫入次數,且亦可包括碎化操作、射擊配置操作、劑量指定操作,或亦可包括射擊次序最適化或劑量限度最適化,或其它遮罩資料準備操作,部分或所有此等操作可同時或組合在單一步驟中。OPC步驟754可產生部分或完全曲線圖案。OPC步驟754的輸出為遮罩設計756。
光罩製程校正(MPC)758可任擇地在遮罩設計756上進行。MPC修改待寫至遮罩的圖案,以致能補償非線性影響,例如在傳統光微影遮罩中與小於約100 nm的圖案有關聯的影響。MPC亦可用於補償影響亮EUV遮罩的非線性影響。若進行MPC 758,其輸出成為遮罩資料準備(MDP)步驟760之輸入。
在步驟760中,可進行遮罩資料準備操作,其可包括碎化操作、射擊配置操作、劑量指定操作,或射擊次序最適化。MDP可用於做為遮罩設計756或MPC 758結果的輸入。在本發明之一些具體實施例中,MPC可做為碎化之一 部分或其它MDP操作來進行。其它校正亦可做為碎化之一部分或其它MDP操作來進行,可能的校正包括:前散射、光阻擴散、庫侖效應、蝕刻、反向散射、起霧、加載及光阻充電。MDP步驟760的結果為射擊清單762,用於遮罩寫入步驟768中的一或多數曝光歷程。OPC步驟754或MDP步驟760或個別的程式786可包括預計算一或多個射擊組群,該組群可使用於一既定輸入圖案且儲存此資訊於射擊組群庫788中。本發明揭露中預期組合OPC及任一或所有不同的遮罩資料準備之操作於一步驟中。可包括碎化操作之遮罩資料準備步驟760,其亦可包含圖案配對操作以配對預計算射擊組群以產生緊密配合遮罩設計756的遮罩影像770。遮罩資料準備760亦可包括計算劑量限度,且亦可包括最適化劑量限度。在一些具體實施例中,最適化可包括改變射擊劑量,以在接近所產生圖案的周邊產生高於所產生圖案的內部之劑量波峰。在其它具體實施例中,產生的射擊在最靠近的鄰近射擊之射擊輪廓之間具有間隙,以致於區域劑量降低,但間隙小至足以使該等間隙將不會藉由後續產生之遮罩影像770中的光阻記錄。在另一具體實施例中,遮罩資料準備760可包括藉由改變間隙尺寸之最適化。在另一具體實施例中,遮罩資料準備760可包括若所計算的劑量限度低於預定的目標劑量限度,修改最初決定之射擊組,且以修改的射擊組重新計算劑量限度。遮罩資料準備亦可包含輸入具有稍微不同之圖案的待形成在表面上的圖案,選擇一組待使用以形成符元以形成多數圖案,該組符元安裝在模板遮罩上,該 組符元包括複雜及VSB符元,且該組符元是以變化的符元劑量或變化的符元位置為基礎,或在該符元內對一符元施與局部曝光,或拖曳一符元,以降低射擊計數或總寫入時間。在表面上一組稍微不同的圖案可設計成在基板上產生實質相同的圖案。再者,該組符元可自預定組的符元中選擇。
在本文揭露內容的一具體實施例中,在遮罩寫入步驟768期間可快速選擇之在步驟780中可在模板上取得的一組符元,可為了特定遮罩設計來製備。在該具體實施例中,一旦完成遮罩資料準備步驟760,在步驟784中製備模板。在本發明揭露的另一具體實施例中,在MDP步驟760之前或與MDP步驟760同時,在步驟784中製備模板,且可與特定遮罩設計無關。在此具體實施例中,在步驟780中可取得符元,且模板布局係在步驟782中設計以上位地輸出供許多潛在遮罩設計756,以合併有可能藉由特定OPC程式754或特定MDP程式760或特徵化實質設計752的特定形式設計輸出的圖案,該特定形式設計例如記憶體、快閃記憶體、晶片上系統的設計,或設計於實體設計752的特定製程技術,或使用於實體設計752的特定晶胞庫,或任何其它共同的特徵,其可來自遮罩設計756中不同組之稍微不同的圖案。模板可包括一組符元,例如在步驟760中決定的有限數目的符元。
在步驟764,鄰近效應校正(PEC)精化可在射擊清單762上執行,以產生具有經調整劑量之最終射擊清單766。 最終射擊清單766係用於在遮罩寫入步驟768中產生一表面,其使用帶電粒子束寫入器,例如電子束寫入器系統。在一些具體實施例中,PEC精化764可藉由帶電粒子束寫入器執行。遮罩寫入步驟768可使用含有VSB孔及多數複雜符元二者之模板784,或可使用僅含有VSB孔之模板。遮罩寫入步驟768可包含單一曝光歷程或多數曝光歷程。電子束寫入器系統經由模板投射電子束在一表面上,以在一表面上形成包含圖案的遮罩影像,如步驟770所示。完成的表面可接著使用於光微影術機,其顯示於步驟766中。最後,在步驟768,產生例如矽晶圓之基板。
如先前已描述者,在步驟780中,可提供符元至OPC步驟754或MDP步驟760。步驟780亦提供符元至符元及模板設計步驟782或字符產生步驟786。符元及模板設計步驟782提供輸入至模板步驟784以及至符元步驟780。射擊組群預計算步驟786提供資訊至射擊組群庫788。再者,射擊組群預計算步驟786可使用實體設計752或遮罩設計756做為輸入,且可預計算一或多個射擊組群,其等儲存於射擊組群庫788。
現在參考第8圖,顯示另一如何製備直接寫在一例如矽晶圓之基板上之一表面的典範概念流程圖800。在第一步驟802中,設計一例如積體電路之實體設計的一實體設計。其可為設計者想轉移至基板上的理想圖案。接下來,在步驟804中,執行各種不同的資料準備(DP)步驟以準備輸入資料至基板寫入裝置。步驟804可包括圖案之碎化成一組 VSB射擊及/或複雜的CP,其中部分射擊可彼此重疊。其它校正亦可做為碎化的部分或其它DP操作來執行,可能的校正包括:前散射、光阻擴散、庫侖效應、蝕刻、反向散射、起霧、加載及光阻充電。DP步驟804或個別的程式822可包括預計算可使用於一既定輸入圖案的一或多個射擊組群,且儲存此資訊於射擊組群庫824。步驟804亦可包含圖案配合以配合預計算射擊組群以產生與步驟802中產生之實體設計緊密配合的晶圓影像814。亦可執行圖案配合的疊代、劑量指定及等效檢查。在一具體實施例中,可存在一單一疊代,於該處執行自動正確建構(correct-by-construction)「確定」計算。資料準備804可包括計算劑量限度,且亦可包括最適化劑量限度。在一些具體實施例中,最適化可包括改變射擊劑量以在接近所產生圖案之周邊產生高於所產生圖案之內部的波峰劑量。在其它具體實施例中,產生之射擊在最接近的鄰近射擊之間具有間隙,以致於區域劑量降低,但間隙小至足以使該等間隙將不會藉由後續產生之遮罩影像814中的光阻記錄。在另一具體實施例中,資料準備804可包括藉由改變間隙尺寸之最適化。在另一具體實施例中,資料準備804可包括若所計算的劑量限度低於預定的目標劑量限度,修改最初決定之射擊組,且以修改的射擊組重新計算劑量限度。步驟804的輸出為射擊清單806。
在步驟808,鄰近效應校正(PEC)可在射擊清單806上執行,以產生具有經調整劑量之最終射擊清單810。最終射 擊清單810係用於在晶圓寫入步驟812中產生一表面,其使用帶電粒子束寫入器,例如電子束寫入器系統。在一些具體實施例中,PEC精化808可藉由帶電粒子束寫入器執行。晶圓寫入步驟812可使用含有VSB孔及多數複雜符元二者之模板808,或可使用僅含有VSB孔之模板。在晶圓寫入步驟812中,電子束寫入器系統經由模板投射電子束在一表面上,以形成包含在該表面上之圖案的影像814。晶圓寫入步驟812可包含單一曝光歷程或多數曝光歷程。
如上文中已描述者,在步驟818中,符元可提供至DP步驟804。步驟818亦提供符元至符元及模板設計步驟820或至射擊組群預計算步驟822。符元及模板設計步驟820提供輸入至模板步驟808或至符元步驟818。射擊組群預計算步驟822提供資訊至射擊組群庫824。再者,射擊組群預計算步驟822可用於做為實體設計802的輸入及可預計算一或多個射擊組群,其等被儲存於射擊組群庫824。
步驟812可包括依需要重覆應用於每一加工層,潛在地有些使用與第7圖有關連的方法處理,以及其它使用參考第8圖之上文中概述的方法處理,或其它使用任何其它晶圓寫入方法製造以產生矽晶圓上的積體電路。
描述於本發明揭露中的碎化、MDP、OPC、MPC及PEC流程,可使用具有適當電腦軟體之一般用電腦做為計算裝置來執行。由於需要大量的計算,可能亦並聯使用多數電腦或處理器核心。在一具體實施例中,計算亦可細分成用於流程中一或多個密集運算步驟計算步驟的多數2-維幾何 區域,以支持平行處理。在另一具體實施例中,特殊目的硬體裝置,無論是單一使用或多數組合使用,可用於以比一般用電腦或處理器核更快的速度來執行一或多個步驟。在一具體實施例中,特殊目的硬體裝置可為圖形處理單元(GPU)。在另一具體實施例中,描述於本發明揭露中之最適化及模擬製程可包括修改及再計算可能解法的疊代過程,以致能最小化總射擊數或總帶電粒子束寫入時間,或一些其它參數。在又另一具體實施例中,可在自動正確建構(correct-by-construction)方法中決定起始射擊組,使得不需要射擊改良。
雖然說明書已參照特定具體實施例詳細說明,應瞭解到熟習此項技術者,在瞭解前述內容後,可容易地構思此等具體實施例的替代方式、變化及等效物。此等及其它對本發明之用於碎化、遮罩資料準備及鄰近效應校正之方法的改良及變化,在未偏離特別描述於後附申請專利範圍中之本發明標的物之精神及範圍之下,可藉由該等熟習此項技術者實施。再者,該等熟習此項技術者將瞭解到前述說明只是為了舉例,且非意欲構成限制。在未偏離本發明之範圍之下,可添加、省卻或改良說明書中的步驟。一般而言,任何顯示的流程圖僅意欲表示基本操作達到功能的一種可能的次序,且有可能有許多變化。因此,本發明標的物意欲涵蓋此等落於後附申請專利範圍及其等等效物之範圍內的改良及變化。
100‧‧‧傳統微影術系統,電子束寫入器系統
112‧‧‧電子束源,射束源
114、120、134‧‧‧電子束
116‧‧‧孔板
118、125‧‧‧孔
122‧‧‧孔板或模板遮罩,模板
124‧‧‧開孔,孔
126、126A‧‧‧符元
130‧‧‧表面
132‧‧‧基板
136‧‧‧遮没區域
138‧‧‧縮小透鏡
140‧‧‧縮減電子束
142‧‧‧偏向器,偏轉板
148、252、502‧‧‧圖案
150‧‧‧定位機構
202‧‧‧矩形圖案,射擊輪廓
204、226、404、448‧‧‧線路
210、220、410、420、430、440、450‧‧‧劑量圖
212、230、412、422、432、442、452‧‧‧劑量曲線
214‧‧‧線路,光阻閾值
216、218‧‧‧虛線
222、224、310~314‧‧‧射擊輪廓
302‧‧‧多邊形圖案
320、322、512~530、632‧‧‧射擊
324~330‧‧‧內部角落
332‧‧‧重疊區域
402‧‧‧射擊,射擊輪廓
414、424‧‧‧光阻閾值
428‧‧‧非零背景曝光
434、444‧‧‧閾值
458、459‧‧‧背景劑量
602‧‧‧所欲圖案
612‧‧‧射擊,劑量
634‧‧‧射擊,矩形
642‧‧‧大區域
644‧‧‧側邊區域
648‧‧‧角落區域
750、800‧‧‧流程圖
752~788、802~824‧‧‧步驟
第1圖係圖解說明符元投影帶電粒子束系統之一實施例;第2A圖係圖解說明一單一帶電粒子束射擊的一實施例及該射擊之截面劑量圖;第2B圖係圖解說明一對鄰近射擊之一實施例以及該射擊對的截面劑量圖;第2C圖係圖解說明一形成在來自該成對之第2B圖射擊的光阻塗覆表面上之圖案的一實施例;第3A圖係圖解說明一多邊形圖案的一實施例;第3B圖係圖解說明第3A圖之多邊形圖案之傳統碎化的一實施例;第3C圖係圖解說明第3A圖之多邊形圖案之替代碎化的一實施例;第4A圖係圖解說明來自矩形射擊之射擊輪廓的一實施例;第4B圖係圖解說明使用正常射擊劑量之第4A圖射擊的縱向射擊曲線的一實施例;第4C圖係圖解說明包括長程效應之類似第4B圖之縱向射擊曲線的一實施例;第4D圖係圖解說明使用高於正常射擊劑量之第4A圖射擊的縱向射擊曲線的一實施例;第4E圖係圖解說明包括長程效應之類似第4C圖之縱向射擊曲線的一實施例;第4F圖係圖解說明類似第4E圖之縱向射擊曲線但具 有較高背景劑量等級的一實施例;第5A圖係圖解說明欲形成在一表面上之圓形圖案的一實施例;第5B圖係圖解說明可形成第5A圖之圖案的九個射擊之輪廓的一實施例;第6A圖係圖解說明欲形成在一表面上的正方形圖案;第6B圖係圖解說明在一表面上形成第6A圖之圖案的單一射擊方法;第6C圖係圖解說明藉由本發明之另一具體實施例在一表面上形成第6A圖之圖案的方法的一實施例;第6D圖係圖解說明藉由本發明之又另一具體實施例在一表面上形成第6A圖之圖案的方法的一實施例;第7圖係圖解說明如何製備用於使用光微影術之製造例如矽晶圓上的積體電路之基板的一例如倍縮光罩之表面的概念流程圖;以及第8圖係圖解說明如何製備用於製造例如矽晶圓上的積體電路之基板的一表面的概念流程圖。
100‧‧‧傳統微影術系統,電子束寫入器系統
112‧‧‧電子束源,射束源
114‧‧‧電子束
116‧‧‧孔板
118‧‧‧孔
120‧‧‧電子束
122‧‧‧孔板或模板遮罩,模板
124‧‧‧開孔,孔
125‧‧‧孔
126‧‧‧符元
126A‧‧‧符元
130‧‧‧表面
132‧‧‧基板
134‧‧‧電子束
136‧‧‧遮没區域
138‧‧‧縮小透鏡
140‧‧‧縮減電子束
142‧‧‧偏向器,偏轉板
148‧‧‧圖案
150‧‧‧定位機構

Claims (25)

  1. 一種用於碎化或遮罩資料準備或鄰近效應校正或光學鄰近校正或遮罩製程校正之方法,包含下列步驟:決定用於一曝光歷程之多數型束帶電粒子束射擊,其中當使用於一帶電粒子束寫入器時,該等多數型束射擊在一光阻塗覆表面上產生一劑量,其中該光阻塗覆表面上之該劑量在該光阻塗覆表面上形成一圖案,其中該表面上之該圖案包含一圖案周邊,其中該光阻包含一光阻閾值,其中該等多數型束射擊對於該圖案的不同部分提供不同的劑量,其中該決定步驟包含自該等多數型束射擊計算一劑量限度,其中在該光阻閾值處,相對於垂直該圖案周邊的一線性尺寸,該劑量限度係為該光阻劑量的一斜率,且其中該決定步驟係使用一或多個運算硬體處理器進行。
  2. 如申請專利範圍第1項之方法,其中該劑量限度被最適化。
  3. 如申請專利範圍第2項之方法,其中該等多數型束射擊在接近該圖案周邊處產生高於該表面上之該圖案的一內部區域的一光阻劑量波峰。
  4. 如申請專利範圍第1項之方法,其中該計算步驟包含帶電粒子束模擬。
  5. 如申請專利範圍第4項之方法,其中該帶電粒子束模擬包含由下述作用組成的組群中之至少一者:前散射、反向散射、光阻擴散、庫侖效應、蝕刻、起霧、加載及光阻充電。
  6. 如申請專利範圍第1項之方法,進一步包含若該劑量限度低 於一預定的目標劑量限度時修改該等多數型束射擊且重新計算該劑量限度的步驟。
  7. 如申請專利範圍第1項之方法,其中在該等多數型束射擊中的每一射擊包含一指定劑量,且其中在為了長程效應之劑量校正之前,該等多數型束射擊中之至少二射擊之該等指定劑量彼此不同。
  8. 如申請專利範圍第1項之方法,其中該等多數型束射擊中之每一射擊為一可變型束射擊。
  9. 如申請專利範圍第1項之方法,其中該表面包含待用於一光微影術製程中以製造一基板之一倍縮光罩。
  10. 一種使用帶電粒子束微影術製造表面之方法,該方法包含下述步驟:決定用於多數曝光歷程之多數型束射擊;以及在該表面上形成一圖案,其中該表面已塗覆一光阻,其中一帶電粒子束寫入器使用該等多數型束射擊在光阻塗覆表面產生一劑量,其中該光阻塗覆表面上之該劑量形成該圖案,其中該表面上之該圖案包含一圖案周邊,其中該光阻包含一光阻閾值;其中該等多數型束射擊對於該圖案的不同部分提供不同的劑量,其中該決定步驟包含自該等多數型束射擊計算一劑量限度,且其中在該光阻閾值處,相對於垂直該圖案周邊的一線性尺寸,該劑量限度係為該光阻劑量的一斜率。
  11. 如申請專利範圍第10項之方法,其中該劑量限度被最適化。
  12. 如申請專利範圍第11項之方法,其中該等多數型束射擊在接近該表面上之該圖案周邊產生高於該表面上之該圖案的一內部區域的一光阻劑量波峰。
  13. 如申請專利範圍第10項之方法,其中該計算步驟包含帶電粒子束模擬。
  14. 如申請專利範圍第13項之方法,其中該帶電粒子束模擬包含由下述作用組成的組群中之至少一者:前散射、反向散射、光阻擴散、庫侖效應、蝕刻、起霧、加載及光阻充電。
  15. 如申請專利範圍第10項之方法,進一步包含若該劑量限度低於一預定的目標劑量限度時修改該等多數型束射擊且重新計算該劑量限度的步驟。
  16. 如申請專利範圍第10項之方法,其中在該等多數型束射擊中的每一射擊包含一指定劑量,且其中在為了長程效應之劑量校正之前,該等多數型束射擊中之至少二射擊之該等指定劑量彼此不同。
  17. 如申請專利範圍第16項之方法,進一步包含進行用於長程效應的劑量校正之步驟,其中在該劑量校正之前,該等多數型束射擊中之至少二射擊的該等指定劑量彼此不同。
  18. 如申請專利範圍第10項之方法,其中該表面包含待用於光微影術製程以製造一基板之一倍縮光罩。
  19. 一種使用光微影術製程製造積體電路之方法,該光微影術製程使用一利用帶電粒子束微影術製造之倍縮光罩,該方法包含下述步驟:決定用於一曝光歷程之多數型束射擊;以及 在該倍縮光罩上形成一圖案,其中該倍縮光罩已塗覆一光阻,其中一帶電粒子束寫入器使用該等多數型束射擊在光阻塗覆倍縮光罩產生一劑量,其中該光阻塗覆倍縮光罩上之該劑量形成該圖案,其中該倍縮光罩上之該圖案包含一圖案周邊,其中該光阻包含一光阻閾值;其中該等多數型束射擊對於該圖案的不同部分提供不同的劑量,且其中該決定步驟包含自該等多數型束射擊計算一劑量限度,且其中在該光阻閾值處,相對於垂直該圖案周邊的一線性尺寸,該劑量限度係為該光阻劑量的一斜率。
  20. 如申請專利範圍第19項之方法,其中該計算步驟包含帶電粒子束模擬。
  21. 如申請專利範圍第20項之方法,其中該帶電粒子束模擬包含由下述作用組成的組群中之至少一者:前散射、反向散射、光阻擴散、庫侖效應、蝕刻、起霧、加載及光阻充電。
  22. 一種用於碎化或遮罩資料準備或鄰近效應校正或光學鄰近校正或遮罩製程校正之系統,其包含:組配來決定用於一曝光歷程之多數型束帶電粒子束射擊的一裝置,其中當使用於一帶電粒子束寫入器時,該等多數型束射擊在一光阻塗覆表面上產生一劑量,其中該光阻塗覆表面上之該劑量在該表面上形成一圖案,其中該表面上之該圖案包含一圖案周邊,其中該光阻包含一光阻閾值,其中該等多數型束射擊對 於該圖案的不同部分提供不同的劑量,且其中組配來決定之該裝置自該等多數型束射擊計算一劑量限度,且其中在該光阻閾值處,相對於垂直該圖案周邊的一線性尺寸,該劑量限度係為該光阻劑量的一斜率。
  23. 如申請專利範圍第22項之系統,其中該劑量限度被最適化。
  24. 如申請專利範圍第23項之系統,其中該等多數型束射擊在接近該圖案周邊處產生高於該表面上之該圖案的一內部區域的一光阻劑量波峰。
  25. 如申請專利範圍第22項之系統,其中該等多數型束射擊中之每一射擊為一可變型束射擊。
TW101105806A 2011-02-28 2012-02-22 使用帶電粒子束微影術形成圖案的方法與系統 TWI592762B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US13/037,268 US20120221980A1 (en) 2011-02-28 2011-02-28 Method and system for design of enhanced accuracy patterns for charged particle beam lithography
US13/037,263 US20120221985A1 (en) 2011-02-28 2011-02-28 Method and system for design of a surface to be manufactured using charged particle beam lithography
US13/037,270 US9057956B2 (en) 2011-02-28 2011-02-28 Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US13/329,315 US20120219886A1 (en) 2011-02-28 2011-12-18 Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US13/329,314 US20120217421A1 (en) 2011-02-28 2011-12-18 Method and system for forming patterns using charged particle beam lithography with overlapping shots

Publications (2)

Publication Number Publication Date
TW201250394A TW201250394A (en) 2012-12-16
TWI592762B true TWI592762B (zh) 2017-07-21

Family

ID=48139256

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101105806A TWI592762B (zh) 2011-02-28 2012-02-22 使用帶電粒子束微影術形成圖案的方法與系統

Country Status (1)

Country Link
TW (1) TWI592762B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015201576A (ja) * 2014-04-09 2015-11-12 株式会社ニューフレアテクノロジー ショットデータ生成方法およびマルチ荷電粒子ビーム描画方法
JP2016184605A (ja) 2015-03-25 2016-10-20 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び描画データ作成方法
NL2019502B1 (en) * 2016-09-08 2018-08-31 Mapper Lithography Ip Bv Method and system for fabricating unique chips using a charged particle multi-beamlet lithography system

Also Published As

Publication number Publication date
TW201250394A (en) 2012-12-16

Similar Documents

Publication Publication Date Title
US10101648B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
JP6054385B2 (ja) 荷電粒子ビームリソグラフィを用いてパターンを形成するための方法およびシステム
US9625809B2 (en) Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US9465297B2 (en) Method and system for forming patterns with charged particle beam lithography
US20130070222A1 (en) Method and System for Optimization of an Image on a Substrate to be Manufactured Using Optical Lithography
US20120217421A1 (en) Method and system for forming patterns using charged particle beam lithography with overlapping shots
US9057956B2 (en) Method and system for design of enhanced edge slope patterns for charged particle beam lithography
JP6140082B2 (ja) 荷電粒子ビームリソグラフィを使用してパターンを形成するための方法およびシステム
US20120221980A1 (en) Method and system for design of enhanced accuracy patterns for charged particle beam lithography
US20120221985A1 (en) Method and system for design of a surface to be manufactured using charged particle beam lithography
US9612530B2 (en) Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9323140B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
TWI592762B (zh) 使用帶電粒子束微影術形成圖案的方法與系統
TWI567503B (zh) 用於帶電粒子束微影術用之增強圖案之設計的方法與系統
TWI546614B (zh) 用於降低使用荷電粒子束顯影術之製造變異的方法及系統
WO2012118621A2 (en) Method and system for design of enhanced patterns for charged particle beam lithography