JP5749905B2 - フラクチャリングまたはマスクデータ作成または近接効果補正のための方法、パターンセット形成方法、半導体素子製造方法、およびフラクチャリングまたはマスクデータ作成または近接効果補正のための装置 - Google Patents

フラクチャリングまたはマスクデータ作成または近接効果補正のための方法、パターンセット形成方法、半導体素子製造方法、およびフラクチャリングまたはマスクデータ作成または近接効果補正のための装置 Download PDF

Info

Publication number
JP5749905B2
JP5749905B2 JP2010183857A JP2010183857A JP5749905B2 JP 5749905 B2 JP5749905 B2 JP 5749905B2 JP 2010183857 A JP2010183857 A JP 2010183857A JP 2010183857 A JP2010183857 A JP 2010183857A JP 5749905 B2 JP5749905 B2 JP 5749905B2
Authority
JP
Japan
Prior art keywords
shot
pattern
shots
characters
track
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2010183857A
Other languages
English (en)
Other versions
JP2011049556A (ja
Inventor
晶 藤村
晶 藤村
マイケル・タッカー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
D2S Inc
Original Assignee
D2S Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/603,580 external-priority patent/US7985514B2/en
Application filed by D2S Inc filed Critical D2S Inc
Publication of JP2011049556A publication Critical patent/JP2011049556A/ja
Application granted granted Critical
Publication of JP5749905B2 publication Critical patent/JP5749905B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/20Masks or mask blanks for imaging by charged particle beam [CPB] radiation, e.g. by electron beam; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • G03F1/78Patterning of masks by imaging by charged particle beam [CPB], e.g. electron beam patterning of masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • G03F7/2061Electron scattering (proximity) correction or prevention methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/302Controlling tubes by external information, e.g. programme control
    • H01J37/3023Programme control
    • H01J37/3026Patterning strategy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/143Electron beam

Description

関連出願
本願は、1)2009年10月21日に出願された「ドラッグショットを用いて成形荷電粒子ビーム書込装置で書込むためのパターンのフラクチャリング方法(Method For Fracturing A Pattern For Writing With A Shaped Charged Particle Beam Writing System Using Dragged Shots)」と題された米国特許出願連続番号12/603,580の一部継続出願であり、2)2009年8月26日に出願された「荷電粒子ビームリソグラフィを用いた表面製造のための方法および装置(Method and System For Manufacturing a Surface Using Charged Particle Beam Lithography)」と題された米国仮特許出願連続番号61/237,290に基づく優先権を主張し、この両出願はすべての目的で引用によって本明細書に援用される。
発明の背景
本発明はリソグラフィに関し、特に、荷電粒子ビーム書込装置の設計、およびレチクル、ウェハ、またはいずれかの他の表面であり得る表面を製造するための荷電粒子ビーム書込装置の使用方法に関する。
集積回路などの半導体素子の生産または製造では、半導体素子を製造するために光リソグラフィが用いられ得る。光リソグラフィは、レチクルから製造されたリソグラフィマスクまたはフォトマスクを用いて、半導体またはシリコンウェハなどの基板にパターンを転写して集積回路を作る印刷工程である。他の基板として、フラットパネルディスプレイまたは他のレチクルも含まれ得る。さらに、極紫外(EUV)またはX線リソグラフィも光リソグラフィの一種であると考えられる。一枚のレチクルまたは複数のレチクルは、集積回路の個々の層に対応する回路パターンを含み得、このパターンの像が、フォトレジストまたはレジストとして公知の放射性感受性材料の層で被覆された基板上の一定の区域に作られ得る。パターニング層が転写されると、この層はエッチング、イオン注入(ドーピング)、金属化、酸化、および研磨などのさまざまな他の工程にかけられ得る。これらの工程は、基板の個々の層を完成させるために使用される。いくつかの層が必要である場合は、新たな層の各々について全工程またはその変形例が繰り返される。最終的に、複数の素子または集積回路の組合せが基板上に現れる。これらの集積回路は次にダイシングまたはソーイングによって互いに分離され得、その後、個々のパッケージに搭載され得る。より一般的な場合は、基板上のパターンを用いて、表示画素または磁気記録ヘッドなどの加工品が規定され得る。
集積回路などの半導体素子の生産または製造では、半導体素子を製造するためにマスクレス直接書込も用いられ得る。マスクレス直接書込は、荷電粒子ビームリソグラフィを用いて、半導体またはシリコンウェハなどの基板にパターンを転写して集積回路を作成する印刷工程である。他の基板として、フラットパネルディスプレイ、ナノインプリント用インプリントマスク、またはレチクルも含まれ得る。層の所望のパターンが、この場合は基板でもある表面に直接的に書込まれる。パターニング層が転写されると、この層はエッチング、イオン注入(ドーピング)、金属化、酸化、および研磨などのさまざまな他の工程にかけられ得る。これらの工程は、基板の個々の層を完成させるために使用される。いくつかの層が必要である場合は、新たな層の各々について全工程またはその変形例が繰り返される。光リソグラフィを用いて書込まれる層もあれば、同一基板を製造するのにマスクレス直接書込を用いて書込まれる層もある。最終的に、複数の素子または集積回路の組合せが基板上に現れる。これらの集積回路は次にダイシングまたはソーイングによって互いに分離され、その後、個々のパッケージに搭載される。より一般的な場合は、基板上のパターンを用いて、表示画素または磁気記録ヘッドなどの加工品が規定され得る。
荷電粒子ビームリソグラフィの2つの一般的な種類は、可変成形ビーム(variable shaped beam:VSB)およびキャラクタプロジェクション(character projection:CP)である。これらは両者とも成形ビーム荷電粒子ビームリソグラフィの下位区分であり、高精度の電子ビームが成形され方向付けられて、ウェハの表面またはレチクルの表面などのレジスト被覆面を露光する。VSBでは、これらの形状は単純な形状であり、通常、一定の最小および最大サイズであってデカルト座標面の軸と平行な辺を有する矩形と、一定の最小および最大サイズであって3つの内角が45度、45度および90度である三角形とに限定される。予め定められた位置で、これらの単純な形状を有するレジストにある量の電子が打ち込まれる。この種類の装置についての全書込時間は、ショット数とともに長くなる。CP荷電粒子ビームリソグラフィでは、装置内にステンシルがあり、ステンシルは、直線、任意角線形、円形、略円形、環状、略環状、楕円形、略楕円形、一部円形、一部略円形、一部環状、一部略環状、一部略楕円形、もしくは任意曲線形状であり得、かつ接続された複雑な形状セットもしくは接続された複雑な形状セットのばらばらなセットの群であり得る、さまざまなアパーチャまたはキャラクタを有する。ステンシル上のキャラクタを介して電子ビームを打ち込んで、レチクル上にさらに複雑なパターンを効率的に生成することができる。理論上では、このような装置は、毎回時間のかかるショットによってさらに複雑な形状を打ち込むことが可能であるため、VSB装置よりも速いことがある。したがって、VSB装置を用いたE字型パターンショットには4ショットが必要であるが、同じE字型パターンはキャラクタプロジェクション装置では1ショットで打ち込むことができる。なお、VSB装置は、キャラクタが通常は矩形または45−45−90三角形である単純なキャラクタにすぎない、キャラクタプロジェクションの特別な(単純な)場合であると考えることができる。キャラクタを部分的に露光することも可能である。これはたとえば、粒子ビームの一部を遮ることによって行うことができる。たとえば、上記のE字型パターンは、ビームの異なる部分がアパーチャによって遮断されることによって、F字型パターンまたはI字型パターンとして部分的に露光され得る。これは、さまざまなサイズの矩形がVSBを用いて打ち込まれ得るのと同一のメカニズムである。本発明では、局部投影はキャラクタプロジェクションおよびVSBプロジェクションの両者を意味するものとして用いられる。
上述のように、光リソグラフィでは、リソグラフィマスクまたはレチクルは、基板上に集積される回路部品に対応する形状パターンを含む。レチクルを製造するために用いるパターンは、コンピュータ援用設計(CAD)ソフトウェアまたはプログラムを用いて生成され得る。パターンを設計する際、CADプログラムは、レチクルを製造するための一連の予め定められた設計ルールに従い得る。これらのルールは加工、設計、および最終用途制限事項によって設定される。最終用途制限事項の一例は、トランジスタの形状を、トランジスタが所要供給電圧で十分に動作することができないように規定することである。特に、設計ルールは、回路素子同士または相互接続配線同士の間の空間公差を規定し得る。設計ルールを用いて、たとえば、回路素子同士または配線同士が望ましくない態様で互いに相互作用しないようにする。たとえば、設計ルールを用いて、配線同士が短絡を生じ得るように互いに近づきすぎないようにする。設計ルールは中でも、確実に製造可能な最小寸法を反映する。これらの小さな寸法が言及される場合、通常は限界寸法の概念が導入される。これらはたとえば配線の最小幅または2本の配線同士の間の最小空間として規定され、それらの寸法には精巧な制御が必要である。
光リソグラフィによる集積回路製造の1つの目的は、レチクルを使用することによって当初の回路設計を基板上に再現することである。集積回路製造者は、半導体ウェハ面積をできる限り効率的に使用することを常に試みている。技術者は、回路を小型化し続けることによって、集積回路がより多くの回路要素を含み、かつより小さい電力消費量ですむことを可能にしている。集積回路限界寸法のサイズが小さくなり、その回路密度が増すにつれて、回路パターンまたは物理的設計の限界寸法は、光リソグラフィに用いられる光学露光ツールの解像限界に近づく。回路パターンの限界寸法が小さくなって露光ツールの解像値に近づくにつれて、レジスト層上に現像される実際の回路パターンに物理的設計を正確に転写することが困難になる。光リソグラフィ工程に用いられる光波長よりも小さい特徴を有するパターンを転写するための光リソグラフィの使用を促進するため、光学近接効果補正(optical proximity correction:OPC)として公知の工程が開発されている。OPCは物理的設計を変更して、特徴の光回折および光学的相互作用などの効果によって生じる歪みを、近接特徴で補償する。OPCは、レチクルを用いて実行されるすべての解像度向上技術を含む。
OPCはサブ解像度リソグラフィ特徴をマスクパターンに追加して、当初の物理的設計パターン、すなわち設計と、基板上の最終転写回路パターンとの差を小さくし得る。サブ解像度リソグラフィ特徴は、物理的設計における当初のパターンと、かつ互いに相互作用し、近接効果を補償して最終転写回路パターンを向上させる。パターンの転写を向上させるために用いられる1つの特徴は、サブ解像度補助特徴(sub-resolution assist feature:SRAF)である。パターン転写を向上させるために追加される別の特徴は、「セリフ(serif)」と称される。セリフは、パターンの角に位置決めされて最終転写像の角を鋭くすることが可能な小さな特徴である。SRAFのための表面製造工程に要求される精度は、しばしば主要特徴と称される、基板上への印刷が意図されるパターンの精度よりも低いことが多い。セリフは主要特徴の一部である。光リソグラフィの限界が波長未満領域まで拡大するにつれて、さらに微細な相互作用および効果を補償するためにOPC特徴をますます複雑にする必要がある。撮像装置が装置の限界に追い込まれるにつれて、十分微細なOPC特徴を有するレチクルを生産する能力が極めて重要になる。セリフまたは他のOPC特徴をマスクパターンに追加することは有利であるが、これによってマスクパターンの全特徴数も実質的に増える。たとえば、従来技術を用いて正方形の角の各々にセリフを追加すると、マスクまたはレチクルパターンに8つのさらなる矩形が追加される。OPC特徴の追加は非常に手間のかかる作業であり、費用のかかる演算時間が必要となり、より高価なレチクルとなってしまう。OPCパターンは複雑であるだけでなく、光学近接効果は最小配線および空間寸法と比べて長距離であるため、所与の位置における正確なOPCパターンは、近傍にどのような他の形状があるかに大きく依存する。したがってたとえば、配線端は、レチクル上で何が近くにあるかに依存して異なるサイズのセリフを有する。これは、ウェハ上に全く同じ形状を生産することが目的であり得る場合も同様である。これらの軽微だが限界のばらつきは重要であり、他のものがレチクルパターンを形成できないようにしてきた。レチクル上に書込まれるOPC装飾パターンは従来、主要特徴、すなわちOPC装飾前の設計を反映する特徴、ならびにOPC特徴がセリフ、ジョグ、およびSRAFを含み得るOPC特徴として論じられる。軽微なばらつきが意味するものを定量化すると、近傍から近傍へのOPC装飾の典型的な軽微なばらつきは、主要特徴サイズの5%から80%であり得る。なお、明確にするために、ここで言及するのは、OPCの設計におけるばらつきである。配線端縁粗度および角取りなどの製造上のばらつきも、実際の表面パターンに現れる。これらのOPCのばらつきがウェハ上に実質的に同じパターンを生産する場合、ウェハ上の形状は、たとえばトランジスタまたは配線などの、形状が実行するように設計される機能の詳細に依存する所定誤差内で同一であることが目標とされることを意味する。しかし、典型的な仕様は主要特徴範囲の2%から50%である。このほかにもばらつきの原因となる多数の製造上の要因があるが、その総合誤差のOPC成分はしばしば上記範囲内にある。サブ解像度補助特徴などのOPC形状は、光リソグラフィを用いてウェハに転写され得る最小特徴のサイズに基づくルールなどの、さまざまな設計ルールに支配される。他の設計ルールはマスク製造工程によって、またはキャラクタプロジェクション荷電粒子ビーム書込装置を用いてレチクル上にパターンを形成する場合は、ステンシル製造工程によってもたらされ得る。なおまた、マスク上のSRAF特徴の精度要件は、マスク上の主要特徴に対する精度要件よりも低いことがある。
逆リソグラフィ技術(inverse lithography technology:ILT)はOPC技術の一種である。ILTは、レチクル上に形成されるパターンが、シリコンウェハなどの基板上に形成されることが望まれるパターンから直接的に演算される工程である。これは、表面上の所望パターンを入力として用いて、光リソグラフィ工程を逆方向にシミュレートすることを含み得る。ILT演算レチクルパターンは純粋に曲線−すなわち完全に非直線であり得、円形、略円形、環状、略環状、楕円形および/または略楕円形のパターンを含み得る。曲線パターンは従来技術を用いてレチクル上に形成することが困難で高価であるため、曲線パターンの直線近似が用いられ得る。本発明では、ILT、OPC、ソースマスク最適化(source mask optimization:SMO)、および演算リソグラフィは同じ意味で用いられる用語である。
レチクル上にパターンを形成するために用いられる技術は、光リソグラフィまたは荷電粒子ビーム装置の使用など、多数ある。最先端技術のノードに対するレチクル書込は、マルチパス露光(multi-pass exposure)と称される工程である、複数回の荷電粒子ビーム書込を典型的に含み、これによってレチクル上の所与の形状が書込まれ、上書きされる。典型的に、2〜4回の露光を用いてレチクルを書込んで荷電粒子ビーム装置の精度誤差を平均化することによって、より高精度のフォトマスクを作成することができる。この種類の装置についての全書込時間は、ショット数とともに長くなる。レチクル上にパターンを形成するために用いられ得る第2の種類の装置は、上述のキャラクタプロジェクション装置である。
荷電粒子ビームリソグラフィの費用は、レチクルまたはウェハなどの表面上のパターンを露光するために必要な時間に正比例する。従来、露光時間は、パターンを生成するために必要なショット数に関連する。もっとも複雑な集積回路設計については、レチクルセットまたは基板のいずれか一方に層パターンセットを形成することは費用と時間のかかる工程である。したがって、これらの複雑なパターンを形成するために必要なショット数を減らすことなどによって、レチクルおよび他の表面上に曲線パターンなどの複雑なパターンを形成するために必要な時間を短縮することが有利であろう。
発明の要約
フラクチャリングまたはマスクデータ作成または近接効果補正のための方法および装置であって、一連の曲線キャラクタプロジェクタショットが幅が変化し得る連続的なトラックを表面上に形成可能なように、当該ショットのセットを荷電粒子ビーム書込装置について決定する方法および装置が開示される。一連の曲線キャラクタプロジェクションショットを用いて表面上に連続的なトラックを形成する方法も開示される。
一連の曲線キャラクタプロジェクションショットを用いて表面上に連続的なトラックを形成することによるレチクルの製造方法およびシリコンウェハなどの基板製造方法も開示される。
キャラクタプロジェクション荷電粒子ビーム装置を示す図である。 単一の円形CPショットによって生成されるパターンおよび断面線量曲線を示す図である。 図2Aのパターンおよび線量曲線と同様の、2つの近接した、独立して計算されたパターンおよび線量曲線を示す図である。 1対の近接円形CPショットのパターンおよび断面線量グラフを示す図である。 一定幅のターゲットパターンの一部を示す図である。 図3Aのパターンを形成可能な従来の非一部重複ショットのセットを示す図である。 単一の円形CPショット、および6つの近接CPショットのセットによって形成可能であるパターンを示す図である。 図3Cの近接CPショットのセットを用いて形成可能なトラックを示す図である。 5つの近接CPショットのセットを示す図である。 図3Eの5つの近接CPショットのセットを用いて形成可能なトラックを示す図である。 平行四辺形を有するターゲットパターンの例を示す図である。 楕円形CPキャラクタのショットを用いて形成可能なパターンを示す図である。 図4Bと同じ楕円形CPキャラクタの一連の7つのショットを示す図である。 図4Cのショットのセットによって形成可能なトラックを示す図である。 最小値よりも大きいビームぼけ半径を用いた、図4Cのショットのセットによって形成可能な別のトラックを示す図である。 曲線ターゲットパターンの例を示す図である。 図5Aのパターンの外周を形成可能な一連の円形CPショットを示す図である。 図5Bの外周ショットのセットによって形成されるパターンを示す図である。 トラックを形成可能な一連の3つの円形CPショットを示す図である。 2つは円形CPキャラクタを用い、1つは環状CPキャラクタを用いる、一連の3つのCPショットを示す図である。 本発明の例示的な方法を用いてレチクルおよびフォトマスクを製造するための概念フロー図である。 本発明の例示的な方法を用いて基板上にパターンを形成するための概念フロー図である。
実施例の詳細な説明
本発明は、一連の曲線CPショットを生成および露光して表面上に連続的なトラックを形成することを説明する。一連のショットは空間的な連続を形成し、どのような時間的順序でも書込可能である。なお、本明細書中で説明されるさまざまな実施例で用いられるショット数は例示的なものにすぎず、本発明の一連のショットは、所望のターゲットパターンを達成するために所望の通りに2つ以上であり得る。
同様の番号は同様の物を指す図面を参照して、図1は、荷電粒子ビーム書込装置などの従来のリソグラフィ装置100の実施例であって、この場合は、キャラクタプロジェクションを用いて表面130を製造する電子ビーム書込装置を示す。電子ビーム書込装置100は、電子ビーム114をアパーチャ板116に向けて投影する電子ビーム源112を有する。板116には、電子ビーム114が通過可能なアパーチャ118が形成されている。電子ビーム114はアパーチャ118を通過すると、レンズ装置(図示せず)によって電子ビーム120として、別の矩形のアパーチャ板またはステンシルマスク122に向けて方向付けられるか、偏向される。ステンシル122には、さまざまな種類のキャラクタ126を規定する多数の開口またはアパーチャ124が形成されている。ステンシル122に形成された各キャラクタ126を用いて、シリコンウェハ、レチクルまたは他の基板などの基板132の表面130上にパターン148が形成され得る。局部露光、局部投影、局部キャラクタプロジェクション、または可変キャラクタプロジェクションでは、電子ビーム120は、キャラクタ126のうちの1つの一部のみに当たるか照らすことによって、キャラクタ126のサブセットであるパターン148を形成するように位置決めされ得る。アパーチャ118によって規定される電子ビーム120のサイズよりも小さい各キャラクタ126については、アパーチャを含まないブランキング区域136が当該キャラクタ126に隣接するように設計されており、電子ビーム120がステンシル122上の望ましくないキャラクタを照らさないようになっている。電子ビーム134がキャラクタ126のうちの1つから出て来て、キャラクタ126からのパターンのサイズを縮小する電磁または静電縮小レンズ138を通過する。一般に利用可能な荷電粒子ビーム書込装置では、縮小係数は10から60である。縮小された電子ビーム140は縮小レンズ138から出て来て、一連の偏向器142によって、キャラクタ126Aに対応する文字「H」の形状として描かれたパターン148として、表面130上に方向付けられる。パターン148は、縮小レンズ138のためにキャラクタ126Aと比べて小さい。パターン148は、電子ビーム装置100の単一のショットによって描かれる。これによって、可変成形ビーム(VSB)プロジェクション装置または方法を用いる場合と比べて、パターン148を完成させる全書込時間が短くなる。1つのアパーチャ118が板116に形成されているとして示されているが、板116には2つ以上のアパーチャが存在し得る。本例では2枚の板116および122が示されているが、1枚のみまたは3枚以上の板が存在し得、各板が1つ以上のアパーチャを有する。
従来の荷電粒子ビーム書込装置では、縮小レンズ138は固定縮小係数を与えるように較正される。縮小レンズ138および/または偏向器142はまた、ビームの焦点を表面130の平面上に合わせる。表面130のサイズは、偏向板142の最大ビーム偏向能力よりもはるかに大きくてもよい。このため、パターンは通常、一連のストライプとして表面上に書込まれる。各ストライプは複数のサブフィールドを含み、サブフィールドは偏向板142のビーム偏向能力内にある。電子ビーム書込装置100は、ストライプおよびサブフィールドの各々に対して基板132を位置決めすることが可能な位置決め機構150を含む。従来の荷電粒子ビーム書込装置の1つの変形例では、基板132はサブフィールドが露光される間は固定保持され、その後、位置決め機構150が基板132を次のサブフィールド位置に動かす。従来の荷電粒子ビーム書込装置の別の変形例では、基板132は書込工程時に連続的に移動する。連続的な移動を含むこの変形例では、偏向板142に加えて、基板132の移動と同じ速度および方向にビームを動かす別の偏向板セット(図示せず)があり得る。
表面130上に合理的な精度で投影可能な最小サイズパターンは、電子ビーム書込装置100と、基板132上のレジスト被膜を通常含む表面130とに関連付けられるさまざまな短距離物理的効果によって制限される。これらの効果には、前方散乱、クーロン効果、およびレジスト拡散が含まれる。ビームぼけは、これらの短距離効果のすべてを含むとして用いられる用語である。最先端の電子ビーム書込装置は、20nmから30nmの範囲の有効なビームぼけを達成することができる。前方散乱は、全ビームぼけの4分の1から2分の1を占め得る。現在の電子ビーム書込装置は、ビームぼけの構成部分の各々を最小限まで減らすための多数の機構を含む。電子ビーム書込装置の中には、ビームぼけを、電子ビーム書込装置上で利用可能な最小値から1つ以上のより大きな値に、書込工程時に変化させ得るものもある。
図2Aは、円形CPキャラクタを用いたショットによってレジスト被覆面上に形成されるパターン202の例を示す。パターン202はショット輪郭と称され、これは単一のショットの線量によって形成可能なパターンである。本発明全体を通じて、ショット輪郭であるパターンはショットと称され得、ショット輪郭を形成可能なショットを意味する。線量グラフ210は、パターン202全体にわたって線204に沿って記録される線量212を示し、この線量は断面線量と称される。線量曲線212から分かるように、予め定められた「全」線量はパターン202の中央部でしか記録されない。線量グラフ210には、レジスト閾値214も示される。レジストは、レジスト閾値214を上回る線量を受取る区域のみを、表面上のパターンとして記録する。線量曲線212は、X座標「a」および「b」で閾値214と交差する。X座標「a」はしたがって、結合子216によって示されるように、線204に沿ってレジストによって記録される最小X座標である。同様に、X座標「b」は、結合子218によって示されるように、線204に沿ってレジストによって記録される最大X座標である。
図2Bは、互いに近接している2つのショットの例を示す。破線パターン220は、円形CPキャラクタショットのショット輪郭である。破線パターン222は、別の円形CPキャラクタショットのショット輪郭である。線量グラフ230は2本の線量曲線を示す。線量曲線232は、線224に沿って測定されるショット輪郭220の断面線量を示す。線量曲線234は、線224に沿って測定されるショット輪郭222の断面線量を示す。線量グラフ230には、レジスト閾値236も示される。線量グラフ230から分かるように、線量曲線232および線量曲線234は一部重複しており、線224に沿ったいくつかのX座標について、ショット輪郭220およびショット輪郭222の両者に関連付けられるショットが可測線量を構成することを示している。ショット線量曲線が一部重複する場合、レジスト被覆面に到達する全線量は、一部重複曲線に対応する全ショットの線量の加算などによる組合せである。図2Cは、図2Bのショット輪郭220およびショット輪郭222についての組合された線量曲線262を示す線量グラフ260を図示する。示されるように、線224に沿った線量を示す組合された線量曲線262は、「c」と「d」との間のすべてのX座標において閾値264を上回る線量を示す。パターン250は、ショット輪郭220およびショット輪郭222に関連付けられる2つの近接ショットによってレジスト被覆面に形成されるパターンを示す。このパターンについての断面線量は、図2Bの線224に対応する線254に沿って測定される。線254に沿って、2つの近接ショットは単一の接続パターン250を形成する。X座標「c」における線量曲線262と閾値264との最も左側の交差点は、結合子266によって示されるように、線254に沿ったパターン250の最小X座標を決定する。同様に、X座標「d」における線量曲線262と閾値264との最も右側の交差点は、結合子272によって示されるように、パターン250の最大X座標を決定する。示されるように、パターン250は、ショット220およびショット222に円形CPキャラクタを使用しているため、Y次元において高さが一定でない。図2Bおよび図2Cは、曲線CPキャラクタの複数の近接CPショットがともにレジスト被覆面上に単一のパターンを生成し得ることを示す。
図3Aは、レジスト被覆面上に形成される所望パターン302の一部の例を示す。パターン302はより長いパターンの一部であるため、パターンの端は示されない。パターン302の端縁は、デカルト座標面のいずれの軸とも平行でない。パターン302は、たとえば集積回路上の金属相互接続層の一部であり得る。パターン302はまた、トラックまたは連続的なトラックであり、トラックとは、絵筆の1回の動作で形成される形状的に視覚化可能なパターン、すなわち分岐のないパターンである。しかし、通常の絵筆の動作とは異なり、トラックの幅は長さに沿って変化し得る。図3Bは、パターン302を形成するために従来決定され得るような、非一部重複矩形VSBショット群のショット輪郭308を示す。ショット群308は、15ショットのショット輪郭を示す。従来の非一部重複ショットを用いると、レジストがショット群から記録するパターンの決定が単純化され得る。従来、非一部重複ショットのセットは、ショット輪郭の各々の結合がターゲットパターンと等しくなるように決定される。パターン302の輪郭には、デカルト座標面の軸と平行に向けられた矩形ショットを用いて完全に一致させることができないため、ショット群308のショット輪郭の結合は所望パターン302と完全には等しくない。
図3C〜図3Fは、ターゲットパターン302が一連の曲線ショットを用いて形成される本発明の例示的な方法を示す。図3Cは、円形CPキャラクタを用いるショット輪郭312の例を示す。図3Cはまた、パターン312と同じキャラクタを用いるショット群のショット輪郭314を示す。ショット群314は6つのショット、すなわちショット316、ショット318、ショット320、ショット322、ショット324、およびショット326を含む。この例では、ショット群314のすべてのショットは同一線量を用いているが、異なる線量を用いるショットを用いてトラックを形成してもよい。ショットは空間的に連続しているのでショット群314は連続を形成するが、ショットはどのような時間的順序でも表面に書込み可能である。図3Dは形状であって、ショット連続314に関連付けられるショットから表面上に形成され得るトラックでもある形状を示す。ショット連続314の一部重複ショット輪郭を用いると、レジスト応答、およびしたがって表面上に得られるパターンの計算が、ショット群308を用いる場合よりも困難になる。荷電粒子ビームシミュレーションを用いて、レジストによって記録されるパターン332が決定され得る。1つの実施例では、荷電粒子ビームシミュレーションを用いて、二次元(XおよびY)格子における各格子位置の線量を計算して、線量マップと称される計算線量の格子が作成され得る。記録パターン332の「波状」端縁は、間隔をあけた円形CPキャラクタの使用に起因する。端縁の「波形」によって、パターン332の幅が変化する。パターン群の幅公差は、通常は予め定められる。パターン332の幅の変化は円形CPショット同士の間隔を小さくすることによって減少させることができ、これによってパターンを形成するために必要なショット数が増える。円形CPショット同士の間隔が大きくなると、ショット数、およびしたがってパターンの書込時間が減るため、予め定められた幅公差を用いて、円形CPショットの最大許容可能間隔を決定することができる。ターゲットパターン302のようなターゲットパターンを形成するために円形CPショットを使用する利点は、円が径方向に対称であることである。円形CPキャラクタを使用する効果はしたがって、ターゲットパターンの角度にかかわらず同一である。パターン332は、一連の曲線CPショットを用いて、デカルト座標面の軸と平行でないトラックが表面上に形成され得ることを示す。
図3Eは、円形CPキャラクタを用いて、この場合はいくつかの一部重複ショットを有する一連のショットを用いてターゲットパターン302と同様のパターンが形成され得る別の例を示す。図3Eは、パターン312と同じキャラクタを用いるショット群340のショット輪郭を示す。ショット群340は5つのショット、すなわちショット342、ショット344、ショット346、ショット348、およびショット350を含む。示されるように、ショット群340のショット同士の相対間隔は、群のショットによって異なる。たとえば、ショット342とショット344との間隔は、ショット344とショット346との間隔よりも小さい。同様に、ショット350とショット348との間隔は、ショット346とショット348との間隔よりも小さい。図3Fは、ショット群340からレジスト被覆面上に形成され得るパターン360を示す。パターン360の波形は、ショット群340のショットの可変間隔のため、長さに沿って変化する。たとえば、パターン360の局部的な最小幅362は、ショット342とショット344との間隔に起因する。パターン360の局部的な最小幅364は、ショット344とショット346との間隔に起因する。ショット342とショット344との間隔と比べてショット344とショット346との間隔が相対的に大きいため、幅362と比べて幅364は小さくなる。予め定められた幅公差は通常、単一トラックの波形を一定にしてショット数を最適化することを示唆するが、図3Eおよび図3Fの例は、より大きなショット間隔によって、線量またはビームぼけ半径を変更しなくても、表面上に得られるパターンの増大波形を生成可能であることを示す。したがって、表面上の最終パターンに対する予め定められた幅公差を用いて、ショットの最大許容可能間隔が決定され得る。
再び図3Cを参照して、ショット連続314のすべてのショットは同じキャラクタを用いて作られているが、トラックは、複数のキャラクタを有する一連のショットを用いても形成可能である。1つの実施例では、一連のショットの異なるショットサブセットに対して異なるサイズの円形CPキャラクタを用いて、異なる平均幅のトラックが生成され得る。別の実施例では、一連のショットのすべてのショットに対して単一のCPキャラクタを用いるが、当該一連のショットの異なるショットサブセットに対して異なる線量を用いて、同様に異なる平均幅のトラックが生成され得る。
図4A〜図4Eは、楕円形キャラクタを用いる本発明の別の実施例を示す。図4Aは、レジスト被覆面上に形成される所望のパターンまたはトラック402の例を示す。トラック402の端縁は、デカルト座標面のいずれの軸とも平行でない。トラック402は、たとえば集積回路上の金属相互接続層の一部であり得る。図4Bは、楕円形CPキャラクタのショット輪郭404を示す。図4Cは、ショット輪郭404に関連付けられるのと同じ楕円形キャラクタを用いる、一連のCPショット410のショット輪郭を示す。ショット連続410は7つの一部重複ショット、すなわちショット412、ショット414、ショット416、ショット418、ショット420、ショット422、およびショット424からなる。示されるように、トラック402の長さと一致するように、ショット422とショット424との間隔は、ショット連続410の他の隣接ショットの対同士の間隔よりも小さい。図4Dは、通常の、すなわち最小のビームぼけ半径を用いてショット連続410からレジスト被覆面上に形成され得るトラック430を示す。上記のトラック332と同様に、トラック430の幅は長さに沿って変化する。ショット連続314を形成するために用いられる円形CPキャラクタと比べて、ショット連続410を形成するために楕円形CPキャラクタを用いる利点は、楕円形を用いると、円形を用いる場合と比べて隣接ショット同士の間の一部重複面積が小さくなることである。ショット連続410のショット同士の間の一部重複面積が小さくなると、ショット連続314と比べて単位面積当たりの線量が低くなる。これは、ショット連続314と比べて、表面の露光時に後方散乱などの長距離効果のレベルが低くなるため有利であり得る。
図4Eは、最小よりも大きいビームぼけを用いる場合に、ショット連続410からレジスト被覆面によって記録され得るトラック440を示す。示されるように、トラック440はトラック430よりも滑らかである。特に、トラック440の最大幅と最小幅との差は、トラック430の最大幅と最小幅との差よりも小さい。最小よりも大きいビームぼけを用いることによって、利用可能な最小ビームぼけを用いる場合よりも厳しい、すなわちより小さな幅公差でのトラックの形成が可能となる。
また、一連の曲線ショットを用いて、図5A〜図5Cに示されるようにパターンの外周が形成され得る。図5Aは、レジスト被覆面上に形成される曲線パターン502の例を示す。パターン502はたとえば、逆リソグラフィ工程の出力であり得る。パターン502は4つの耳、すなわち各角に1つの耳を有するとして示され得る。各耳は、「r」の印を付けられている曲率半径504を有する。図5Bは、パターン502の外周を形成するために用いられ得る一連の12個の円形ショット520のショット輪郭を示す。一連のショット520の個々のショットの輪郭の半径524は、パターン502の耳の各々を最小ショット数で形成するために「r」に選択される。図5Cは、一連のショット520から生成され得るトラック540を示す。トラック540は、始まりと終わりのない閉じたトラックである。円形CPショットを用いることによって、矩形VSBショットのセットを用いる場合よりも少ないショット数で、予め定められた公差内でパターン502の外周と一致するトラック540の外周を形成することができる。さらに、ターゲットパターンの一部の内径とほぼ一致する半径を有する表面上にパターンを生成可能な円形CPキャラクタおよび線量を用いることによって、ショット数をさらに減らすことができる。連続520をさらなるショットと組合せてパターン540の内部を充填し、ターゲットパターン502を達成することができる。
図6Aおよび図6Bは、トラックを形成する際の、円形CPキャラクタの使用と環状CPキャラクタの使用との比較を示す。図6Aは、例示的な一連の3つのショット600のショット輪郭を示し、これらの組合せによってトラックが形成される。ショット連続600は、そのすべてが円形CPキャラクタを用いて形成されるショット602、ショット604、およびショット606を含む。結果として得られるトラックの輪郭は図示されない。領域608および領域610は、ショットの一部重複のために通常線量を上回る線量を受取る区域である。図6Bは、同様にトラックを形成する別の例示的な一連の3つのショット630のショット輪郭を示す。ショット連続630は、円形ショット612、環状ショット614、および円形ショット616を含む。領域618および領域620は、ショットの一部重複のために通常線量を上回る線量を受取る交差区域である。示されるように、領域618の面積は領域608の面積よりも小さい。同様に、領域620の面積は領域610の面積よりも小さい。領域608よりも領域618の面積が小さく、領域610よりも領域620の面積が小さいということは、レジスト被覆面に与えられる一部重複線量がショット連続600よりもショット連続630のほうが少ないことを意味する。ショット連続630の線量が少ないことは、たとえば、ショット連続600よりも後方散乱のレベルを低くするために好まれ得る。図6Bにも示されるように、環状ショット614の輪郭の「孔」の部分である領域620はパターンとしてレジスト上に記録されないため、得られるトラックに空隙が生じ得る。領域622のいずれの部分が受取る実際の線量も、ショット612、ショット614およびショット616からの線量の組合せであるため、粒子ビームシミュレーションを用いて、領域622のすべての部分における線量がレジストの閾値を上回っているか否かを判断することができる。粒子ビームシミュレーションの結果、領域622のある部分の線量がレジスト閾値を下回ることがわかった場合、パターン622の孔が小さくなるように、環状ショットの代わりに、より小さな孔を有する環状CPキャラクタを用いてもよい。または、パターンのショットのいずれかの組合せの線量を、円形ショット612および616に対する線量を増加するなどして若干増やしてもよい。さらに他の実施例では、直線トラックであるトラックに対して、長円形または楕円形の孔を有する環状CPキャラクタであって、孔の外径または長径がトラックの方向と整合される環状CPキャラクタを用いてもよい。他のより複雑な形状をショット614に対して用いてもよい。図6Aおよび図6Bの例は、環状CPショットを用いることによって、円形または他の非環状曲線ショットよりも低い全体線量でトラックが形成され得ることを示す。慎重に設計することによって、形成後のパターンに空隙が存在しないようにすることができる。
本発明において言及される曲線形状は、円形、略円形、楕円形、略楕円形、長円形、略長円形、環状、略環状、楕円環状、略楕円環状、長円環状、または略長円環状を含むが、これらに限定されない。
表面が受取る線量は、グリフと称される二次元(XおよびY)線量マップとして計算および記憶され得る。二次元線量マップまたはグリフは、グリフを含むショットの近傍に対して計算された線量値の二次元格子である。この線量マップまたはグリフは、グリフのライブラリに記憶され得る。グリフライブラリは、設計におけるパターンのフラクチャリング時に入力として用いられ得る。たとえば、再び図4Aおよび図4Cを参照して、線量マップは一連のショット410から計算され、グリフライブラリに記憶され得る。フラクチャリング時に、入力パターンの1つがパターン402と同一形状のパターンである場合は、グリフを含むショットをライブラリから検索して、入力パターンを形成するための適切なショットセットを決定する演算量を使わないようにすることができる。一連のグリフを組合せてパラメータ化グリフを作成することもできる。パラメータは離散的であってもよいし、連続的であってもよい。たとえば、トラック402などのパターンを形成するためのショットおよび線量マップは複数のパターン長さに対して計算され得、その結果得られる複数のグリフが組合されてパラメータ化グリフが形成され得る。
図7は、本発明に係るフォトマスクの製造方法の例示的な概念フロー図700を示す。この工程には3種類の入力データがある。すなわち、荷電粒子ビーム装置のステンシル上のCPキャラクタに関する情報であるステンシル情報718、それを上回るとレジストがパターンを記録するレジスト線量閾値などの情報を含む工程情報736、およびレチクル上に形成される所望パターン716のコンピュータ表現である。また、最初の任意のステップ702〜712は、グリフのライブラリの作成を含む。任意のグリフのライブラリ作成の最初のステップはVSB/CPショット選択702であり、ここでは各々が所定線量を有する1つ以上のVSBまたはCPショットがショットセット704に組合される。ショットセット704は、一部重複VSBショットおよび/または一部重複CPショットを含み得る。ショットセット704は、トラックを形成する一連の曲線CPショットを含み得る。ショットセットのショットはさらに、ビームぼけが特定されている場合がある。VSB/CPショット選択ステップ702では、ステンシル上で利用可能なCPキャラクタについての情報を含むステンシル情報718を用いる。ショットセット704はステップ706において荷電粒子ビームシミュレーションを用いてシミュレートされて、ショットセットの線量マップ708が作成される。ステップ706は、前方散乱、レジスト拡散、クーロン効果、エッチング、フォギング、ローディング、レジスト帯電、および後方散乱などのさまざまな物理現象のシミュレーションを含み得る。ステップ706の結果、二次元線量マップ708が作成され、これは、当該マップ内の各格子位置におけるショットセット704からの組合せ線量を表わす。線量マップ708はグリフと称される。ステップ710で、ショットセットの各ショットについての情報、およびこの付加的なグリフの線量マップ708がグリフのライブラリ712に記憶される。1つの実施例では、グリフセットが、パラメータ化グリフと称される種類のグリフに組合わされ得る。
フロー700の必須部分には、フォトマスクの作成が含まれる。ステップ720では、レチクルまたはレチクル部分についての組合せ線量マップが計算される。ステップ720では、レチクルに形成される所望パターン716、工程情報736、ステンシル情報718、およびグリフライブラリが作成されている場合はグリフライブラリ712を入力として用いる。ステップ720では、初期レチクル線量マップが作成され得、これにショット線量マップが組合される。当初、レチクル線量マップはショット線量マップ情報を含まない。1つの実施例では、レチクル線量マップの格子で区切られた正方形が、局部的なレジスト現像液減少の効果を指す用語である後方散乱、フォギング、またはローディングなどの長距離効果に対する推定補正量で初期化され得る。ステップ720は、VSB/CPショット選択722、またはグリフ選択734、またはこれら両者を含み得る。ショット選択722は、レチクル上にトラックを形成可能な一連の曲線CPショットの選択を含み得る。VSBまたはCPショットが選択されると、そのショットはステップ724で荷電粒子ビームシミュレーションを用いてシミュレートされ、ショットの線量マップ726が作成される。荷電粒子ビームシミュレーションは、形状をガウス分布でコンボリューションすることを含み得る。コンボリューションは形状の二次関数を用い得、二次関数が、点が形状の内側か外側かを決定する。形状は、単一のアパーチャ形状または複数のアパーチャ形状、またはそれらの軽微な変更であり得る。1つの実施例では、このシミュレーションは、一時的なショット線量マップキャッシュを使用するときのように、同一ショットの以前のシミュレーションの結果を検索することを含み得る。最小よりも大きいビームぼけが、VSBまたはCPショットについて特定され得る。VSBおよびCPショットの両者は一部重複してもよく、互いに異なる線量を有し得る。グリフが選択された場合、グリフの線量マップがグリフライブラリから入力される。ステップ722で、ショットおよび/またはグリフのさまざまな線量マップが、レチクル線量マップに組合される。1つの実施例では、この組合せは線量の加算によってなされる。結果として得られる組合せ線量マップ、およびレジスト特性を含む工程情報736を用いて、レチクルパターンが計算され得る。計算されたレチクルパターンが、予め定められた公差内で所望パターン716と一致する場合は、決定されたVSB/CPショットおよび選択されたグリフを構成するショットを含む組合せショットリスト738が出力される。計算されたレチクルパターンが、ステップ720で計算されたような予め定められた公差内でターゲットパターン716と一致しない場合は、選択されたCPショット、VSBショットおよび/またはグリフのセットが修正され、線量マップが再計算され、レチクルパターンが再計算される。1つの実施例では、ショットおよび/またはグリフの初期セットはコレクト・バイ・コンストラクション方式(correct-by-construction method)で決定され得るため、ショットまたはグリフの変更は不要である。別の実施例では、ステップ720は、選択されたVSB/CPショットおよびグリフによって表わされる全ショット数、または全荷電粒子ビーム書込時間、または何らかの他のパラメータを最小化するための最小化技術を含む。さらに別の実施例では、VSB/CPショット選択722およびグリフ選択734を実行して複数のショットセットを生成し、その各々は、通常よりも低い線量であるが所望パターン716と一致するレチクル像を形成して、複数回書込を支持し得る。
組合せショットリスト738は、選択されたVSBショット、選択されたCPショット、および選択されたグリフを構成するショットの決定リストを含む。最終ショットリスト738のすべてのショットは線量情報を含む。ショットは、ビームぼけ特定も含み得る。ステップ740で、近接効果補正(proximity effect corretion:PEC)および/または他の補正が行なわれ得るか、または補正が以前の推定から精密化され得る。したがって、ステップ740は、組合せショットリスト738を入力として用い、ショット線量が調節された最終ショットリスト742を生成する。ステップ720からステップ742までのステップ群、またはこのステップ群のステップのサブセットは、まとめてフラクチャリングまたはマスクデータ作成と称される。最終ショットリスト742は、ステップ744で荷電粒子ビーム装置によって用いられて、レチクルを被覆しているレジストを露光し、それによってレジスト上にパターン746を形成する。ステップ748で、レジストが現像される。さらなる加工ステップ750にかけて、レチクルがフォトマスク752に転写される。
図8は、本発明に係るシリコンウェハなどの基板の製造方法の例示的な概念フロー図800を示す。この工程には3種類の入力データがある。すなわち、荷電粒子ビーム装置のステンシル上のCPキャラクタに関する情報であるステンシル情報818、それを上回るとレジストがパターンを記録するレジスト線量閾値などの情報を含む工程情報836、および基板上に形成される所望パターン816のコンピュータ表現である。また、最初の任意のステップ802〜812は、グリフのライブラリの作成を含む。任意のグリフのライブラリ作成の最初のステップはVSB/CPショット選択802であり、ここでは各々が所定線量を有する1つ以上のVSBまたはCPショットがショットセット804に組合される。ショットセット804は、一部重複VSBショットおよび/または一部重複CPショットを含み得る。ショットセット804は、トラックを形成する一連の曲線CPショットを含み得る。ショットセットのショットはさらに、ビームぼけが特定されている場合がある。VSB/CPショット選択ステップ802では、ステンシル上で利用可能なCPキャラクタについての情報を含むステンシル情報818を用いる。ショットセット804はステップ806において荷電粒子ビームシミュレーションを用いてシミュレートされて、ショットセットの線量マップ808が作成される。ステップ806は、前方散乱、レジスト拡散、クーロン効果、エッチング、フォギング、ローディング、レジスト帯電、および後方散乱などのさまざまな物理現象のシミュレーションを含み得る。ステップ806の結果、二次元線量マップ808が作成され、これは、当該マップ内の各格子位置におけるショットセット804からの組合せ線量を表わす。線量マップ808はグリフと称される。ステップ810で、ショットセットの各ショットについての情報、およびこの付加的なグリフの線量マップ808がグリフのライブラリ812に記憶される。1つの実施例では、グリフセットが、パラメータ化グリフと称される種類のグリフに組合わせられ得る。
フロー800の必須部分には、レジスト被覆基板上のパターンの作成が含まれる。ステップ820では、基板または基板の一部についての組合せ線量マップが計算される。ステップ820では、基板に形成される所望パターン816、工程情報836、ステンシル情報818、およびグリフライブラリが作成されている場合はグリフライブラリ812を入力として用いる。ステップ820では、初期基板線量マップが作成され得、これにショット線量マップが組合される。当初、基板線量マップはショット線量マップ情報を含まない。1つの実施例では、基板線量マップの格子で区切られた正方形が、後方散乱、フォギング、またはローディングなどの長距離効果に対する推定補正量で初期化され得る。ステップ820は、VSB/CPショット選択822、またはグリフ選択834、またはこれら両者を含み得る。ショット選択822は、基板上にトラックを形成可能な一連の曲線CPショットの選択を含み得る。VSBまたはCPショットが選択されると、そのショットはステップ824で荷電粒子ビームシミュレーションを用いてシミュレートされ、ショットの線量マップ826が作成される。荷電粒子ビームシミュレーションは、形状をガウス分布でコンボリューションすることを含み得る。コンボリューションは形状の二次関数を用い得、二次関数が、点が形状の内側か外側かを決定する。形状は、単一のアパーチャ形状または複数のアパーチャ形状、またはそれらの軽微な変更であり得る。1つの実施例では、このシミュレーションは、一時的なショット線量マップキャッシュを使用するときのように、同一ショットの以前のシミュレーションの結果を検索することを含み得る。最小よりも大きいビームぼけが、VSBまたはCPショットについて特定され得る。VSBおよびCPショットの両者は一部重複してもよく、互いに異なる線量を有し得る。グリフが選択された場合、グリフの線量マップがグリフライブラリから入力される。ステップ820で、ショットおよび/またはグリフのさまざまな線量マップが、基板線量マップに組合される。1つの実施例では、この組合せは線量の加算によってなされる。結果として得られる組合せ線量マップ、およびレジスト特性を含む工程情報836を用いて、基板パターンが計算され得る。計算された基板パターンが、予め定められた公差内で所望パターン816と一致する場合は、決定されたVSB/CPショットおよび選択されたグリフを構成するショットを含む組合せショットリスト838が出力される。計算された基板パターンが、ステップ820で計算されたような予め定められた公差内でターゲットパターン816と一致しない場合は、選択されたCPショット、VSBショットおよび/またはグリフのセットが修正され、線量マップが再計算され、基板パターンが再計算される。1つの実施例では、ショットおよび/またはグリフの初期セットはコレクト・バイ・コンストラクション方式で決定され得るため、ショットまたはグリフの変更は不要である。別の実施例では、ステップ820は、選択されたVSB/CPショットおよびグリフによって表わされる全ショット数、または全荷電粒子ビーム書込時間、または何らかの他のパラメータを最小化するための最小化技術を含む。さらに別の実施例では、VSB/CPショット選択822およびグリフ選択834を実行して複数のショットセットを生成し、その各々は、通常よりも低い線量であるが所望パターン816と一致するレチクル像を形成して、複数回書込を支持し得る。
組合せショットリスト838は、選択されたVSBショット、選択されたCPショット、および選択されたグリフを構成するショットの決定リストを含む。最終ショットリスト838のすべてのショットは線量情報を含む。ショットは、ビームぼけ特定も含み得る。ステップ840で、近接効果補正(PEC)および/または他の補正が行なわれ得るか、または補正が以前の推定から精密化され得る。したがって、ステップ840は、組合せショットリスト838を入力として用い、ショット線量が調節された最終ショットリスト842を生成する。ステップ820からステップ842までのステップ群、またはこのステップ群のステップのサブセットは、まとめてフラクチャリングまたはマスクデータ作成と称される。最終ショットリスト842は、ステップ844で荷電粒子ビーム装置によって用いられて、基板を被覆しているレジストを露光し、それによって基板上にパターン846を形成する。
本発明において説明されるフラクチャリング、マスクデータ作成、および近接効果補正フローは、演算素子などの適切なコンピュータソフトウェアを有する汎用コンピュータを用いて実現され得る。大量の計算が必要であるため、複数のコンピュータまたはプロセッサコアが並行して用いられ得る。1つの実施例では、演算は、フロー中の1つ以上の演算集約ステップのための複数の二次元形状領域に下位区分されて並列処理をサポートし得る。別の実施例では、単一または複数で用いられる専用ハードウェア素子が用いられて、汎用コンピュータまたはプロセッサコアを用いる場合よりも高速で1つ以上のステップの演算が実行され得る。1つの実施例では、本発明において説明される最適化およびシミュレーション工程は、全ショット数、または全荷電粒子ビーム書込時間、または何らかの他のパラメータを最小化するために、可能性のある解決策を修正および再計算する反復処理を含み得る。別の実施例では、ショット変更が不要であるように、ショットの初期セットがコレクト・バイ・コンストラクション方式によって決定され得る。
本明細書は特定的な実施例を参照して詳細に説明されたが、当業者であれば、上記の説明を理解すれば、これらの実施例の変形例、変更例または均等物を容易に想到し得ることが認識されるであろう。フラクチャリング、表面製造、および集積回路製造のための本発明の方法に対するこれらおよび他の修正例および変更例は、添付の請求項においてより特定的に記載される本願の主題の思想および範囲から逸脱することなく当業者によって実践され得る。さらに、当業者であれば、上記説明が例示的なものに過ぎず、限定的であることを意図しないことを認識するであろう。本発明の範囲から逸脱することなく、本明細書中のステップに対してステップを追加、削除または変更してもよい。一般的に、提示されるいずれのフローチャートも、機能を達成するための1つの可能性のある一連の基本的動作を示すことを意図しており、多くの変形例が可能である。したがって、本願の主題は、添付の請求項およびその均等物の範囲内にあるような、それらの修正例および変形例を含むことが意図される。

Claims (24)

  1. キャラクタプロジェクション(CP)荷電粒子ビームリソグラフィのためのフラクチャリング、またはマスクデータ作成、または近接効果補正のための方法であって、
    表面上に形成されるパターンセットを入力するステップと、
    2つ以上の曲線キャラクタを含む、ステンシル上の利用可能なキャラクタプロジェクション(CP)キャラクタのセットを入力するステップと、
    利用可能な前記CPキャラクタのセットの中から選択された1つ以上の曲線CPキャラクタの2つ以上の連続ショットを決定するステップとを備え、前記連続ショットは、前記表面上に連続的なトラックを形成可能であり、前記トラックは、前記パターンセットの中のパターンの一部を含み、前記決定するステップは、前記表面上の予め定められたパターン精度公差を達成しつつ、前記表面上に前記パターンセットを形成するために必要な時間を最小限に抑えるステップをさらに含み、前記方法はさらに、
    前記連続ショットを出力するステップを備える、方法。
  2. 前記連続ショットの中の1対のショットは前記表面上で一部重複する、請求項1に記載の方法。
  3. 前記連続ショットの中のすべてのショットは、利用可能な前記CPキャラクタのセットの中の単一の曲線CPキャラクタを用いる、請求項1に記載の方法。
  4. 前記連続ショットの中のショットによって用いられる前記1つ以上の曲線CPキャラクタは、円形または略円形である、請求項1に記載の方法。
  5. 前記連続ショットの中のショットによって用いられる前記1つ以上の曲線CPキャラクタは、楕円形、略楕円形、長円形、略長円形、環状、略環状、楕円環状、略楕円環状、長円環状、または略長円環状である、請求項1に記載の方法。
  6. 前記トラックは、前記パターンセットの中の完全なパターンを形成する、請求項1に記載の方法。
  7. 前記トラックは、前記パターンセットの中のパターンの外周を形成する、請求項1に記載の方法。
  8. 前記トラックは曲線である、請求項1に記載の方法。
  9. 前記連続ショットの中の各ショットは線量を含み、前記連続ショットの中のショットの前記線量は互いに異なり得、前記連続ショットの中の前記ショットの前記線量は後で調整され得る、請求項1に記載の方法。
  10. 前記連続ショットを決定するステップは、前記連続ショットの中のショットについてのビームぼけ半径を決定するステップを含み、前記連続ショットを出力するステップは、前記連続ショットの中のショットのためのビームぼけ半径情報を出力するステップを含み、前記連続ショットの中のショットについての決定されたビームぼけは、ターゲット荷電粒子ビーム書込装置に利用可能な最小ビームぼけよりも大きい、請求項1に記載の方法。
  11. 前記パターンセットを形成するために必要な時間を最小限に抑えるステップは、予め定められた前記パターン精度公差内でのトラック幅変化を達成しつつ、ショット数を最小限に抑えるようにショット同士の間隔を計算するステップを含む、請求項1に記載の方法。
  12. 前記決定するステップは、荷電粒子ビームシミュレーションを用いるステップを含む、請求項1に記載の方法。
  13. 前記荷電粒子ビームシミュレーションは、前方散乱、後方散乱、レジスト拡散、クーロン効果、エッチング、フォギング、ローディング、およびレジスト帯電からなるグループのうちの少なくとも1つを含む、請求項12に記載の方法。
  14. 表面上にパターンセットを形成するための方法であって、
    荷電粒子ビーム源を提供するステップと、
    2つ以上の曲線キャラクタを含む、ステンシル上のキャラクタプロジェクション(CP)キャラクタのセットを提供するステップと、
    前記CPキャラクタのセットの中から選択された1つ以上の曲線CPキャラクタの2つ以上の連続ショットを露光するステップとを備え、前記連続ショットは、前記表面上に連続的なトラックを形成し、前記トラックは、前記パターンセットの中のパターンの一部を含み、前記2つ以上の連続ショットは、前記表面上の予め定められたパターン精度公差を達成しつつ、前記表面上に前記パターンセットを形成するために必要な時間を最小限に抑えるように決定される、方法。
  15. 前記連続ショットの中の1対のショットは前記表面上で一部重複する、請求項14に記載の方法。
  16. 前記連続ショットの中のすべてのショットは、利用可能な前記CPキャラクタのセットの中の単一の曲線CPキャラクタを用いる、請求項14に記載の方法。
  17. 前記連続ショットの中のショットによって用いられる前記1つ以上の曲線CPキャラクタは、円形または略円形である、請求項14に記載の方法。
  18. 前記連続ショットの中のショットによって用いられる前記1つ以上の曲線CPキャラクタは、楕円形、略楕円形、長円形、略長円形、環状、略環状、楕円環状、略楕円環状、長円環状、または略長円環状である、請求項14に記載の方法。
  19. 前記トラックは、前記パターンセットの中のパターンの外周を形成する、請求項14に記載の方法。
  20. 前記トラックは曲線である、請求項14に記載の方法。
  21. 前記連続ショットの中の各ショットはビームぼけ半径を含み、前記連続ショットの中の少なくとも1つのショットは、最小よりも大きいビームぼけで形成される、請求項14に記載の方法。
  22. 前記表面は半導体ウェハであり、前記方法は、前記ウェハ上の前記パターンセットを用いて集積回路を製造するステップをさらに備える、請求項14に記載の方法。
  23. 基板上に半導体素子を製造するための方法であって、
    パターンセットを含むフォトマスクを提供するステップを備え、前記フォトマスクは、レチクルを用いて、2つ以上の曲線キャラクタを含む、利用可能なキャラクタプロジェクション(CP)キャラクタのセットから選択された、1つ以上の曲線CPキャラクタの連続した2つ以上の荷電粒子ビームショットを決定して、前記連続した2つ以上の荷電粒子ビームショットを露光して前記レチクル上に連続的なトラックを形成することによって製造されており、前記決定するステップは、前記表面上の予め定められたパターン精度公差を達成しつつ、前記表面上に前記パターンセットを形成するために必要な時間を最小限に抑えるステップを含み、前記トラックは前記パターンセットの中のパターンの一部を含み、前記方法はさらに、
    前記フォトマスク上の前記パターンを用いて、光リソグラフィを用いて前記基板上に複数のパターンを形成するステップを備える、方法。
  24. 成形ビーム荷電粒子ビームリソグラフィとともに用いるための、フラクチャリング、またはマスクデータ作成、または近接効果補正のための装置であって、
    表面上に形成されるパターンセットを受取り可能な入力素子と、
    前記表面上に連続的なトラックを形成可能な1つ以上の曲線CPキャラクタの連続した2つ以上のショットを決定可能な演算素子とを備え、前記トラックは前記パターンセットの中のパターンの一部を含み、前記1つ以上の曲線CPキャラクタは、2つ以上の曲線キャラクタを含む、利用可能なキャラクタプロジェクションキャラクタのセットから選択され、前記演算素子による前記連続した2つ以上のショットの決定は、前記表面上の予め定められたパターン精度公差を達成しつつ、前記表面上に前記パターンセットを形成するために必要な時間を最小限に抑えることを含み、前記装置はさらに、
    決定された連続ショットを出力可能な出力素子を備える、装置。
JP2010183857A 2009-08-26 2010-08-19 フラクチャリングまたはマスクデータ作成または近接効果補正のための方法、パターンセット形成方法、半導体素子製造方法、およびフラクチャリングまたはマスクデータ作成または近接効果補正のための装置 Expired - Fee Related JP5749905B2 (ja)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US23729009P 2009-08-26 2009-08-26
US61/237,290 2009-08-26
US12/603,580 2009-10-21
US12/603,580 US7985514B2 (en) 2009-10-21 2009-10-21 Method for fracturing a pattern for writing with a shaped charged particle beam writing system using dragged shots
US12/618,722 US8039176B2 (en) 2009-08-26 2009-11-14 Method for fracturing and forming a pattern using curvilinear characters with charged particle beam lithography
US12/618,722 2009-11-14

Publications (2)

Publication Number Publication Date
JP2011049556A JP2011049556A (ja) 2011-03-10
JP5749905B2 true JP5749905B2 (ja) 2015-07-15

Family

ID=43567691

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010183857A Expired - Fee Related JP5749905B2 (ja) 2009-08-26 2010-08-19 フラクチャリングまたはマスクデータ作成または近接効果補正のための方法、パターンセット形成方法、半導体素子製造方法、およびフラクチャリングまたはマスクデータ作成または近接効果補正のための装置

Country Status (6)

Country Link
US (6) US8039176B2 (ja)
EP (1) EP2302659A3 (ja)
JP (1) JP5749905B2 (ja)
KR (1) KR101715807B1 (ja)
CN (1) CN102023489A (ja)
TW (1) TWI526788B (ja)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9323140B2 (en) 2008-09-01 2016-04-26 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US8669023B2 (en) 2008-09-01 2014-03-11 D2S, Inc. Method for optical proximity correction of a reticle to be manufactured using shaped beam lithography
US20120219886A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US7901850B2 (en) 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US8473875B2 (en) 2010-10-13 2013-06-25 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
US20130070222A1 (en) * 2011-09-19 2013-03-21 D2S, Inc. Method and System for Optimization of an Image on a Substrate to be Manufactured Using Optical Lithography
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US8057970B2 (en) 2008-09-01 2011-11-15 D2S, Inc. Method and system for forming circular patterns on a surface
US8062813B2 (en) 2008-09-01 2011-11-22 D2S, Inc. Method for design and manufacture of a reticle using a two-dimensional dosage map and charged particle beam lithography
US8039176B2 (en) * 2009-08-26 2011-10-18 D2S, Inc. Method for fracturing and forming a pattern using curvilinear characters with charged particle beam lithography
US9164372B2 (en) 2009-08-26 2015-10-20 D2S, Inc. Method and system for forming non-manhattan patterns using variable shaped beam lithography
TWI496182B (zh) * 2009-08-26 2015-08-11 D2S Inc 以可變束模糊技術使用帶電粒子束微影術製造表面之方法及系統
US9448473B2 (en) 2009-08-26 2016-09-20 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
JP2013508973A (ja) * 2009-10-21 2013-03-07 ディー・ツー・エス・インコーポレイテッド 荷電粒子ビームリソグラフィを用いて表面上にパターンを形成するための方法およびシステム
US8221939B2 (en) 2009-12-26 2012-07-17 D2S, Inc. Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes having different dosages
US8745555B2 (en) 2010-05-12 2014-06-03 D2S, Inc. Method for integrated circuit design and manufacture using diagonal minimum-width patterns
JP5547567B2 (ja) * 2010-06-30 2014-07-16 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置およびその制御方法
US8703389B2 (en) 2011-06-25 2014-04-22 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9057956B2 (en) 2011-02-28 2015-06-16 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
WO2012148606A2 (en) * 2011-04-26 2012-11-01 D2S, Inc. Method and system for forming non-manhattan patterns using variable shaped beam lithography
JP5810642B2 (ja) * 2011-06-06 2015-11-11 大日本印刷株式会社 マスクデータ生成方法及びそれを用いたマスクの製造方法
US9034542B2 (en) 2011-06-25 2015-05-19 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
US8719739B2 (en) 2011-09-19 2014-05-06 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
WO2013104482A1 (en) * 2012-01-12 2013-07-18 Asml Netherlands B.V. A lithography apparatus, an apparatus for providing setpoint data, a device manufacturing method, a method for providing setpoint data and a computer program
US8745549B2 (en) 2012-02-05 2014-06-03 D2S, Inc. Method and system for forming high precision patterns using charged particle beam lithography
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US9038003B2 (en) 2012-04-18 2015-05-19 D2S, Inc. Method and system for critical dimension uniformity using charged particle beam lithography
KR101970685B1 (ko) 2012-08-09 2019-04-19 삼성전자 주식회사 패터닝 방법, 그 패터닝 방법을 이용한 반도체 소자 제조방법, 및 반도체 소자 제조장치
JP6057635B2 (ja) * 2012-09-14 2017-01-11 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP5588493B2 (ja) 2012-10-25 2014-09-10 株式会社アドバンテスト 電子ビーム露光方法
US8812999B2 (en) * 2013-01-02 2014-08-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system of mask data preparation for curvilinear mask patterns for a device
KR102300585B1 (ko) * 2013-03-13 2021-09-09 디2에스, 인코포레이티드 하전 입자 빔 리소그래피를 이용하여 사선 패턴을 형성하기 위한 방법 및 시스템
US8949750B2 (en) * 2013-03-13 2015-02-03 D2S, Inc. Method and system for forming a diagonal pattern using charged particle beam lithography
US8865377B2 (en) 2013-03-13 2014-10-21 D2S, Inc. Method and system for forming a diagonal pattern using charged particle beam lithography
JP6283180B2 (ja) * 2013-08-08 2018-02-21 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
KR102197873B1 (ko) * 2013-08-29 2021-01-04 삼성전자주식회사 전자 빔을 이용하는 패턴 형성 방법 및 이를 수행하는 노광 시스템
EP2869119A1 (en) * 2013-10-30 2015-05-06 Aselta Nanographics Free form fracturing method for electronic or optical lithography using resist threshold control
EP3037878B1 (en) * 2014-12-23 2020-09-09 Aselta Nanographics Method of applying vertex based corrections to a semiconductor design
JP2016122676A (ja) * 2014-12-24 2016-07-07 株式会社アドバンテスト 露光装置および露光方法
JP6590542B2 (ja) * 2015-06-15 2019-10-16 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画方法及び荷電粒子ビーム描画装置
US9805909B1 (en) * 2016-09-20 2017-10-31 Applied Materials Israel Ltd. Method for detecting voids in interconnects and an inspection system
US9990460B2 (en) 2016-09-30 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Source beam optimization method for improving lithography printability
CN108268688B (zh) * 2017-01-04 2021-11-02 复旦大学 一种基于字符投影电子束光刻技术的字符盘优化设计方法

Family Cites Families (142)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3768124A (en) 1972-01-13 1973-10-30 Textron Inc Nail package improvements for interconnecting the trailing nail of one nail package with the leading nail of another nail package
JPS5425675Y2 (ja) 1974-10-03 1979-08-27
JPS5425675A (en) 1977-07-28 1979-02-26 Nec Corp Electron beam exposure unit
JPS58105910U (ja) 1982-01-11 1983-07-19 オイレス工業株式会社 シ−ト屋根の開閉装置
US4438336A (en) 1982-03-26 1984-03-20 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Corpuscular radiation device for producing an irradiation pattern on a workpiece
JPS608844A (ja) 1983-06-29 1985-01-17 Pioneer Electronic Corp 電子ビームによるレジスト加工方法
US4634871A (en) 1985-01-14 1987-01-06 Hughes Aircraft Company Method and apparatus for spot shaping and blanking a focused beam
US4698509A (en) 1985-02-14 1987-10-06 Varian Associates, Inc. High speed pattern generator for electron beam lithography
US4818885A (en) 1987-06-30 1989-04-04 International Business Machines Corporation Electron beam writing method and system using large range deflection in combination with a continuously moving table
JP2680074B2 (ja) 1988-10-24 1997-11-19 富士通株式会社 荷電粒子ビーム露光を用いた半導体装置の製造方法
US5173582A (en) 1988-10-31 1992-12-22 Fujitsu Limited Charged particle beam lithography system and method
JPH02136489A (ja) 1988-11-18 1990-05-25 Yokoyama Kiso Koji:Kk 竪孔掘削工法及びそれに使用する竪孔掘削機
JPH0620931Y2 (ja) 1989-03-28 1994-06-01 栃木富士産業株式会社 滑り制限差動装置
JPH02280315A (ja) 1989-04-20 1990-11-16 Mitsubishi Electric Corp 電子ビーム直接描画装置
JPH03205815A (ja) 1990-01-08 1991-09-09 Hitachi Ltd 可変整形絞り
JPH04137520A (ja) 1990-09-28 1992-05-12 Hitachi Ltd 電子線描画装置および描画方法
JPH04196516A (ja) 1990-11-28 1992-07-16 Seiko Epson Corp Eb露光方法および露光装置
JPH0536595A (ja) 1991-08-02 1993-02-12 Fujitsu Ltd 電子線露光方法
JPH05267133A (ja) 1992-03-17 1993-10-15 Hitachi Ltd 斜め図形描画法
JPH05335221A (ja) 1992-05-28 1993-12-17 Fujitsu Ltd 荷電粒子線露光法および露光装置
JPH0620931A (ja) 1992-07-03 1994-01-28 Hitachi Ltd 電子ビーム露光方法
JP3288794B2 (ja) 1992-08-31 2002-06-04 株式会社東芝 荷電ビーム補正方法及びマーク検出方法
JPH0864522A (ja) 1994-06-16 1996-03-08 Nikon Corp 荷電粒子線転写方法
JP3203963B2 (ja) 1994-07-15 2001-09-04 株式会社日立製作所 電子線描画装置及び電子線描画方法
JP3340248B2 (ja) 1994-08-12 2002-11-05 沖電気工業株式会社 電子ビーム露光方法
JPH08195339A (ja) 1995-01-18 1996-07-30 Hitachi Ltd 電子ビーム描画方法
JPH08222504A (ja) 1995-02-14 1996-08-30 Hitachi Ltd 荷電粒子ビーム露光装置
JP3334441B2 (ja) 1995-08-01 2002-10-15 ソニー株式会社 フォトマスク描画用パターンデータ補正方法と補正装置
JP3940824B2 (ja) 1995-08-14 2007-07-04 株式会社ニコン 荷電粒子線によるパターン転写方法および転写装置
JP3038141B2 (ja) 1995-09-19 2000-05-08 ホーヤ株式会社 レジストパターン形成条件決定方法及びレジストパターン形成方法
JPH09260243A (ja) 1996-03-19 1997-10-03 Fujitsu Ltd 荷電粒子ビーム露光方法及び装置
JP2956577B2 (ja) * 1996-03-28 1999-10-04 日本電気株式会社 電子線露光方法
US5825039A (en) 1996-11-27 1998-10-20 International Business Machines Corporation Digitally stepped deflection raster system and method of use thereof
JP3085454B2 (ja) 1997-03-13 2000-09-11 日本電気株式会社 荷電粒子線露光方法
JPH10294255A (ja) 1997-04-17 1998-11-04 Canon Inc 電子ビーム照明装置、および該電子ビーム照明装置を備えた露光装置
JP3350416B2 (ja) 1997-10-01 2002-11-25 株式会社東芝 パターン形成方法
JPH11233401A (ja) 1998-02-09 1999-08-27 Hitachi Ltd 電子線描画方法及び電子線描画装置
JP3076570B2 (ja) 1998-08-24 2000-08-14 松下電子工業株式会社 荷電粒子描画方法及び荷電粒子描画装置
US6218671B1 (en) 1998-08-31 2001-04-17 Nikon Corporation On-line dynamic corrections adjustment method
JP2000091191A (ja) 1998-09-09 2000-03-31 Nikon Corp 電子線露光用のマスクと露光装置及び電子線露光方法
JP2000269123A (ja) 1999-03-19 2000-09-29 Toshiba Corp 露光パターンデータの生成方法と荷電ビーム露光装置
US6610989B1 (en) 1999-05-31 2003-08-26 Fujitsu Limited Proximity effect correction method for charged particle beam exposure
JP2001013671A (ja) 1999-06-30 2001-01-19 Toshiba Corp パターン形成方法
US6262427B1 (en) 1999-07-15 2001-07-17 Nikon Corporation Variable transmission reticle for charged particle beam lithography tool
US6525328B1 (en) 1999-07-23 2003-02-25 Kabushiki Kaisha Toshiba Electron beam lithography system and pattern writing method
JP2001093809A (ja) * 1999-09-22 2001-04-06 Toshiba Corp パターン描画方法及び荷電ビーム描画装置
US6768124B2 (en) 1999-10-19 2004-07-27 Nikon Corporation Reticle-focus detector, and charged-particle-beam microlithography apparatus and methods comprising same
JP2001144008A (ja) 1999-11-17 2001-05-25 Nec Corp 電子線露光方法、並びにこれに用いるマスク及び電子線露光装置
US6320187B1 (en) * 1999-12-07 2001-11-20 Nikon Corporation Magnification and rotation calibration patterns for particle beam projection system
JP2001185477A (ja) 1999-12-27 2001-07-06 Nikon Corp 荷電粒子線露光方法、荷電粒子線露光装置及び半導体デバイスの製造方法
KR100327343B1 (ko) 2000-01-12 2002-03-06 윤종용 전자빔 리소그래피시 재산란된 전자빔에 의한 선폭변화를보정하는 방법 및 이를 기록한 기록매체
US6815693B2 (en) 2000-02-18 2004-11-09 Nikon Corporation Charged-particle-beam microlithography apparatus and methods including proximity-effect correction
JP2001305720A (ja) 2000-02-18 2001-11-02 Nikon Corp 被転写媒体の製造方法、被転写パターン形成プログラムを記憶した記憶媒体、及び半導体デバイスの製造方法
JP2001313253A (ja) 2000-02-25 2001-11-09 Hitachi Ltd 電子線描画装置及び電子線描画方法
US6433348B1 (en) 2000-07-25 2002-08-13 Applied Materials, Inc. Lithography using multiple pass raster-shaped beam
JP2002050559A (ja) 2000-08-01 2002-02-15 Canon Inc 露光装置及びそれを用いたデバイスの製造方法
JP2002075830A (ja) 2000-08-29 2002-03-15 Nikon Corp 荷電粒子線露光方法、レチクル及びデバイス製造方法
JP2002083763A (ja) 2000-09-08 2002-03-22 Nikon Corp 荷電粒子線露光装置及び半導体デバイスの製造方法
US6372391B1 (en) 2000-09-25 2002-04-16 The University Of Houston Template mask lithography utilizing structured beam
JP3831188B2 (ja) * 2000-09-27 2006-10-11 株式会社東芝 露光処理装置及び露光処理方法
US6557162B1 (en) 2000-09-29 2003-04-29 Numerical Technologies, Inc. Method for high yield reticle formation
JP2002162566A (ja) 2000-11-27 2002-06-07 Nikon Corp 光学系の設計方法,光学系および投影露光装置
KR100594225B1 (ko) 2000-12-27 2006-07-03 삼성전자주식회사 전자빔 노광 방법 및 이를 이용한 패턴 형성 방법
JP2002217088A (ja) 2001-01-17 2002-08-02 Nikon Corp 荷電粒子線露光装置、荷電粒子線露光方法及び半導体デバイスの製造方法
JP2002217092A (ja) 2001-01-22 2002-08-02 Nec Corp レジストパターンの形成方法および半導体装置の製造方法
JP2002329659A (ja) 2001-05-02 2002-11-15 Nikon Corp 荷電粒子線露光方法、荷電粒子線露光装置及びデバイス製造方法
WO2002101463A1 (en) 2001-06-08 2002-12-19 The Penn State Research Foundation Patterning compositions using e-beam lithography and structures and devices made thereby
US20030043358A1 (en) 2001-08-31 2003-03-06 Nikon Corporation Methods for determining focus and astigmatism in charged-particle-beam microlithography
JP2003100591A (ja) 2001-09-21 2003-04-04 Nikon Corp 荷電粒子線露光装置における露光方法、半導体デバイスの製造方法及び荷電粒子線露光装置
US6767674B2 (en) 2001-10-26 2004-07-27 Infineon Technologies Ag Method for obtaining elliptical and rounded shapes using beam shaping
JP4308467B2 (ja) 2001-12-27 2009-08-05 新光電気工業株式会社 露光方法及び露光装置
US6721939B2 (en) 2002-02-19 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd Electron beam shot linearity monitoring
JP4190796B2 (ja) 2002-04-24 2008-12-03 Necエレクトロニクス株式会社 露光原版の作成方法
JP2003347192A (ja) 2002-05-24 2003-12-05 Toshiba Corp エネルギービーム露光方法および露光装置
JP4327497B2 (ja) * 2002-06-26 2009-09-09 株式会社アドバンテスト 電子ビーム露光装置、電子ビーム露光方法、半導体素子製造方法、マスク、及びマスク製造方法
JP4252262B2 (ja) * 2002-07-11 2009-04-08 株式会社オクテック 露光用転写マスクの製造方法
JP2004134447A (ja) 2002-10-08 2004-04-30 Sony Corp 露光方法、マスクおよび半導体装置の製造方法
US7160475B2 (en) 2002-11-21 2007-01-09 Fei Company Fabrication of three dimensional structures
JP2004273526A (ja) 2003-03-05 2004-09-30 Nikon Corp レチクル作製方法、レチクル及び荷電粒子線露光方法
JP2004304031A (ja) 2003-03-31 2004-10-28 Toshiba Corp マスクスキャン描画方法
JP4091470B2 (ja) 2003-05-06 2008-05-28 株式会社東芝 電子ビーム描画装置および電子ビーム描画方法
JP4046012B2 (ja) * 2003-05-29 2008-02-13 ソニー株式会社 マスク歪データの生成方法、露光方法および半導体装置の製造方法
US7186486B2 (en) 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
JP2005079111A (ja) 2003-08-29 2005-03-24 Semiconductor Leading Edge Technologies Inc 電子線描画データ作成方法、作成装置及び作成プログラム並びに電子線描画装置
US7055127B2 (en) 2003-10-27 2006-05-30 Takumi Technology Corp. Mask data preparation
KR100844872B1 (ko) 2004-03-31 2008-07-09 호야 가부시키가이샤 전자빔 묘화방법 및 리소그래피 마스크의 제조방법
JP4570400B2 (ja) 2004-06-03 2010-10-27 富士通セミコンダクター株式会社 露光データ作成方法及び露光データ作成装置
JP4603305B2 (ja) 2004-07-21 2010-12-22 ルネサスエレクトロニクス株式会社 露光方法、パターン寸法調整方法及び焦点ぼかし量取得方法
US7536664B2 (en) 2004-08-12 2009-05-19 International Business Machines Corporation Physical design system and method
JP2006100336A (ja) 2004-09-28 2006-04-13 Advantest Corp 電子ビーム露光用マスク、電子ビーム露光方法及び電子ビーム露光装置
JP4398342B2 (ja) 2004-10-06 2010-01-13 株式会社東芝 荷電ビーム描画装置及び描画方法
US7908572B2 (en) 2004-10-15 2011-03-15 Takumi Technology Corporation Creating and applying variable bias rules in rule-based optical proximity correction for reduced complexity
JP4476773B2 (ja) 2004-10-28 2010-06-09 株式会社東芝 電子ビーム制御方法および電子ビーム描画装置
US7453063B2 (en) 2004-12-08 2008-11-18 Asml Netherlands B.V. Calibration substrate and method for calibrating a lithographic apparatus
JP2006222230A (ja) 2005-02-09 2006-08-24 Semiconductor Leading Edge Technologies Inc 近接効果補正方法
JP2006294794A (ja) 2005-04-08 2006-10-26 Toshiba Corp 電子ビーム露光装置および電子ビーム露光方法
US20060292501A1 (en) 2005-06-24 2006-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process with an enhanced depth-on-focus
JP4171479B2 (ja) 2005-06-28 2008-10-22 株式会社日立ハイテクノロジーズ 荷電粒子線応用装置及び荷電粒子線応用方法
JP2007043078A (ja) 2005-07-04 2007-02-15 Nuflare Technology Inc 描画装置及び描画方法
JP4808447B2 (ja) 2005-08-01 2011-11-02 株式会社リコー 電子ビーム描画方法及び電子ビーム描画装置
JP4989158B2 (ja) 2005-09-07 2012-08-01 株式会社ニューフレアテクノロジー 荷電粒子線描画データの作成方法及び荷電粒子線描画データの変換方法
KR101330344B1 (ko) * 2005-09-13 2013-11-15 루미네슨트 테크놀로지, 인크. 포토리소그래피용 시스템, 마스크 및 방법
JP2007115999A (ja) 2005-10-21 2007-05-10 Toshiba Corp キャラクタプロジェクション(cp)方式の荷電粒子ビーム露光方法、キャラクタプロジェクション方式の荷電粒子ビーム露光装置及びプログラム
US7176470B1 (en) 2005-12-22 2007-02-13 Varian Semiconductor Equipment Associates, Inc. Technique for high-efficiency ion implantation
US7788628B1 (en) 2006-01-11 2010-08-31 Olambda, Inc. Computational efficiency in photolithographic process simulation
JP4984810B2 (ja) 2006-02-16 2012-07-25 株式会社ニコン 露光方法、露光装置及びフォトマスク
JP4814651B2 (ja) 2006-02-22 2011-11-16 富士通セミコンダクター株式会社 荷電粒子ビーム露光方法及びそれに用いられるプログラム
JP4915502B2 (ja) 2006-03-06 2012-04-11 凸版印刷株式会社 レジストパターンシミュレーション方法
WO2007112465A1 (en) 2006-04-03 2007-10-11 Ims Nanofabrication Ag Particle-beam exposure apparatus with overall-modulation of a patterned beam
JP2007305880A (ja) 2006-05-12 2007-11-22 Toshiba Corp キャラクタパターン抽出方法、荷電粒子ビーム描画方法、及びキャラクタパターン抽出プログラム
US20070280526A1 (en) 2006-05-30 2007-12-06 Irfan Malik Determining Information about Defects or Binning Defects Detected on a Wafer after an Immersion Lithography Process is Performed on the Wafer
JP4843425B2 (ja) 2006-09-06 2011-12-21 エルピーダメモリ株式会社 可変成形型電子ビーム描画装置
JP4378648B2 (ja) 2006-10-06 2009-12-09 エルピーダメモリ株式会社 照射パターンデータ作成方法、マスク製造方法、及び描画システム
US8426832B2 (en) 2006-11-21 2013-04-23 D2S, Inc. Cell projection charged particle beam lithography
US7902528B2 (en) 2006-11-21 2011-03-08 Cadence Design Systems, Inc. Method and system for proximity effect and dose correction for a particle beam writing device
US7772575B2 (en) 2006-11-21 2010-08-10 D2S, Inc. Stencil design and method for cell projection particle beam lithography
JP4903675B2 (ja) 2006-12-29 2012-03-28 株式会社リコー 収差評価方法、収差補正方法、電子線描画装置、電子顕微鏡、原盤、スタンパ、記録媒体、及び構造物
US7550749B2 (en) 2007-03-30 2009-06-23 Tel Epion Inc. Methods and processing systems for using a gas cluster ion beam to offset systematic non-uniformities in workpieces processed in a process tool
GB2451480B (en) 2007-07-31 2011-11-02 Vistec Lithography Ltd Pattern writing on a rotaing substrate
JP5090887B2 (ja) 2007-12-18 2012-12-05 日本電子株式会社 電子ビーム描画装置の描画方法及び電子ビーム描画装置
TW201007383A (en) 2008-07-07 2010-02-16 Brion Tech Inc Illumination optimization
US8062813B2 (en) 2008-09-01 2011-11-22 D2S, Inc. Method for design and manufacture of a reticle using a two-dimensional dosage map and charged particle beam lithography
US7759026B2 (en) * 2008-09-01 2010-07-20 D2S, Inc. Method and system for manufacturing a reticle using character projection particle beam lithography
US8473875B2 (en) 2010-10-13 2013-06-25 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
US7901850B2 (en) * 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US7985514B2 (en) * 2009-10-21 2011-07-26 D2S, Inc. Method for fracturing a pattern for writing with a shaped charged particle beam writing system using dragged shots
JP5676449B2 (ja) 2008-09-01 2015-02-25 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 光近接効果補正、設計およびキャラクタプロジェクションリソグラフィを用いたレチクルの製造のための方法
US8039176B2 (en) * 2009-08-26 2011-10-18 D2S, Inc. Method for fracturing and forming a pattern using curvilinear characters with charged particle beam lithography
US20130070222A1 (en) 2011-09-19 2013-03-21 D2S, Inc. Method and System for Optimization of an Image on a Substrate to be Manufactured Using Optical Lithography
US8017288B2 (en) 2008-09-01 2011-09-13 D2S, Inc. Method for fracturing circular patterns and for manufacturing a semiconductor device
US8017286B2 (en) 2008-09-01 2011-09-13 D2S, Inc. Method for design and manufacture of a reticle using a two-dimensional dosage map and charged particle beam lithography
US7759027B2 (en) * 2008-09-01 2010-07-20 D2S, Inc. Method and system for design of a reticle to be manufactured using character projection lithography
DE102008062450B4 (de) 2008-12-13 2012-05-03 Vistec Electron Beam Gmbh Anordnung zur Beleuchtung eines Substrats mit mehreren individuell geformten Partikelstrahlen zur hochauflösenden Lithographie von Strukturmustern
US8312406B2 (en) 2009-06-22 2012-11-13 Cadence Design Systems, Inc. Method and system performing RC extraction
JP5570774B2 (ja) 2009-08-04 2014-08-13 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置および方法
JP2011040716A (ja) 2009-08-06 2011-02-24 Nikon Corp 露光装置、露光方法、およびデバイス製造方法
US8671366B2 (en) 2009-08-21 2014-03-11 Hitachi High-Technologies Corporation Estimating shape based on comparison between actual waveform and library in lithography process
US8137871B2 (en) 2009-12-26 2012-03-20 D2S, Inc. Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes which expose different surface area
JP5289343B2 (ja) 2010-01-15 2013-09-11 株式会社東芝 露光量決定方法、半導体装置の製造方法、露光量決定プログラムおよび露光量決定装置
US8193005B1 (en) 2010-12-13 2012-06-05 International Business Machines Corporation MEMS process method for high aspect ratio structures
US20120217421A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with overlapping shots
US8719739B2 (en) 2011-09-19 2014-05-06 D2S, Inc. Method and system for forming patterns using charged particle beam lithography

Also Published As

Publication number Publication date
US8343695B2 (en) 2013-01-01
US8916315B2 (en) 2014-12-23
KR20110021698A (ko) 2011-03-04
TW201109862A (en) 2011-03-16
US8283094B2 (en) 2012-10-09
US20130122406A1 (en) 2013-05-16
US8501374B2 (en) 2013-08-06
US20120034554A1 (en) 2012-02-09
US20110053056A1 (en) 2011-03-03
EP2302659A2 (en) 2011-03-30
US20150106772A1 (en) 2015-04-16
US8039176B2 (en) 2011-10-18
CN102023489A (zh) 2011-04-20
EP2302659A3 (en) 2011-05-25
US20130316273A1 (en) 2013-11-28
KR101715807B1 (ko) 2017-03-13
JP2011049556A (ja) 2011-03-10
TWI526788B (zh) 2016-03-21
US20120025108A1 (en) 2012-02-02

Similar Documents

Publication Publication Date Title
JP5749905B2 (ja) フラクチャリングまたはマスクデータ作成または近接効果補正のための方法、パターンセット形成方法、半導体素子製造方法、およびフラクチャリングまたはマスクデータ作成または近接効果補正のための装置
US10101648B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
US7799489B2 (en) Method for design and manufacture of a reticle using variable shaped beam lithography
US10431422B2 (en) Method and system for dimensional uniformity using charged particle beam lithography
US20130070222A1 (en) Method and System for Optimization of an Image on a Substrate to be Manufactured Using Optical Lithography
US20120281191A1 (en) Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes
JP2013508972A (ja) 引き込みショットを用いて、成形荷電粒子ビーム書込装置により書き込まれるパターンをフラクチャリングするための方法
JP2013508973A (ja) 荷電粒子ビームリソグラフィを用いて表面上にパターンを形成するための方法およびシステム
US8959463B2 (en) Method and system for dimensional uniformity using charged particle beam lithography
US20130205264A1 (en) Method and system for forming high precision patterns using charged particle beam lithography
US20120221985A1 (en) Method and system for design of a surface to be manufactured using charged particle beam lithography
US9323140B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
JP5792189B2 (ja) 複数の露光経路を利用して荷電粒子ビームリソグラフィを用いてパターンをフラクチャリングするための方法およびシステム
US8669023B2 (en) Method for optical proximity correction of a reticle to be manufactured using shaped beam lithography
US9448473B2 (en) Method for fracturing and forming a pattern using shaped beam charged particle beam lithography

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130731

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140410

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140513

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140718

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150106

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150401

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150421

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150515

R150 Certificate of patent or registration of utility model

Ref document number: 5749905

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees