KR101449734B1 - 펄스 트레인 어닐링 방법 - Google Patents

펄스 트레인 어닐링 방법 Download PDF

Info

Publication number
KR101449734B1
KR101449734B1 KR1020110065027A KR20110065027A KR101449734B1 KR 101449734 B1 KR101449734 B1 KR 101449734B1 KR 1020110065027 A KR1020110065027 A KR 1020110065027A KR 20110065027 A KR20110065027 A KR 20110065027A KR 101449734 B1 KR101449734 B1 KR 101449734B1
Authority
KR
South Korea
Prior art keywords
substrate
energy
pulses
pulse
annealing
Prior art date
Application number
KR1020110065027A
Other languages
English (en)
Other versions
KR20110084141A (ko
Inventor
스티븐 모파트
조셉 마이클 래니쉬
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20110084141A publication Critical patent/KR20110084141A/ko
Application granted granted Critical
Publication of KR101449734B1 publication Critical patent/KR101449734B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/062Shaping the laser beam, e.g. by masks or multi-focusing by direct control of the laser beam
    • B23K26/0626Energy control of the laser beam
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/352Working by laser beam, e.g. welding, cutting or boring for surface treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • H01L21/2686Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation using incoherent radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2101/00Articles made by soldering, welding or cutting
    • B23K2101/36Electric or electronic devices
    • B23K2101/40Semiconductor devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2658Bombardment with radiation with high-energy radiation producing ion implantation of a molecular ion, e.g. decaborane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Recrystallisation Techniques (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Photovoltaic Devices (AREA)

Abstract

본 발명은 일반적으로 기판의 원하는 영역들상에 어닐링 프로세스를 수행하는데 사용되는 장치 및 방법을 개시한다. 일 실시예에서, 전자기 에너지의 펄스들은 플래시 램프 또는 레이저 장치를 사용하여 기판에 전달된다. 펄스들은 약 1 nsec 내지 약 10 msec 길이일 수 있으며, 각각의 펄스는 기판 물질을 용융시키는데 요구되는 것 미만의 에너지를 갖는다. 펄스들 사이의 간격은 일반적으로 각각의 펄스에 의해 나눠지는 에너지가 완벽하게 방사하기에 충분히 길다. 따라서, 각각의 펄스는 마이크로-어닐링 사이클을 완료한다. 펄스들은 한번에 전체 기판으로, 또는 한번에 기판의 부분들에 전달될 수 있다. 추가의 실시예들은 방사선 어셈블리에 전력을 공급하기 위한 장치, 기판상에 펄스들의 효과를 검출하기 위한 장치들을 제공한다.

Description

펄스 트레인 어닐링 방법{PULSE TRAIN ANNEALING METHOD}
본 발명의 실시예들은 일반적으로 반도체 디바이스를 제조하는 방법에 관한 것이다. 보다 구체적으로는, 본 발명은 기판을 열적으로 처리하는 방법에 관한 것이다.
반도체 디바이스들에 대한 시장은 무어의 법칙의 경로를 지속적으로 따른다. 현재 45 나노미터(nm)의 디바이스 기하학구조는 미래의 성능 조건을 충족시키기 위해 20 nm 그리고 이를 넘어(beyond) 축소되도록 계획된다. 이러한 스케일링을 실현시키기 위해, 도핑된 소스 및 드레인 접합부들의 엔지니어링은 매우 작은 결정 격자 내에서 단일 원자들의 배치(placement) 및 운동(movement)에 촛점을 두어야 한다. 예를 들어, 장래의 몇몇 디바이스 설계들은 100개 미만의 원자들을 포함하는 채널 영역들을 고려한다. 이러한 엄격한 요구조건들로 인해, 수(a few) 원자 반경 이내로 도펀트 원자들의 배치를 제어하는 것이 요구된다.
도펀트 원자들의 배치는 현재 실리콘 기판들의 소스 및 드레인 영역들로 도펀트들을 주입하고, 그 후에 기판을 어닐링하는 프로세스들에 의해 제어된다. 도펀트들은 실리콘 매트릭스에서 전기적 전도도를 강화시키기 위해, 결정 구조들에 대해 손상(damage)을 유도하기 위해 또는 층들 간의 확산을 제어하기 위해 사용될 수 있다. 붕소(B), 인(P), 비소(As), 코발트(Co), 인듐(In), 및 안티몬(Sb)과 같은 원자들이 전도도 강화를 위해 사용될 수 있다. 실리콘(Si), 게르마늄(Ge), 및 아르곤(Ar)은 결정 손상을 유도하기 위해 사용될 수 있다. 확산 제어를 위해서는 보편적으로 탄소(C), 불소(F), 및 질소(N)가 사용된다. 어닐링 동안, 기판에 형성되는 다수의 IC 디바이스들에서 다양한 화학적 및 물리적 반응들이 이루어질 수 있도록 기판은 전형적으로 높은 온도로 가열된다. 어닐링은 미리 비정질로 구성된 기판의 영역들로부터 보다 결정성인 구조를 재생성하고 기판의 결정성 격자 속으로 도펀트들의 원자들을 통합시킴으로써 도펀트를 "활성화시킨다(activates)". 결정 격자 정렬(ordering) 및 도펀트들의 활성화는 도핑된 영역의 저항률(resistivity)을 감소시킨다. 어닐링과 같은 열적 프로세스들은 짧은 시간 동안 기판상으로 비교적 많은 양의 열적 에너지를 지향시키고, 그 후에 열적 프로세스가 종결되도록 기판을 신속하게 냉각시키는 것을 수반한다. 일부 시간 동안 광범위하게 사용되어 온 열적 프로세스들의 예들은 급속 열처리(RTP) 및 임펄스(스파이크) 어닐링을 포함한다. 광범위하게 사용됨에도 불구하고, 이러한 프로세스들은 이상적이지 않은데, 이는 이러한 프로세스들은 웨이퍼 온도를 너무 느리게 램핑시키고 상승된 온도에 웨이퍼를 너무 오랫동안 노출시키기 때문이다. 이러한 문제점들은 웨이퍼 크기 증가, 스위칭 속도 증가 및/또는 피쳐 크기들의 감소에 있어 보다 심각해진다.
일반적으로, 통상의 열적 프로세스들은 미리 결정된(predetermined) 열적 레시피에 따라 제어된 상태하에서 기판들을 가열한다. 이들 열적 레시피들은 기본적으로 반도체 기판에 대한 목표 온도, 온도 변화 속도, 즉 온도 램핑 업 및 램핑 다운 속도, 및 특정 온도에서 열적 프로세싱 시스템이 유지되는 시간으로 구성된다. 예를 들어, 열적 레시피들은 기판이 실온으로부터 1200℃ 또는 그 초과의 피크 온도로 가열될 것을 요구할 수 있고, 각각의 피크 온도 부근에서 60초까지의 또는 이를 초과하는 범위의 프로세싱 시간을 요구할 수 있다.
도핑된 기판들을 어닐링하기 위한 모든 프로세스들의 목적은 도펀트 원자들이 기판에 대해 광범위하게 확산되지 않고도 도펀트 원자들로 하여금 결정 격자 위치들을 점유하게 하고, 실리콘 원자들로 하여금 그들 자신을 결정성 패턴으로 재정렬하게 하도록 기판 내에서 원자들의 충분한 운동을 생성하는 것이다. 이러한 광범위한 확산은 도펀트들의 농도를 감소시키고 도펀트들을 기판의 더 큰 영역을 통해 분산시킴으로써 도핑된 영역들의 전기적 성능을 감소시킨다. 이러한 목적을 달성하기 위해, 램핑 업 및 램핑 다운 모두에 대한 온도 램핑 속도는 가급적 높다. 다시 말해, 가능한 짧은 시간에 낮은 온도에서 높은 온도로, 또는 높은 온도에서 낮은 온도로 기판의 온도를 조절가능하도록 하는 것이 바람직하다. 현재의 어닐링 프로세스는 일반적으로 농도의 약 3-4 nm/decade (10% 변화)의 농도 어브럽트니스(abruptness)를 유지할 수 있다. 그러나, 접합 깊이가 100 옹스트롬 미만으로 축소됨에 따라, 앞으로 2 nm/decade 미만의 어브럽트니스에 관심이 있다.
높은 온도 램핑 속도들에 대한 필요는 급속 열처리(RTP)의 개발로 이어졌으며, 통상의 퍼니스들(furnaces)에 대한 5-15 ℃/분과 비교할 때, RTP에서 전형적인 온도 램핑 업 속도 범위는 200-400 ℃/s이다. 전형적인 램핑 다운 속도는 80-150 ℃/s 범위 내이다. IC 디바이스들은 기판의 상부 몇 마이크론 이내에만 존재하지만, RTP는 전체 기판을 가열한다. 이는 기판을 빠르게 가열하고 냉각할 수 있는 방법을 제한한다. 또한, 일단 전체 기판이 상승된 온도에 있게 되면, 열은 오직 주변 스페이스 또는 구조물들로만 방산(dissipate)될 수 있다. 결과적으로, 오늘날의 최신 RTP 시스템은 400 ℃/s 램핑 업 속도 및 150 ℃/s의 램핑 다운 속도를 달성하기 위해 분투하고 있는 중이다.
임펄스 및 스파이크 어닐링은 온도 램핑을 보다 가속시키는데 이용되어왔다. 에너지는 단일 임펄스에서 매우 짧은 시간에 걸쳐 기판의 일부로 전달된다. 그러나 상당한(substantial) 어닐링을 야기하기 위한 충분한 에너지를 전달하기 위해서는 큰 에너지 밀도가 요구된다. 예를 들어, 임펄스 어닐링은 약 2 J/㎠ 초과의, 기판에 전달되는 에너지 밀도를 요구할 수 있다. 하나의 짧은-지속기간(duration) 펄스 내에서 기판을 실질적으로 어닐링하기 위한 충분한 에너지를 전달하는 것은 보통 기판의 표면에 상당한 손상을 야기시킨다. 게다가, 기판으로 에너지의 매우 짧은 임펄스들를 전달하는 것은 균일성 문제들에 이를 수 있다. 또한, 도펀트들을 활성화시키기 위해 요구되는 에너지는 결정 격자를 정렬하기(order) 위해 요구되는 에너지와 매우 상이할 수 있다. 최종적으로, 디바이스 규모(dimension)들의 축소는 균일한 임펄스 및 스파이크 어닐링을 이용한, 접합 영역 너머로의 도펀트들의 오버(over)-확산으로 이어진다.
2개 또는 그 초과의 에너지 펄스들을 사용하는 기판 어닐링이 일부 시도되었으며, 여기서 제 1 에너지 펄스는 도펀트들을 활성화시키기 위해 요구되는 에너지에 근사하도록 설계될 수 있으며, 후속 펄스들은 결정 격자를 정렬하는 목적으로 기판의 목표 열적 히스토리를 달성하기 위해 세기 또는 지속기간 중 하나에서 개별적으로 조절된다. 이러한 노력들은 단지 제한된 성공만을 보고해왔다. 결정 격자의 구성(organization)을 촉진하면서 상이한 양의 에너지를 전달하는 펄스들은 제 1 임펄스에서 달성되는 도펀트 활성화를 취소하도록 동작(work)할 수 있다고 여겨졌다. 임펄스들에 의해 전달되는 에너지의 상이한 모드들은 결정 격자들의 활성화된 위치로부터 일부 도펀트 원자들을 몰아내면서(dislodge), 일반적으로 결정 결함(defect)들을 제거할 수 있는 결정 격자 내에서의 상이한 모드들의 운동을 여기(excite)시킬 수 있다. 처리 균일성도 또한 달성하기 어렵다.
통상의 RTP 타입 프로세스들에서 일어나는 일부 문제점들을 해결하기 위해, 다양한 스캐닝 레이저 어닐링 기술들이 기판의 표면(들)을 어닐링하기 위해 사용되어 왔다. 일반적으로, 이러한 기술들은 기판 표면상의 작은 영역으로 전달되는 에너지에 대하여 기판이 이송되는 동안 또는 스캐닝되는 동안, 상기 작은 영역으로 일정한 에너지 플럭스를 전달한다. 각각의 영역으로 일정한 에너지 플럭스가 전달되더라도 어닐링 영역들은 상이한 열적 히스토리들을 갖기 때문에 균일한 프로세싱은 달성되기 어렵다. 처음에 처리된 영역들은 긴 열-담금(long heat-soak)으로 이어지는 날카로운 스파이크를 포함하는 열적 히스토리를 가지며, 마지막으로 처리되는 영역들은 날카로운 스파이크(sharp spike)로 이어지는 긴 열-담금을 가지며, 이들 중간의 영역들은 열-담금/스파이크/열-담금 히스토리들을 갖는다. 기판 표면에 걸쳐 스캔된 영역들의 오버랩을 최소화시키는 복잡성 및 엄격한 균일성 요구 조건들로 인해, 기판의 표면상에 형성되는 차세대 콘택 레벨 디바이스들의 열적 프로세싱에 대하여 이러한 형태들의 프로세스들은 효율적이지 않다.
게다가, 반도체 디바이스들에서의 다양한 엘리먼트(element)들의 크기가 디바이스 속도를 증가시키기 위한 필요성과 함께 감소될 때, 신속한 가열 및 냉각을 허용하는 보통의 통상적 어닐링 기술들은 효율적이지 못하다. 60개의 원자들을 포함하는 채널 영역을 가지는 미래 세대 디바이스에서, 물질 몸체(material body)에서 분자 병진운동 에너지(translational energy)의 통계적 처리들에 일반적으로 기초한 온도 및 열적 그래디언트(gradient)들에 대한 전통적인 개념들은 에너지가 전송되는 영역의 그라데이션(gradation)으로 인해 적용될 수 없다. 통상의 RTP 및 레이저 어닐링 프로세스들은 원하는 도펀트 분배를 달성하고 기판에서의 손상을 제거하기 위해 단지 약 1초 동안 약 1150-1350℃ 사이로 기판 온도를 상승시킨다. 하나의 프로세스 단계에서 이러한 전통적인 방법들은 비교적 높은 온도로 기판을 가열한 다음 비교적 짧은 시간 기간(period)에서 기판을 신속하게 냉각시키고자 시도했다. 이들 작은 디바이스 영역들에서 원하는 도펀트 분배를 유지하는 것을 보장하기 위해서, RTP 프로세스에 대해 전형적으로 약 1150-1200℃ 사이인 피크 어닐링 온도와 도펀트 원자들의 확산 지속을 방지하는 온도(예를 들어, <750℃) 사이에서 약 0.02초 내지 약 1초 미만에서 기판을 신속하게 가열 및 냉각시키는 방법을 고안할 필요가 있을 것이다. 이러한 높은 속도에서의 기판의 가열 및 냉각은 일반적으로 표준 열적 처리 프로세스에서는 불가능하며 이는 기판은 일반적으로 그 자체를 냉각시키는데 약 0.5초가 소요되기 때문이다. 보다 빠른 냉각을 유도하기 위해서는, 냉각 매체를 적용하는 것이 요구되며, 이는 결국 기판을 목표 온도로 가열하기 위해 엄청난 양의 에너지를 요구한다. 냉각 매체를 이용하지 않더라도, 통상적 기술을 사용하여 높은 레벨에서 기판의 온도를 유지하기 위해 요구되는 에너지도 어마어마하다. 한번에 단지 기판의 일부들만을 처리하는 것은 에너지 예산은 감소시키지만, 기판을 손상시키는 응력(stress)을 기판에 발생시킨다.
상술한 것에 비추어, 작은 디바이스들의 어닐링을 허용하기에 충분한 에너지 전달 제어를 갖는 반도체 기판을 어닐링하는 방법 및 상기 방법을 수행할 수 있는 장치가 요구된다. 이는 강화된 성능을 유도하는 보다 작은 디바이스들의 제조에 대한 필수적인 제어를 달성할 것이다.
본 발명은 일반적으로 기판의 펄스형 어닐링을 위한 장치 및 방법을 제공한다. 보다 구체적으로, 본 발명의 실시예들은 기판을 처리하기 위한 장치를 제공하며, 상기 장치는 몸체부, 몸체부와 결합되는 기판 지지부, 몸체부와 결합되는 방사선(radiation) 어셈블리에 배치되는 다수의 전자기 방사선 소스들, 방사선 어셈블리에 결합되는 하나 또는 그 초과의 전력 공급부들, 전력 공급부와 결합되는 제어기, 및 기판으로부터의 음향 방출을 검출하도록 구성된 검출기를 포함한다.
본 발명의 다른 실시예들은 기판을 어닐링하는 방법을 제공하며, 상기 방법은 기판 지지부상에 기판을 배치하는 단계, 기판을 향해 적어도 100 펄스의 전자기 에너지를 지향시키는 단계, 및 각각의 전자기 에너지 펄스가 기판에 부딪힐(strike) 때, 기판에 의해 발생되는 음향파들을 검출하는 단계를 포함한다.
본 발명의 다른 실시예들은 기판을 어닐링하는 프로세스를 제공하며, 상기 프로세스는 처리 챔버의 기판 지지부상에 기판을 위치시키는 단계, 및 기판의 표면에 다수의 전자기 에너지 펄스들을 전달하는 단계를 포함하며, 다수의 전자기 펄스들 각각은 전체 에너지 및 펄스 지속기간을 가지며, 펄스 지속기간에 걸쳐 전달되는 다수의 전자기 펄스들 각각의 전체 에너지는 용융점(melting point) 초과의 온도로 기판 표면상에 또는 기판 표면내에 배치되는 물질을 가열하기에 충분하지 않다.
본 발명의 실시예들은 프론트 사이드(front side) 및 백 사이드(back side)를 갖는 기판을 프로세싱하는 방법을 추가로 제공하며, 상기 방법은 처리 챔버의 기판 지지부상에 기판을 위치시키는 단계, 기판의 용융 온도 미만의 온도에서 기판 지지부의 온도를 제어하는 단계, 기판의 제 1 표면으로 전자기 에너지의 제 1 펄스를 전달하는 단계 - 상기 전자기 에너지의 제 1 펄스는 제 1 전체 에너지 및 제 1 지속기간을 가짐 -, 기판의 제 1 표면에 부딪히는 전자기 에너지의 제 1 펄스에 응답하여 기판의 제 2 표면에 도달하는 에너지량을 검출하는 단계, 제 2 표면에 도달하는 에너지량의 검출에 기초하여 제 2 전자기 에너지 펄스에 대해 원하는 제 2 전체 에너지 및 제 2 지속기간을 선택하는 단계, 및 기판의 제 1 표면에 전자기 에너지의 제 2 펄스를 전달하는 단계를 포함한다.
본 발명의 실시예들은 처리 챔버에서 기판을 어닐링하는 방법을 추가로 제공하며, 상기 방법은 기판 지지부상에 기판을 위치시키는 단계, 기판의 용융 온도 미만의 온도에서 기판 지지부의 온도를 제어하는 단계, 각각이 기판의 제 1 표면에서 기판 물질을 용융시키기 위해 요구되는 것보다 낮은 에너지 밀도 및 약 1 나노초(nsec) 내지 약 10 밀리초(msec) 사이의 지속기간을 가지는 제 1 다수의 전자기 에너지 펄스들을 지향시키는 단계, 기판의 제 1 표면에 부딪히는 제 1 다수의 전자기 에너지 펄스들 각각에 응답하여 기판의 제 2 표면에 도달하는 에너지량을 검출하는 단계, 기판의 제 2 표면에 도달하는 에너지량에 기초하여 후속 전자기 에너지 펄스들에 대한 전력 레벨을 선택하는 단계, 선택된 전력 레벨에서 각각 약 20 nsec 내지 약 10 msec의 지속기간을 갖는 제 2 다수의 전자기 에너지 펄스들을 기판의 제 1 부분으로 지향시키는 단계, 선택된 전력 레벨에서 각각 약 20 nsec 내지 약 10 msec의 지속기간을 갖는 제 3 다수의 전자기 에너지 펄스들을 기판의 제 2 부분으로 지향시키는 단계, 및 기판으로부터의 제 2 음향 응답을 모니터링함으로써 종료점(end point)을 검출하는 단계를 포함한다.
본 발명의 실시예들은 기판을 프로세싱하는 장치를 추가로 제공하며, 상기 장치는 몸체부의 제 1 단부에 결합되는 기판 홀더 및 몸체부의 제 2 단부에 결합되는 방사선 어셈블리를 포함한다. 기판 홀더는 기판의 벌크 온도를 제어하고 실질적으로 몸체부과의 방사상 정렬로 기판을 홀딩(hold)하도록 구성된다. 몸체부는 각지거나(faceted) 둥글 수 있으며, 반사 라이너로 내부 코팅된다. 몸체부는 전자기 에너지를 제어하고 지향시키기 위해, 반사기들 및 굴절기들과 같은 내부 구조물들을 포함할 수 있다. 방사선 어셈블리는 방사선 어셈블리로부터 몸체부로 전자기 에너지를 지향시키기 위해 렌즈를 사용하여 몸체부의 제 2 단부와 결합된다. 방사선 어셈블리는 렌즈 맞은편에, 각각이 홈통(trough) 반사기 내에 배치되는 다수의 플래시 램프들을 하우징(house)하도록 구성된 곡선 부분들을 갖는다. 방사선 어셈블리는 반사 라이너로 내부적으로 라이닝될 수 있다.
본 발명의 실시예들은 기판을 프로세싱하기 위한 또 다른 장치를 추가로 제공하며, 상기 장치는 몸체부의 제 1 단부와 결합되는 기판 홀더를 포함하며 몸체부는 각지거나 둥글 수 있으며, 반사 라이너로 내부 코팅된다. 몸체부는 전자기 에너지를 제어하고 지향시키기 위해 반사기들 및 굴절기들과 같은 내부 구조물들을 포함할 수 있다. 플래시 램프들은 방사 영역을 크로스(cross)하여 방사 영역(radiance region)의 하나 또는 그 초과의 측면들을 관통하기 위해 배치될 수 있다. 반사 백킹(backing) 플레이트는 몸체부의 방사 영역에 대해 밀봉되게 배치된다.
본 발명의 실시예들은 플래시 램프 장치를 제어하는 장치 및 방법을 추가로 제공하며, 상기 플래시 장치는 전력 공급부(power supply), 충전 회로, 점화(firing) 회로, 회로들을 독립적으로 개방 및 폐쇄시키기 위해 충전 회로 및 점화 회로 각각에 있는 스위치, 충전 회로를 통한 충전 및 점화 회로를 통한 방전을 위하여 구성된 하나 또는 그 초과의 캐패시터들, 스위치들의 동작을 제어하는 제어기, 플래시 램프들에 전달되는 전력을 균일화시키는 전력 분배 디바이스, 및 전력 분배 디바이스 및 각각의 플래시 램프에 결합되는 개별 점화 리드(lead)들을 포함한다. 또한, 제어기는 전력 공급부의 출력을 변화시킴으로써 충전을 제어할 수 있다. 부가적으로, 플래시 램프들에 전송되는 전력의 프로파일을 조절하기 위해 레지스터들 및 인덕터들과 같은 엘리먼트들이 점화 회로에 포함될 수 있다.
본 발명의 앞서 언급된 특징들이 자세히 이해될 수 있는 방식이 되도록 위에서 간략히 요약된 본 발명의 보다 상세한 설명이, 첨부되는 도면에 도시된 몇 가지 실시예들에 대한 참조에 의해 이루어질 수 있다. 그러나 첨부되는 도면들은 단지 본 발명의 전형적인 실시예만을 나타내는 것으로, 그러므로 첨부되는 도면들이 본 발명의 범위(scope)를 제한하는 것으로 고려되어서는 안 된다는 것을 주지해야 하며, 이는 본 발명이 다른 동일하게 효과있는 실시예들을 허용(admit)할 수 있기 때문이다.
도 1a는 본 발명의 일 실시예를 나타내는 등축도(isometric view)이다.
도 1b는 도 1a 장치의 개략적 측면도이다.
도 2a-2e는 본 발명의 일 실시예에 따른 디바이스의 단면도들이다.
도 3a-3c는 본 발명의 실시예에 따른 도펀트 및 결정 결함 농도 대 깊이의 그래프들이다.
도 4a-4g 본 발명의 일부 실시예들을 나타내는 에너지 펄스들의 그래프들이다.
도 5는 본 발명의 실시예에 따른 시스템의 개략적인 다이어그램(diagram)이다.
도 6a는 본 발명의 실시예에 따른 흐름도이다.
도 6b-6d는 본 발명의 실시예에 따라, 도 6a에 도시된 프로세스의 스테이지들에서 기판의 상태를 개략적으로 나타내는 기판의 단면 다이어그램들이다.
도 6e-6f는 본 발명의 실시예들에 따라 구성된 장치를 도시한다.
도 7a는 본 발명의 실시예에 따른 흐름도이다.
도 7b-7e는 본 발명의 실시예에 따라 도 7a에 도시된 프로세스의 단계들에서 기판의 상태를 개략적으로 도시하는 기판의 단면 다이어그램들이다.
도 8a-8f는 본 발명의 실시예에 따른 장치들의 다이어그램들이다.
도 9a-9b는 본 발명의 실시예에 따른 또 다른 장치의 다이어그램들이다.
도 10은 본 발명의 실시예에 따른 에너지 펄스들을 도시하는 그래프이다.
본 발명은 일반적으로 기판상에 하나 또는 그 초과의 반도체 디바이스들을 형성하는 동안 수행되는 어닐링 프로세스 동안 전달되는 에너지를 제어하는 장치 및 방법들을 제공한다. 일반적으로, 본 발명의 방법들은 기판의 표면 내에 원하는 도펀트 분배를 제공하고 주입 프로세스 동안 유발되는 손상이 제거되도록 야기하기 위해 기판 표면에 충분한 에너지를 전달함으로써, 기판 전체 또는 기판의 선택된 영역들을 어닐링하는데 사용될 수 있다. 디바이스 크기들이 축소됨에 따라 반도체 디바이스의 원하는 영역들로부터의 손상 제거 및 도펀트들의 확산을 제어하기 위한 필요성이 점차적으로 중요시되고 있다. 이는 특히 채널 영역들이 500 옴스트롱(Å) 또는 그 미만 정도의 치수를 갖는 45 nm의 노드들 및 보다 작은 노드들에서 명백하다. 일반적으로 어닐링 프로세스는 반도체 디바이스의 원하는 영역들 내에서 짧은 거리에 걸친 기판에서의 손상의 제거 및 도펀트들의 제어된 확산이 허용되도록 일련의 순차적인 에너지 펄스들에서 충분한 에너지를 전달하는 단계를 포함한다. 일례로, 짧은 거리는 약 1 격자 평면 내지 수십 격자 평면 사이이다. 일 실시예에서, 단일 펄스 동안 전달되는 에너지량은 단지 단일 격자 평면의 일부인 평균 확산 깊이를 제공하기에만 충분하며, 그러므로 어닐링 프로세스는 원하는 양의 도펀트 확산 또는 격자 손상 교정을 달성하기 위해 다수의 펄스들을 요구한다. 각각의 펄스는 그러므로 기판의 일부 내에서 완벽한 마이크로-어닐링 프로세스를 달성한다고 말할 수 있다. 일 실시예에서, 순차적인 펄스들의 수는 약 30 내지 약 100,000 펄스들 사이에서 변할 수 있으며, 이들 각각은 약 1 나노초(nsec) 내지 약 10 밀리초(msec)의 지속기간을 갖는다. 다른 실시예에서, 각각의 펄스의 지속기간은 10 msec 미만, 이를 테면 약 1 msec 내지 약 10 msec 사이, 또는 바람직하게 약 1 nsec 내지 약 10 마이크로초(μsec) 사이, 보다 바람직하게는 약 100 nsec 미만일 수 있다. 일부 실시예들에서, 각각의 펄스의 지속기간은 약 1 nsec 내지 약 10 nsec 사이, 이를테면 약 1 nsec일 수 있다.
각각의 마이크로-어닐링 프로세스는 지속기간 동안 어닐링 온도로 기판의 일부를 가열하고, 그 다음에 기판 내에서 어닐링 에너지가 완전히 방산되게 하는 것을 특징으로 한다. 전해진(imparted) 에너지는 에너지가 방산된 이후 그 뒤에 냉각되는(frozen) 어닐링 영역 내에서 원자들의 운동을 여기시킨다. 어닐링 영역 바로 아래의 영역은 실질적으로 순수하게 정렬된 결정이다. 펄스로부터의 에너지가 기판을 통해 전파됨에 따라, 정렬된 영역에 가장 근접한 격자간(interstitial) 원자들(도펀트 또는 실리콘)은 격자 위치들에 이르게된다(nudged into). 바로 인접한 격자 위치들 내부로 정렬되지 않은 다른 원자들은 점유할 가장 근접한 이용가능한 격자 위치들을 찾기 위해 정렬되지 않은 영역을 향해 위로 확산하고 그리고 정렬된 영역으로부터 멀리 확산한다. 부가적으로, 도펀트 원자들은 기판의 표면 부근의 높은 농도 구역(area)들로부터 내부의 더 낮은(lower) 농도 구역들로, 기판 내부로 더 깊이 확산한다. 각각의 연속적인 펄스는 기판의 표면을 향해 어닐링 영역 아래의 정렬된 영역으로부터 위로 정렬된 영역을 성장시키며, 도펀트 농도 프로파일을 평활화(smooth)시킨다. 이러한 프로세스는 에피택셜 결정 성장으로 간주될 수 있으며, 이는 프로세스가 층별로(layer by layer) 진행되기 때문이며, 각각의 에너지 펄스는 몇 개에서 수 십개의 어닐링 격자 평면들을 달성한다.
보통, 본원에서 사용될 때 "기판들"이란 용어는 전기를 전도시키는 능력을 제공하도록 변형될 수 있는 물질 또는 소정의 자연적인 전기 전도 능력을 가지는 임의의 물질로부터 형성될 수 있는 물체들을 지칭한다. 전형적인 기판 물질들로는 반도체들, 이를테면 실리콘(Si) 및 게르마늄(Ge)뿐만 아니라 반도체 특성들을 나타내는 다른 화합물이 포함되지만, 이들로 제한되는 것은 아니다. 이러한 반도체 화합물은 일반적으로 III-V족 및 II-VI족 화합물들을 포함한다. 대표적인 III-V족 반도체 화합물들로는 갈륨 비화물(GaAs), 갈륨 인화물(GaP), 및 갈륨 질화물(GaN)이 포함되지만, 이들로 제한되는 것은 아니다. 일반적으로 "반도체 기판들"이란 용어는 벌크 반도체 기판들뿐만 아니라 상부에 배치되는 증착된 층들을 갖는 기판들을 포함한다. 이를 위해, 본 발명의 방법들에 의해 처리되는 몇몇 반도체 기판들에서 증착된 층들은 호모에피택셜(예를 들어, 실리콘 상부에 실리콘) 또는 헤테로에피택셜(예를 들어, 실리콘 상부에 GaAS) 성장 중 하나에 의해 형성된다. 예를 들어, 본 발명의 방법들은 헤테로에피택셜 방법들에 의해 형성된 갈륨 비화물 및 갈륨 질화물 기판들과 함께 사용될 수 있다. 유사하게, 발명된 방법들은 절연 기판들(예를 들어, SOI(silicon-on-insulator) 기판들) 상에 형성된 비교적 얇은 결정성 실리콘층들 상에 집적 디바이스들, 이를 테면 TFT(thin-film transistor)들을 형성하는데 또한 적용될 수 있다. 부가적으로, 상기 방법들은 광전지(photovoltaic) 디바이스들, 이를 테면 태양 전지들을 제조하는데 사용될 수 있다. 이러한 디바이스들은 전도성, 반도체성, 또는 절연성 물질들의 층들을 포함할 수 있으며, 다양한 물질 제거 프로세스들을 사용하여 패터닝될 수 있다. 일반적으로 전도성 물질들은 금속들을 포함한다. 일반적으로 절연성 물질들은 금속들 또는 반도체들의 산화물들, 또는 도핑된 반도체 물질들을 포함할 수 있다.
본 발명의 일 실시예에서, 순차적으로 전달되는 에너지량은 이전 프로세싱 단계들로부터 생성된 원치않는 손상(예를 들어, 이온주입 프로세스들로부터의 결정 손상)을 제거하고, 보다 균일하게 기판의 다양한 영역들로 도펀트들을 분포시키고, 선택된 프로파일에 따라 도펀트를 제어가능하게 분포시키고, 그리고/또는 기판의 다양한 영역들을 활성화시키도록 기판의 어떤 원하는 영역들을 어닐링하기 위해 기판의 표면으로 지향된다. 순차적인 에너지량 전달 프로세스는 노출된 영역들에서 도펀트들의 보다 균일한 분배를 허용하며, 이는 기판의 노출된 영역들에서 도펀트 원자들의 확산 및 온도 제어가 개선되기 때문이다. 따라서, 소량의 에너지 전달은: 1) 기판의 일부 내에서 도펀트 원자들의 분배에 대해 개선된 균일성 및 강화된 제어, 2) 이전 프로세싱 단계들에서 생성된 결함들 제거, 및 3) 디바이스의 이전에 활성화된 영역들에 대한 강화된 제어를 허용한다.
도 1a는 본 발명의 일 실시예의 등축도를 나타내며, 에너지 소스(20)는 어닐링 영역(12) 내에서 원하는 일정 영역들을 우선적으로 어닐링하기 위해, 기판(10)의 한정된 영역, 즉 어닐링 영역(12)상으로 상당한 양의(an amount of) 에너지를 투사하도록 적응된다. 도 1a에 도시된 것처럼, 일 실시예에서 오직 기판의 하나 또는 그 초과의 한정된(defined) 영역들, 이를 테면 어닐링 영역(12)만이 임의의 주어진 시간에서 에너지 소스(20)로부터의 방사선에 노출된다. 본 발명의 일 양상에서, 기판(10)의 단일 구역은 기판의 원하는 영역들의 우선적 어닐링이 이루어지도록 에너지 소스(20)로부터 전달되는 원하는 에너지량에 순차적으로 노출된다. 일 예로서, 전자기 방사선 소스(예, 통상적 X/Y 스테이지, 정밀 스테이지들)의 출력에 대해 기판을 이송 및/또는 기판에 대해 방사선 소스의 출력을 이송시킴으로써 기판의 표면상의 하나의 구역 이후에 또 다른 구역이 노출된다. 전형적으로, 별도의 정밀 스테이지(미도시)의 부분일 수 있는 하나 또는 그 초과의 통상적 전기 액추에이터들(17)(예, 선형 모터, 리드 스크류 및 서보 모터)은 기판(10)의 운동 및 위치를 제어하는데 사용된다. 기판(10)을 지지 및 위치시키는데 사용될 수 있는 통상적 정밀 스테이지들, 및 열 교환 디바이스(15)는 캘리포니아, Rohnert Park의 Parker Hannifin Corporation으로부터 구매될 수 있다. 또 다른 실시예에서, 기판(10)의 완전한(complete) 표면은 한번에 모두 순차적으로 노출된다(예, 모든 어닐링 영역들(12)이 순차적으로 노출됨).
일 양상에서, 어닐링 영역(12), 및 이에 전달되는 방사선은 기판 표면 상에 형성되는 다이(13)(예, 40 개의 "다이"가 도 1에 도시됨) 또는 반도체 디바이스들(예, 메모리 칩)의 크기와 일치하는 크기를 갖는다. 일 양상에서, 어닐링 영역(12)의 경계는 정렬 및 크기 조절되어 각각의 다이(13)의 경계를 규정하는 "커프(kerf)" 또는 "스크라이브(scribe)" 라인(10A) 내에 맞는다(fit within). 일 실시예에서, 어닐링 프로세스를 수행하기 이전에, 기판은 기판의 표면상에서 전형적으로 발견되는 정렬 마크들 및 다른 통상적 기술들을 사용하여 에너지 소스(20)의 출력에 정렬되어, 어닐링 영역(12)이 다이(13)에 적절하게 정렬될 수 있다. 어닐링 영역들(12)이 오직 스크라이브 또는 커프 라인들과 같은 다이(13) 사이의 자연적으로 발생하는 비사용 공간/경계들에서만 중첩되도록 어닐링 영역들(12)을 순차적으로 배치하는 것은, 디바이스들이 기판상에 형성되는 구역들에서 에너지를 중첩할 필요성을 감소시키며, 이에 따라 중첩 어닐링 영역들 사이의 프로세스 결과들에서의 변화를 감소시킨다. 따라서, 순차적으로 배치된 어닐링 영역들(12) 간에 전달되는 에너지의 임의의 중첩이 최소화될 수 있기 때문에, 기판의 임계 영역들을 처리하기 위해 에너지 소스(20)로부터 전달되는 에너지에 대한 노출량들의 가변으로 인한 프로세스 변화량은 최소화될 수 있다. 일 예로서, 각각의 순차적으로 배치된 어닐링 영역들(12)은 약 22mm×약 33mm 크기인 직사각형 영역이다(예, 726 mm2의 면적). 일 양상에서, 기판의 표면상에 형성되는 각각의 순차적으로 배치된 어닐링 영역들(12)의 면적은 약 4 mm2(예, 2mm×2mm) 내지 약 1000 mm2(예, 25mm×40mm)이다.
에너지 소스(20)는 일반적으로 기판 표면의 특정한 원하는 영역들을 우선적으로 어닐링하기 위해 전자기 에너지를 전달하도록 적응된다. 전형적인 전자기 에너지 소스들은 광 방사 소스(예, 레이저, 플래쉬 램프들), 전자 빔 소스, 이온 빔 소스, 및/또는 마이크로파 에너지 소스를 포함하지만, 이들로 제한되는 것은 아니다. 일 양상에서, 기판(10)은 원하는 시간 기간 동안 하나 또는 그 초과의 적정 파장들에서 방사선을 방출하는 레이저로부터의 에너지의 다중(multiple) 펄스들에 노출된다. 일 양상에서, 에너지 소스(20)로부터의 에너지의 다중 펄스들은 재단(tailor)되어, 어닐링 영역(12)에 걸쳐서 전달되는 에너지 양 및/또는 펄스의 기간 동안 전달되는 에너지 양이 최적화됨으로써, 기판 표면상의 영역들을 용융시키지 않고, 어닐링 영역들에서 도펀트들의 상당 부분이 확산되는 것 및 어닐링 영역들내에서 상당한 양의 손상이 하나의 격자 평면 또는 격자 평면들의 작은 그룹에서 한번에 제거되는 것을 제어가능하게 허용하도록 충분한 에너지를 전달한다. 각각의 펄스는 마이크로-어닐링 사이클을 완료하여, 고농도 구역들로부터 저농도 구역들로 도펀트들의 일부 확산을 발생시키고, 불규칙한 어닐링 영역의 바닥부 근처에서 정렬된 결정의 몇몇 격자 평면들의 애피택셜 성장을 발생시킨다. 일 양상에서, 에너지 소스(20)의 파장이 튜닝(tune)되어, 방사선의 상당한 부분이 기판(10)상에 배치된 실리콘 층에 의해 흡수된다. 실리콘 함유 기판상에 수행되는 어닐링 프로세스 동안, 방사선의 파장은 약 800 nm 미만일 수 있고, 원자외선(deep UV), 적외선(IR) 또는 다른 바람직한 파장들에서 전달될 수 있다. 일 실시예에서, 에너지 소스(20)는 약 500 nm 내지 약 11㎛의 파장의 방사선을 전달하도록 적응되는 레이저와 같은 강한 광원이다. 또 다른 실시예에서, 에너지 소스(20)는 제논, 아르곤, 또는 크립톤 방전 램프들과 같은 다수의 방사선-방출 램프들을 특징으로 하는 플래쉬 램프 어레이이다. 또한, 몇몇 실시예들에서 텅스텐 할로겐 램프들이 사용될 수 있지만, 텅스텐 할로겐 램프들은 필라멘트를 가열 및 냉각시키는 필요성으로 인해 요구되는 짧은 펄스들을 생성하기에 충분히 신속하게 점멸될(lit and extinguished) 수 없기 때문에 일반적으로 덜 대중적이다. 따라서, 텅스텐 할로겐 램프들이 사용될 때, 텅스텐 할로겐 램프들은 펄스들을 관리하기 위한 셔터들과 함께 사용되어야 한다. 또한, 텅스텐 할로겐 램프들은 일반적으로 보다 낮은 에너지 밀도를 전달하므로, 더 많은 할로겐 램프들이 요구된다. 모든 경우들에서, 어닐링 프로세스에 사용되는 에너지 펄스는 대략적으로 약 1ns 내지 약 10ms와 같은 상대적으로 짧은 시간 동안 일반적으로 발생된다.
도 1b는 도 1a의 장치의 개략적 측면도이다. 전력 소스(102)는 에너지 소스(20)에 결합된다. 에너지 소스(20)는 전술한 것들과 같은 광원일 수 있는 에너지 생성기(104), 및 광학 어셈블리(108)를 포함한다. 에너지 생성기(104)는 에너지를 생성하고 광학 어셈블리(108)로 에너지를 지향시키도록 구성되고, 광학 어셈블리(108)가 이번에는 기판(10)에 전달하기 위해 요구되는 바와 같은 에너지를 형상화한다. 광학 어셈블리(108)는 에너지 생성기(104)에 의해 생성되는 에너지의 간섭성(coherency)을 조절, 필터링, 탈-편광, 편광 또는 포커싱하도록 구성되는 렌즈들, 필터들, 미러들 등을 일반적으로 포함하며, 어닐링 영역(12)으로 균일한 칼럼의 에너지를 전달하는 것이 목적이다.
에너지의 펄스들을 전달하기 위해, 스위치(106)가 제공될 수 있다. 스위치(106)는 1㎲ 또는 그 미만으로 개방 또는 폐쇄될 수 있는 고속 셔터일 수 있다. 대안적으로, 스위치(106)는 임계 세기의 광이 이와 충돌할 때 1㎲ 미만으로 투명해지는(become clear) 불투명한 결정과 같은 광학 스위치일 수 있다. 몇몇 실시예들에서, 스위치는 포켈셀(Pockels cell)일 수 있다. 몇몇 실시예들에서, 광학 스위치는 1ns 미만에서 상태를 변경하도록 구성될 수 있다. 광학 스위치는 기판을 향해 지향되는 전자기 에너지의 연속적인 빔을 방해함으로써 펄스들을 생성한다. 스위치는 제어기(21)에 의해 동작되고, 예를 들어 에너지 생성기(104)의 출구 영역에 결합되거나 고정되게 에너지 생성기(104)의 외부에 위치될 수 있거나, 또는 에너지 생성기(104)의 내부에 위치될 수 있다. 대안적인 실시예에서, 에너지 생성기는 전기적 수단에 의해 스위칭될 수 있다. 제어기(21)는 필요할 때 전력 소스(102)를 스위칭 온 및 스위칭 오프하도록 구성될 수 있거나, 제어기(21)에 의해 에너지가 가해지는(energized) 회로에 의해 에너지 생성기(104)로 방전시키고 전력 소스(102)에 의해 충전되는 커패시터(110)가 제공될 수 있다. 커패시터에 의한 전기적 스위칭은, 커패시터(110)에 의해 제공되는 전기가 특정한 전력 임계치 아래로 떨어질 때 에너지 생성기(104)가 에너지 생성을 중단하기 때문에, 셀프-스위칭의 방식이다. 커패시터(110)가 전력 소스(102)에 의해 재충전되는 경우, 커패시터는 그 후 에너지 생성기(104)로 방전되어, 에너지의 또 다른 펄스를 생성할 수 있다. 몇몇 실시예들에서, 전기 스위치는 1ns 미만에서 전력을 스위칭 온 또는 스위칭 오프하도록 구성될 수 있다.
일 실시예에서, 어닐링 프로세스는 활성화 어닐링 단계를 포함하고 이후, 원하는 디바이스 특성을 제공하기 위한 순차적인 펄스 어닐링 프로세스가 이어진다. 일 실시예에서, 활성화 단계는 약 1분의 시간 기간 동안 약 400℃ 내지 약 800℃의 온도로 기판을 가열하는 단계를 포함할 수 있다. 또 다른 실시예에서, 활성화 단계는 기판을 예열하는 단계를 포함한다.
어닐링 프로세스 동안 기판의 온도 제어
일 실시예에서, 열 교환 디바이스(15)의 기판 지지 표면(16)과 열적으로 접촉하게, 도 1에 나타내어진 기판(10)의 표면을 배치함으로써 열 처리 동안 기판의 온도를 제어하는 것이 바람직할 수 있다. 열 교환 디바이스(15)는 일반적으로 어닐링 프로세스 이전 또는 어닐링 프로세스 동안 기판을 가열 및/또는 냉각시키도록 적응된다. 이러한 구성에서, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스 사로부터 이용가능한 통상적 기판 히터와 같은 열 교환 디바이스(15)는 기판의 어닐링 영역들의 처리후 특성들을 개선하기 위해 사용될 수 있다. 일반적으로, 기판(10)은 열 교환 디바이스(15)를 포함하는 처리 챔버(미도시)의 밀폐된 처리 환경(미도시)내에 배치된다. 처리 동안 내부에 기판이 존재하는(reside) 처리 환경은 진공화되거나, 원하는 프로세스에 적합한 가스를 포함할 수 있다. 예를 들어, 본 발명의 실시예들은 챔버에 특정 가스들이 제공될 것을 요구하는 증착 또는 주입 프로세스들에 사용될 수 있다. 가스들은 증착 프로세스들을 위한 전구체(precursor)들과 같이 반응성이거나, 통상적 열적 프로세스들에 공통으로 사용되는 불활성 가스들과 같이 비반응성일 수 있다.
일 실시예에서, 기판은 어닐링 프로세스를 수행하기 이전에 예열될 수 있어서, 요구되는 어닐링 에너지 증가가 최소화되고, 이는 기판의 급속한 가열 및 냉각으로 인한 임의의 유도되는 응력을 감소시킬 수 있으며, 또한 기판의 어닐링된 구역들의 결함 밀도를 최소화하는 것이 가능하다. 일 양상에서, 열 교환 디바이스(15)는 기판 지지 표면(16)상에 배치된 기판을 가열하도록 적응되는, 저항성 가열 엘리먼트들(15A) 및 온도 제어기(15C)를 포함한다. 온도 제어기(15C)는 제어기(21)와 통신한다(이하에서 논의됨). 일 양상에서, 약 20℃ 내지 약 750℃의 온도로 기판을 예열하는 것이 바람직할 수 있다. 기판이 실리콘 함유 물질로 형성되는 일 양상에서, 약 20℃ 내지 약 500℃의 온도로 기판을 예열하는 것이 바람직할 수 있다.
또 다른 실시예에서, 어닐링 프로세스 동안 기판에 부가되는 에너지로 인한 임의의 상호-확산을 감소시키기 위해 처리 동안 기판을 냉각시키는 것이 바람직할 수 있다. 기판의 점증적 용융을 요구하는 프로세스들에서, 냉각은 이후에 재성장 속도를 증가시킬 수 있고, 이는 도 8과 연계하여 기술되는 바와 같은 처리 동안 다양한 영역들의 비정질화(amorphization)를 증가시킬 수 있다. 일 구성에서, 열 교환 디바이스(15)는 기판 지지 표면(16)상에 배치된 기판을 냉각시키도록 적응된 하나 또는 그 초과의 유체 채널들(15B) 및 극저온 냉각기(15D)를 포함한다. 일 양상에서, 제어기(21)와 통신하는 통상의 극저온 냉각기(15D)는 하나 또는 그 초과의 유체 채널들(15B)을 통하여 냉각 유체를 전달하도록 적응된다. 일 양상에서, 약 -240℃ 내지 약 20℃의 온도로 기판을 냉각하는 것이 바람직할 수 있다.
제어기(21)(도 1a)는 일반적으로 본원에서 기술되는 열 처리 기술들의 제어 및 자동화를 용이하게 하도록 설계되고, 중앙 처리 유닛(CPU)(미도시), 메모리(미도시), 및 지원 회로들(또는 I/O)(미도시)을 전형적으로 포함할 수 있다. CPU는 다양한 프로세스들과 하드웨어(예, 통상의 전자기 방사선 검출기들, 모터들, 레이저 하드웨어)를 제어하기 위한 산업 현장에서 사용되는 임의의 형태의 컴퓨터 프로세서들 중 하나일 수 있으며, 프로세스들(예, 기판 온도, 기판 지지부 온도, 펄스화된 레이저로부터의 에너지 양, 검출기 신호)을 모니터링할 수 있다. 메모리(미도시)는 CPU에 접속되고, 랜덤 액세스 메모리(RAM), 리드 온리 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 임의의 다른 형태의 로컬 또는 원격 디지털 저장장치(storage)와 같은, 용이하게 이용가능한 메모리 중 하나 또는 그 초과일 수 있다. 소프트웨어 명령들 및 데이터는 CPU를 명령하기 위해 메모리 내에 코딩되어 저장될 수 있다. 지원 회로들(미도시)은 또한 통상의 방식으로 프로세서를 지원하기 위해 CPU에 접속된다. 지원 회로들은 통상적 캐시, 전력 공급부들, 클록 회로들, 입력/출력 회로, 서브시스템들 등을 포함할 수 있다. 제어기에 의해 판독가능한 프로그램(또는 컴퓨터 명령들)은 기판 상에 어떤 작업들이 수행가능한지를 결정한다. 바람직하게는, 프로그램은 제어기에 의해 판독가능한 소프트웨어이고, 기판 위치, 각각의 전자기 펄스에 전달되는 에너지 양, 하나 또는 그 초과의 전자기 펄스들의 타이밍, 각각의 펄스에 대한 시간의 함수로서 파장 및 세기, 기판의 다양한 영역들의 온도, 및 이들의 임의의 조합을 모니터링 및 제어하기 위한 코드를 포함한다.
선택적 가열
형성된 디바이스의 다양한 영역들 간에 상호-확산을 최소화하고 기판 물질의 결함들을 제거하며, 기판의 다양한 영역들에서 도펀트들을 보다 균일하게 분배하기 위한 노력으로서, 어닐링 프로세스 동안 에너지 소스로부터 전달되는 에너지에 노출될 때 기판의 다양한 영역들이 우선적으로 용융되도록 하기 위해 하나 이상의 처리 단계들이 기판의 다양한 영역들상에 수행될 수 있다. 기판의 제 1 영역 및 제 2 영역 모두가 어닐링 프로세스 동안 대략적으로 동일한 양의 에너지에 노출될 때, 기판의 제 1 영역이 기판의 제 2 영역보다 우선적으로 용융되도록 기판의 제 1 영역의 특성들을 변형시키는 프로세스는 이들 두 영역들 사이의 용융점 콘트라스트(contrast)를 생성하는 것으로서 이후에 기술된다. 일반적으로, 기판의 원하는 영역들의 우선적인 용융을 허용하도록 변형될 수 있는 기판 특성들은 기판의 원하는 영역들 내의 하나 또는 그 초과의 엘리먼트들의 주입, 드라이빙-인(driving-in) 및/또는 공동-증착을 포함하고, 기판의 원하는 영역들에 대한 물리적 손상의 생성을 포함하고, 기판의 원하는 영역들 내에 용융점 콘트라스트를 생성하기 위해 형성된 디바이스 구조의 최적화를 포함한다. 각각의 이러한 변형 프로세스들이 차례로 검토될 것이다.
도 2a-2c는 본 발명의 일 실시예를 포함하는 디바이스 제조 시퀀스의 상이한 스테이지들에서 전자 디바이스(200)의 단면도들을 나타낸다. 도 2a는 MOS 디바이스의 소스 및 드레인 영역과 같은 2개의 도핑 영역들(201)(예, 도핑 영역들(201A-201B)), 게이트(215) 및 게이트 산화물층(216)을 구비한, 기판(10)의 표면(205)상에 형성된 전형적인 전자 디바이스(200)의 측면도를 나타낸다. 도핑 영역들(201A-201B)은 일반적으로 원하는 도펀트 물질을 기판(10)의 표면(205)에 주입함으로써 형성된다. 일반적으로, 전형적인 n-타입 도펀트들(도너 타입 종들)은 비소(As), 인(P), 및 안티몬(Sb)을 포함할 수 있고, 전형적인 p-타입 도펀트들(억셉터 타입 종들)은 붕소(B), 알루미늄(Al), 및 인듐(In)을 포함할 수 있으며, 이들은 반도체 기판(10)으로 유입되어 도핑 영역들(201A-201B)을 형성한다. 도 3a는 도핑 영역(201A)을 통해 연장되는 경로(203)를 따라 기판(205)으로부터 그리고 기판(205)안으로, 깊이의 함수로서 도펀트 물질의 농도(예, 곡선 C1)의 일 예를 나타낸다. 도핑 영역(201A)은 도펀트 농도가 무시할 수 있는 양으로 떨어지는 지점으로서 규정될 수 있는, 주입 프로세스 이후의 접합 깊이(D1)를 갖는다. 도 2a-2e는 단지 본 발명의 다양한 양상들 중 일부를 나타내려는 의도로서, 본원에서 기술되는 본 발명의 다양한 실시예들을 이용하여 형성될 수 있는 디바이스의 타입, 구조의 타입, 또는 디바이스의 영역들에 대한 제한으로서 의도되지 않는다는 점을 유의해야 한다. 일 예로서, 도핑 영역들(201)(예, MOS 디바이스의 소스 또는 드레인 영역들)은 본원에서 기술되는 본 발명의 범위로부터 변하지 않고 게이트(215)(예, MOS 디바이스의 게이트)의 위치에 대해 상승 또는 하강될 수 있다. 반도체 디바이스 크기들이 감소함에 따라, 기판(10)의 표면(205)상에 형성되는 전자 디바이스들(200)의 구조적 엘리먼트들의 위치 및 기하구조(geometry)는 디바이스 생산성 또는 디바이스 성능을 개선하기 위해 가변될 수 있다. 또한, 도 2a-2e에서 도시된 것처럼 단일 도핑 영역(201A)만의 변형이 본원에 기술되는 본 발명의 범위를 제한하는 것으로서 의도되지 않으며, 이는 단지 본 발명의 실시예들이 반도체 디바이스를 제조하기 위해 사용될 수 있는 방법을 나타내기 위한 의도라는 점을 유의해야 한다.
도 2b는 용융점 콘트라스트를 생성하기 위해, 기판(10)의 개별 영역(예, 변형된 구역(210))의 특성들, 이 경우 단일 도핑 영역(201A)을 포함하는 영역의 특성들을 선택적으로 변형시키도록 적응되는 프로세스 단계 동안 도 2a에 도시된 전자 디바이스(200)의 측면도를 나타낸다. 변형 프로세스를 수행한 이후, 변형된 구역(210) 및 변형되지 않은 구역들(211) 사이에 용융점 콘트라스트가 생성될 것이다. 일 실시예에서, 변형 프로세스는 층이 기판의 표면상에 증착되고 있을 때 층에 물질을 부가하는 단계(들)를 포함하고, 여기서 부가되는 물질은 기판 물질과 합금을 형성하도록 적응되어, 변형된 구역(210)내의 영역(202)의 용융점을 하강시킨다. 일 양상에서, 부가되는 물질은 애피택셜 층 증착 프로세스 동안 증착된 층에 부가된다.
또 다른 실시예에서, 변형 프로세스는 변형된 구역(210) 내의 영역(202)의 용융점을 하강시키기 위해 기판 물질과 합금을 형성하도록 적응된 물질을 주입시키는 단계(도 2b의 "A" 참조)를 포함한다. 일 양상에서, 변형 프로세스는 도 2b에 도시된 것처럼, 합금 물질을 깊이(D2)로 주입시키도록 적응된다. 도 3b는 경로(203)를 따라 기판(10)을 통과하고 표면(205)으로부터의, 깊이의 함수로서 도펀트 물질(예, 곡선 C1) 및 주입된 합금 물질(예, 곡선 C2)의 농도의 일 예를 나타낸다. 일 양상에서, 기판(10)은 실리콘 함유 물질로 형성되고, 사용될 수 있는 주입된 합금 물질들은 예를 들어, 게르마늄(Ge), 비소(As), 갈륨(Ga), 탄소(C), 주석(Sn), 및 안티몬(Sb)을 포함한다. 일반적으로, 합금 물질은 기판 베이스 물질의 존재에서 가열될 때 변형된 구역(210) 내의 영역(202)의 용융점이 변형되지 않은 구역들(211)에 비해 하강되도록 하는 임의의 물질일 수 있다. 일 양상에서, 실리콘 기판의 영역은 약 1% 내지 약 20%의 게르마늄의 부가에 의해 변형되어, 변형된 구역과 변형되지 않은 구역 사이의 용융점을 감소시킨다. 이러한 농도들에서 게르마늄의 부가는 변형되지 않은 구역들에 대해 변형된 구역들의 용융점을 약 300℃만큼 하강시킬 것으로 판단된다. 일 양상에서, 실리콘 기판내에 형성된 영역(202)은 게르마늄(Ge) 및 탄소(C)를 포함함으로써, SixGeyCz 합금이 형성되어 변형되지 않은 구역들(211)에 비해 영역(202)의 용융점을 하강시킨다. 또 다른 양상에서, 실리콘 기판의 영역은 약 1% 또는 그 미만의 비소의 첨가에 의해 변형되어 변형된 구역과 변형되지 않은 구역 사이의 용융점을 감소시킨다. 다른 중요한 합금들은 코발트 실리사이드들(CoxSiy, 여기서 y는 일반적으로 약 .3x보다 더 크고 약 3x보다 더 작음), 니켈 실리사이드들(NixSiy, 여기서 y는 일반적으로 약 .3x보다 더 크고 약 3x보다 더 작음), 니켈-게르마늄 실리사이드들(NixGeySiz, 여기서 y와 z는 일반적으로 약 .3x보다 더 크고 약 3x보다 더 작음)뿐만 아니라, 다른 실리사이드들 및 유사한 물질들을 포함하지만, 이들로 제한되는 것은 아니다.
또 다른 실시예에서, 변형 프로세스는 다양한 변형된 구역들(예, 변형된 구역(210))에서 기판(10) 물질에 일부 손상을 유도하여 기판의 결정 구조를 손상시켜서 이들 영역들이 보다 비정질이 되도록 하는 단계를 포함한다. 단일 결정 실리콘 기판의 손상과 같은 기판의 결정 구조에 대한 손상을 유도하는 것은, 기판 내의 원자들의 접합(bonding) 구조의 변화로 인해 손상되지 않은 영역에 비해 이러한 영역의 용융점을 감소시킬 것이고, 이에 따라 2개의 영역들 간에 열역학 특성 차이들을 유도한다. 일 양상에서, 도 2b의 변형된 구역(210)에 대한 손상은 기판의 표면에 대한 손상을 생성할 수 있는 발사체들(projectile)과 기판(10)(도 2b의 "A" 참조)의 표면(205)을 충돌(bombard)시킴으로써 수행된다. 일 양상에서, 발사체는 실리콘 함유 기판에 주입되어 변형된 구역(210) 내의 영역(202)에 대한 손상을 유도하는 실리콘(Si) 원자이다. 또 다른 양상에서, 기판 물질에 대한 손상은 변형된 구역(210)의 영역(202)에 대한 손상을 유도하기 위하여 주입 프로세스, 이온 빔 또는 바이어스된 플라즈마를 이용하여, 아르곤(Ar), 크립톤(Kr), 제논(Xe) 또는 심지어 질소(N2)와 같은 가스 원자들과 표면을 충돌시킴으로써 생성된다. 일 양상에서, 변형 프로세스는 도 2b에 도시된 것처럼, 깊이(D2)까지 유도된 손상을 갖는 영역(202)을 생성하도록 적응된다. 약 5×1014 내지 약 1×1016 /cm2의 공백(vacancy) 밀도 또는 전위(dislocation)는 변형되지 않은 구역(211)과 변형된 구역(210) 간에 용융점 콘트라스트를 생성하는데 유용할 수 있다고 판단된다. 일 양상에서, 도 3b는 표면(205)으로부터 경로(203)를 따라 기판(10)을 통하여, 깊이의 함수로서 도펀트 물질의 농도(예, 곡선 C1) 및 결함 밀도(예, 곡선 C2)의 일 예를 나타낸다.
도 2a-2b는 도핑 프로세스 이후 변형 프로세스가 수행되는 프로세스 시퀀스를 나타내지만, 이러한 프로세스 시퀀스는 본원에서 기술되는 본 발명의 범위를 제한하는 것으로 의도되지 않는다는 점을 유의해야 한다. 예를 들어, 일 실시예에서, 도 2a에 기술된 도핑 프로세스를 수행하기 이전에 도 2b에 기술된 변형 프로세스를 수행하는 것이 바람직하다.
도 2c는 레이저로부터의 광 방사선과 같은, 에너지 소스로부터 방출되는 방사선 "B"에 노출되는 도 2b에 도시된 전자 디바이스(200)의 측면도를 나타낸다. 이러한 단계 동안, 기판(10)에 걸쳐서 배치된 변형된 구역(들)(예, 변형된 구역(210))과 변형되지 않은 구역들(예, 211)은 상당한 양의 에너지에 노출되어, 변형된 구역(들)(210)내의 영역(202)이 방사선 "B"의 펄스가 인가된 이후 선택적으로 용융 및 재응고(resolidify)되도록 하면서, 변형되지 않은 구역들(211)은 고체 상태로 유지된다. 방사선 "B"가 인가되는 에너지 양, 에너지 밀도, 및 지속기간은 영역(202)의 원하는 깊이, 영역(202)을 생성하는데 사용되는 물질들, 전자 디바이스(200)를 형성하는데 사용되는 다른 물질들, 및 형성된 전자 디바이스(200) 내의 컴포넌트들의 열 전달 특성들을 인지함으로써, 영역(202)을 우선적으로 용융시키도록 설정될 수 있다. 도 2c 및 3c에 도시된 것처럼, 방사선 "B"에 노출되면, 영역(202)의 재용융 및 응고는 도펀트 원자들(예, 곡선 C1) 및 합금 원자들(예, 곡선 C2)의 농도가 영역(202)내에 보다 균일하게 재분배되도록 한다. 또한, 영역(202)과 기판 벌크 물질(221) 사이의 도펀트 농도는 선명하게 규정된(sharply defined) 경계(즉, "하이퍼-어브럽트(hyper-abrupt)" 접합)를 가지므로, 따라서, 기판 벌크 물질(221)로의 원치않는 확산을 최소화한다. 용융점 콘트라스트를 개선하기 위해 기판(10)에 손상이 유도되는 전술한 실시예에서, 재응고 이후의 결함들의 농도(예, 곡선 C2)는 바람직하게는 무시가능한 레벨로 떨어질 것이다.
표면 특성들의 변형
일 실시예에서, 기판(10)의 다양한 영역들(202)에 대한 표면 특성들은 변경되어 하나 또는 그 초과의 목표된 영역들 사이에 열적 콘트라스트를 생성한다. 일 양상에서, 원하는 영역의 기판 표면의 방사율(emissivity)이 변경되어, 처리 동안 기판 표면에 의해 흡수되는 에너지 양을 변화시킨다. 이 경우, 보다 높은 방사율을 갖는 영역은 에너지 소스(20)로부터 수신되는 보다 많은 에너지를 흡수할 수 있다. 기판 표면의 용융을 수반하는 어닐링 프로세스를 수행할 때, 기판의 표면에서 달성되는 처리 온도들은 상당히 높을 수 있고(예, 실리콘에 대해 ~1414℃), 방사열(radiative heat) 전달이 주요한 열 손실 메커니즘이기 때문에, 방사율 변화는 열적 콘트라스트에 있어서 큰 효과를 가질 수 있다. 따라서, 기판 표면의 상이한 영역들의 방사율 변화들은 기판의 다양한 영역들에 의해 도달되는 극한 온도들에 상당한 영향을 줄 수 있다. 낮은 방사율을 갖는 영역들은 예를 들어, 어닐링 프로세스 동안 용융점 위로 상승될 수 있는 반면에, 동일한 에너지 양을 흡수해온 높은 방사율을 갖는 영역들은 실질적으로 용융점 아래에서 유지될 수 있다. 따라서, 기판 표면은 소스 파장의 열 질량 당 방사율이 거의 동일하지만 총 방사율은 상이한 영역들을 가질 수 있다. 다양한 표면들의 방사율 또는 방사율 콘트라스트를 변화시키는 것은 기판 표면상으로의 낮은-방사율 또는 높은-방사율 코팅의 선택적 증착, 및/또는 기판 표면의 변형(예, 표면 산화, 표면 조면화(roughening))을 통해 달성될 수 있다.
일 실시예에서, 하나 또는 그 초과의 영역들의 기판 표면의 반사율이 변경되어, 기판(10)이 에너지 소스로부터의 에너지에 노출될 때 흡수되는 에너지 양을 변화시킨다. 기판 표면의 반사율을 변화시킴으로써, 기판 표면 아래 및 기판 표면의 영역에서 기판에 의해 달성되는 최대 온도 및 기판에 의해 흡수되는 에너지 양은 반사율을 기초로 달라질 것이다. 이 경우, 낮은 반사율을 갖는 표면은 높은 반사율을 갖는 또 다른 영역보다 더 높은 온도를 달성할 것이다. 기판 표면의 반사율 변화는 기판 표면상으로의 낮은-반사율 또는 높은-반사율 코팅의 선택적 증착, 및/또는 기판 표면의 변형(예, 표면 산화, 표면 조면화)을 통해 달성될 수 있다. 고흡수(비-반사성) 코팅은 어닐링 프로세스 동안 보다 활발하게 가열되도록 의도된 영역들에 선택적으로 도포될 수 있다.
도 2d는 코팅(225)이 선택적으로 증착, 또는 균일하게 증착된 다음 선택적으로 제거되어, 기판(10)의 표면(205)상의 다른 영역들과 상이한 방사율 및/또는 반사율을 갖는 층을 남기는 일 실시예를 나타낸다. 이 경우, 코팅(225) 아래의 도핑 영역(201A)내의 열 유동(Q1)은 기판(10)의 다른 영역들에서 흡수되는 에너지(Q2)에 대한 코팅(225)의 특성들을 기초로 조절될 수 있다. 이러한 방식으로, 코팅(225)으로부터의 열 손실(Q3) 또는 반사는 다른 영역들로부터의 열 손실(Q4)에 대해 가변될 수 있다. 일 양상에서, CVD, PVD, 또는 다른 증착 프로세스를 이용하여, 탄소 함유 코팅이 기판 표면상에 증착된다.
도 2e는 기판 표면의 광학적 특성(가령, 방사율, 반사율)을 변경하는 코팅(226)이 기판 표면 상부에, 예를 들면 도 2a에 도시된 디바이스 상부에 증착되고, 그 후 상이한 광학적 특성들을 갖는 영역들을 생성하도록 상당한 양의 물질이 제거된 일 실시예를 나타낸다. 예를 들면, 도 2e에 도시된 것처럼, 코팅(226)이 게이트(215)의 표면으로부터 제거되었고, 따라서 코팅(226)의 표면 또는 게이트(215)의 표면이 입사하는 방사선("B")에 노출된 채 남겨진다. 이 경우, 코팅(226)과 게이트(215)의 표면은 상이한 방사율 및/또는 상이한 반사율과 같은 상이한 광학 특성을 갖는다. 상이한 광학 특성들을 갖는 영역들을 노출시키거나 생성하기 위해 사용되는 제거 프로세스는 습식 에칭 또는 화학기계적 연마(CMP) 프로세스와 같은 통상의 물질 제거 프로세스의 사용에 의해 수행될 수 있다. 이 경우, 코팅(226) 아래에 있는 도핑 영역들(201A-201B)에 있는 흡수 및 열 유동(Q1)은 기판의 게이트(215) 영역에 있는 흡수 및 열 유동(Q2) 대 코팅(226)의 특성들에 기초하여 조정될 수 있다. 이러한 방식으로 코팅(226)으로부터 손실되거나 반사된 열(Q3)은 게이트(215) 영역으로부터 손실되거나 반사된 열(Q4)에 대하여 변경될 수 있다.
일 실시예에서, 코팅(226)은 입사 방사선의 하나 또는 그 초과의 파장들에 노출되는 기판의 여러 영역들의 광학 특성(가령, 방사율, 흡수율, 반사율)을 그 자체로 또는 조합하여 변형시키는 원하는 두께의 하나 또는 그 초과의 증착된 층들을 포함한다. 일 양상에서, 코팅(226)은 그 자체로 또는 조합하여 입사 방사선("B")의 하나 또는 그 초과의 파장들을 선택적으로 흡수하거나 반사하는 층들을 포함한다. 일 실시예에서, 코팅(226)은 캘리포니아 산타클라라 소재의 Applied Materials 사로부터 상업적으로 이용가능한 프로세스에 의해 제조된 플루오로실리케이트 글라스(FSG), 비정질 탄소, 이산화규소, 탄화규소, 실리콘 탄소 게르마늄 합금(SiCGe), 질소 함유 탄화규소(SiCN), BLOKTM 유전체 물질과 같은 유전체 물질, 또는 화학기상증착(CVD) 프로세스 또는 원자층증착(ALD) 프로세스의 사용에 의해 기판 표면에 증착되는 탄소 함유 코팅을 포함한다. 일 양상에서, 코팅(226)은 티타늄(Ti), 질화 티타늄(TiN), 탄탈(Ta), 코발트(Co), 또는 루테늄(Ru)과 같은 금속을 포함하지만, 이들로 제한되는 것은 아니다.
본원에서 논의된 다양한 실시예들은 프로세스 윈도우를 추가적으로 증가시키기 위해 서로와 결합하여 사용될 수 있음에 주의하여야 한다. 예를 들어, 선택적으로 증착된, 광 흡수 코팅은 어닐링 프로세스의 프로세스 윈도우를 넓히기 위하여 소정의 정의된 영역들의 도핑과 결합하여 사용될 수 있다.
우선적 가열을 얻기 위하여 에너지 소스 출력을 튜닝하기
전술한 것처럼, 에너지 소스(20)는 기판의 소정의 원하는 영역들을 우선적으로 용융시키도록 전자기 에너지를 전달하기 위하여 일반적으로 적응된다. 전형적인 전자기 에너지의 소스들은 광학 방사선 소스(가령, 레이저(UV, IR, 등의 파장들)), 전자 빔 소스, 이온 빔 소스, 및/또는 마이크로파 에너지 소스를 포함하지만, 이들로 제한되는 않는다. 본 발명의 일 실시예에서, 에너지 소스(20)는 기판의 원하는 영역들을 용융점까지 선택적으로 가열하기 위하여, 레이저와 같은 광학 방사선을 전달하도록 적응된다.
일 양상에서, 기판(10)은 하나 또는 그 초과의 적절한 파장들에서 방사선을 방출하는 레이저로부터의 에너지의 펄스에 노출되며, 방출된 방사선은 특정한 원하는 영역들의 우선적 용융을 향상시키기 위하여 원하는 에너지 밀도(W/cm2) 및/또는 펄스 지속 기간을 가진다. 실리콘 함유 기판에 수행되는 레이저 어닐링 프로세스들에 대하여, 방사선의 파장은 전형적으로 약 800 nm 미만이다. 어느 경우에나, 어닐링 프로세스는 일반적으로 약 1초 또는 그 미만 정도와 같은 비교적 짧은 시간 동안 기판의 주어진 영역에서 발생한다. 어닐링 프로세스에서 사용되는 원하는 파장 및 펄스 프로파일은 기판의 물질 특성들의 관점에서 레이저 어닐링 프로세스의 광학 및 열적 모델링에 기초하여 결정될 수 있다.
도 4a-4d는 에너지 소스(20)로부터 어닐링 영역(12)(도 1)으로 전달되는 에너지의 펄스의 여러 속성들이 개선된 열적 콘트라스트 및 어닐링 프로세스 결과를 성취하도록 시간의 함수로써 조정된 여러 실시예들을 나타낸다. 일 실시예에서, 용융되도록 의도된 기판의 영역들로 열 입력을 늘리고 다른 영역들로의 열 입력을 최소화하도록, 전달된 에너지의 파장들을 변경하는 것 및/또는 레이저 펄스의 형상을 시간의 함수로써 변경하는 것이 바람직하다. 일 양상에서, 기판으로 전달된 에너지를 변경하는 것도 또한 바람직할 수 있다.
도 4a는 에너지 소스(20)로부터 기판(10)으로(도 1 참조) 전달될 수 있는 전자기 방사선의 단일 펄스(가령, 펄스(401))의 전달된 에너지 대 시간의 플롯을 그래프로 나타낸다. 도 4a에 나타내어진 펄스는 일반적으로 완전한 펄스 지속기간(t1) 동안 일정한 양의 에너지(E1)를 전달하는 구형파이다.
일 양상에서, 펄스(401)의 모양은 펄스가 기판(10)으로 전달될 때 시간의 함수로써 변경될 수 있다. 도 4b는 상이한 형상을 가진 기판(10)에 하나의 에너지 소스(20)로부터 전달될 수 있는 전자기 방사선의 두 개의 펄스들(401A, 401B)의 플롯을 그래프로 나타낸다. 이 예에서, 각각의 펄스는 각각의 곡선 아래의 면적에 의해 표현될 때 동일한 총 에너지 출력을 포함할 수 있으나, 하나의 펄스 대 또 다른 펄스에 대한 기판(10)의 노출된 영역들의 효과는 어닐링 프로세스 동안 겪는 용융점 콘트라스트를 개선할 수 있다. 따라서, 각각의 펄스에서 전달된 형상, 피크 전력 레벨 및/또는 에너지의 양을 재단함으로써, 어닐링 프로세스는 개선될 수 있다. 일 양상에서, 펄스는 가우시안 형상이다.
도 4c는 사다리꼴 형상의 전자기 방사선의 펄스(가령, 펄스(401))를 그래프로 나타낸다. 이 경우, 펄스(401)의 두 개의 상이한 세그먼트들(가령, 402 및 404)에서, 전달된 에너지는 시간의 함수로 변한다. 도 4c가 에너지 대 시간이 선형 방식으로 변하는 펄스(401) 프로파일 또는 형상을 나타내고 있지만, 이는 펄스에서 전달되는 에너지의 시간 변화가 예를 들면 제 2 등급(degree), 제 3 등급, 또는 제 4 등급 형상의 곡선을 가질 수 있으므로, 본 발명의 범위를 제한하려는 의도가 아니다. 또 다른 양상에서, 시간의 함수로써 펄스에서 전달되는 에너지의 프로파일, 또는 형상은 2차, 3차, 또는 지수함수형 곡선일 수 있다. 또 다른 실시예에서, 원하는 어닐링 결과를 얻기 위하여 프로세싱 동안 상이한 형상들을 갖는 펄스(가령, 직사각형 및 삼각형 변조 펄스, 사인 및 직사각형 변조 펄스, 직사각형, 삼각형 및 사인 변조 펄스 등)를 사용하는 것이 유리할 수 있다.
디바이스의 여러 영역들의 특성들에 의존하여, 전자기 방사선의 전달된 펄스의 형상은 어닐링 프로세스 결과들을 개선하기 위하여 재단될 수 있다. 도 4b를 참조하면, 예를 들어, 어닐링 프로세스 동안 가열될 기판의 여러 영역들이 낮은 열적 전도성을 갖는 구역들에 의해 디바이스의 다른 영역들로부터 열적으로 고립되는 몇몇 상황들에서, 펄스(401B)와 유사한 형상을 갖는 펄스의 사용이 유리할 수 있다. 더 긴 지속기간을 갖는 펄스가 유리할 수 있는데, 이는 기판의 더 많은 열적으로 전도성인 물질 영역들이 전도에 의해 열을 방산하기 위한 더 많은 시간을 가질 것이지만, 어닐링될 영역들은 더 많이 열적으로 고립되어 이들 영역들에서의 더 높은 온도에 이르기 때문이다. 이 경우, 펄스의 지속기간, 피크 전력 레벨, 및 총 에너지 출력은, 어닐링하려고 의도되지 않은 구역들이 더 차갑게 유지될 수 있도록 적절히 선택될 수 있다. 펄스의 형상을 재단하는 프로세스는 또한 변하는 방사율의 표면들이 용융점 콘트라스트를 생성하기 위해 사용될 때 유리할 수도 있다.
도 4c를 참조하면, 일 실시예에서, 세그먼트(402)의 경사도, 펄스(401)의 형상, 세그먼트(403)의 형상, 전력 레벨에서의 시간(가령, 에너지 레벨 E1에서의 세그먼트(403)), 세그먼트(404)의 경사도, 및/또는 세그먼트(404)의 형상이 어닐링 프로세스를 제어하기 위해 조정된다. 어닐링된 영역들 내부에 있는 물질을 입자 및 프로세스 결과 가변성 걱정으로 인해 프로세싱 동안 증발시키는 것은 일반적으로 바람직하지 않음에 주의하여야 한다. 따라서 영역을 과열시키거나 물질의 증발을 일으키지 않고 어닐링된 영역의 온도를 타겟 온도로 빠르게 가져가기 위해서 에너지의 펄스의 형상을 조정하는 것이 바람직하다. 일 실시예에서, 도 4g에 도시된 것처럼, 펄스(401)의 형상이 조절될 수 있어, 펄스(401)는, 어닐링 영역 내에서의 물질의 증발을 방지하면서 어닐링된 영역을 타겟 온도로 빠르게 가져간 후 물질을 원하는 시간 기간(가령, t1) 동안 그 온도로 유지하기 위해 사용되는 다중 세그먼트들(가령, 세그먼트들 402, 403A, 403B, 403C, 및 404)을 갖는다. 시간의 길이, 세그먼트들의 형상 및 각각의 펄스 세그먼트들의 지속시간은 크기, 용융 깊이(melt depth), 및 어닐링 영역들 내에 포함된 물질이 변함에 따라 변할 수 있다.
또 다른 양상에서, 방사 에너지의 다중 파장들이 결합될 수 있어 개선된 열 콘트라스트를 얻고 그리고/또는 어닐링 프로세스 결과들을 개선하기 위하여 기판의 원하는 영역들로의 에너지 전달을 개선한다. 일 양상에서, 각각의 결합된 파장들에 의해 전달되는 에너지의 양은 열 콘트라스트를 개선하고 어닐링 프로세스 결과들을 개선하기 위하여 변경된다. 도 4d는 열 콘트라스트를 개선하고 그리고/또는 어닐링 프로세스 결과를 개선하기 위하여 펄스(401)가 기판(10)에 단위 시간당 상이한 양의 에너지를 전달할 수 있는 두 개의 파장들을 포함하는 일예를 나타낸다. 이 예에서, 주파수(F1)가 펄스의 기간에 대하여 일정한 레벨로 기판에 적용되며, 펄스의 기간 동안 시간의 기간에 대하여 정점이 되는 부분을 제외한 대부분의 기간에 대하여 또 다른 주파수(F2)가 일정한 레벨로 기판(10)에 적용된다.
도 4e는 두 개의 상이한 주파수들 F3 및 F4에서 에너지를 전달하는 두 개의 순차적인 세그먼트들을 갖는 펄스(401)의 플롯을 그래프로 나타낸다. 따라서, 기판의 다양한 영역들이 상이한 파장들에서 상이한 속도로 에너지를 흡수할 수 있으므로, 도 4d 및 4e에 도시된 것처럼 가변적인 양의 에너지를 전달할 수 있는 다중 파장을 포함하는 펄스의 사용은 바람직한 어닐링 프로세스 결과들을 얻기 위하여 유리할 수 있다.
일 실시예에서, 전자기 방사선의 둘 또는 그 초과의 펄스들이 상이한 시간에 기판의 영역으로 전달되어 기판 표면상의 영역들의 온도는 쉽게 제어될 수 있다. 도 4f는 기판의 표면에 있는 소정 영역들을 선택적으로 가열하기 위하여 시간에 있어 이격된 변하는 거리 즉, 기간(t)에서 전달되는 두 개의 펄스들(401A 및 401B)의 플롯을 그래프로 나타낸다. 이 구성에서, 후속 펄스들 사이의 기간(t)을 조정함으로써, 기판 표면상의 영역들에 의해 도달되는 피크 온도는 쉽게 제어될 수 있다. 예를 들어, 펄스들 사이의 기간(t), 또는 주파수를 감소시킴으로써, 제 1 펄스(401A)에 전달되는 열은 제 2 펄스(401B)가 전달되기 전에 방산시키는데 더 적은 시간을 가지며, 이는 기판에서 얻어지는 피크 온도가 펄스들 사이의 기간이 증가될 때보다 더 높게 할 것이다. 이런 방식으로 기간을 조정함으로써, 에너지와 온도는 쉽게 제어될 수 있다. 일 양상에서, 각각의 펄스는 그 자체로 기판을 타겟 온도로 도달시키기 위한 충분한 에너지를 포함하지 않지만, 펄스들의 조합은 영역들(202)이 타겟 온도로 도달하게 함을 보장하도록 하는 것이 바람직하다. 다중 펄스들, 가령 둘 또는 그 초과의 펄스들을 전달하는 이러한 프로세스는 에너지의 하나의 펄스를 전달하는 것에 대해 기판 물질에 의해 겪는 열적 쇼크를 줄이는 경향이 있을 것이다. 열적 쇼크는 기판의 손상에 이를 수 있고 기판에서 수행되는 후속 프로세싱 단계들에서 결함들을 생성할 입자들을 생성할 수 있다.
도 4f를 참조하면, 일 실시예에서, 둘 또는 그 초과의 에너지 소스들, 가령 레이저들은 기판의 표면의 열적 프로파일을 시간의 함수로써 성형하도록 차례로 동작된다. 예를 들어, 하나의 레이저 또는 레이저들의 어레이는 기판의 표면을 시간 t1동안 온도 T0로 상승시키는 펄스(401A)를 전달할 수 있다. t1 이전 또는 t1의 끝에서, 제 2 펄스(401B)는 제 2 레이저로부터, 또는 직렬로(in tandem) 동작하는 다중 레이저들로부터 전달되며, 이는 기판 온도를 시간 t2동안 온도 T1으로 가져간다. 열 프로파일은 따라서 다중 레이저들로부터 전달되는 에너지의 순차적인 펄스들을 제어함으로써 성형될 수 있다. 이러한 프로세스는 가령, 이에 제한되지는 않지만 도펀트 확산 및 도펀트 확산의 방향을 제어하는 애플리케이션과 같은 열 프로세싱 이점들을 가질 수 있다.
전자기 방사선 펄스들
실리콘 함유 기판 또는 열 프로세싱을 요하는 또 다른 물질로 이루어진 기판의 표면으로 충분한 전자기 방사선을 전달할 목적으로, 다음의 프로세스 제어들이 사용될 수 있다.
일 실시예에서, 둘 또는 그 초과의 전자기 에너지 소스들, 가령 레이저들이 순차적으로 동작되어 열적으로 프로세싱되고 있는 표면의 열적 프로파일을 성형하며, 레이저들은 펄스 대 펄스(pulse-to-pulse) 에너지 변화를 보정하기 위한 방식으로 동작된다. 일 양상에서, 도 1a에 개략적으로 나타난 에너지 소스(20)는 가령 이에 제한되지는 않지만, 광 방사선 소스(가령, 레이저 또는 플래시 램프), 전자 빔 소스, 이온 빔 소스, 및/또는 마이크로파 에너지 소스와 같은 둘 또는 그 초과의 전자기 에너지 소스들을 포함한다. 펄스화된 레이저와 같은 디바이스로부터의 펄스 대 펄스 에너지는 각각의 펄스의 퍼센트 변화를 가질 수 있다. 펄스 에너지에서의 변화는 기판 열 프로세스에 대해 수용가능하지 않을 수 있다. 이러한 펄스 변화를 보정하기 위하여, 하나 또는 그 초과의 레이저(들)이 기판 온도를 상승시키는 펄스를 전달한다. 그 후, 전달된 펄스들 및 전달중인 펄스의 에너지, 또는 상승시간을 모니터링하도록 적응된 전자 제어기(가령, 도 1의 제어기(21))는 열 프로파일(가령, 시간의 함수로써의 기판 영역의 온도)이 프로세스 타겟 이내에 있도록 "트리밍(trim)"하거나 조절하기 위해 필요한 에너지의 양을 계산하고 제2의 더 작은 레이저 또는 일련의 더 작은 레이저들이 열 프로세싱을 완료할 최종 에너지를 전달하도록 명령하기 위해 사용된다. 전자 제어기는 일반적으로 하나 또는 그 초과의 통상적 방사선 검출기들을 이용하여 기판에 전달되는 펄스들의 에너지 및/또는 파장을 모니터링한다. 더 작은 레이저들이 또한 펄스 출력 에너지에서 피크 대 피크(peak-to-peak) 변화를 가질 수도 있지만, 이들이 기판 처리의 시작시에 개시 펄스(또는 펄스들)보다 펄스 당 실질적으로 더 적은 에너지를 전달하기 때문에, 이러한 에러는 일반적으로 프로세스 제한들 이내에 있을 것이다. 전자 제어기는 따라서 펄스에 의해 전달되는 에너지의 변화를 보상하도록 적응되며, 따라서 열 프로세스 동안 원하는 에너지 레벨이 전달되는 것을 보장한다.
일 양상에서, 전술된 두 개 또는 그 초과의 에너지 소스들은 또한 컬러 주파수의 대역폭, 다중 파장들, 단일 또는 다중의 시간 및 공간 레이저 모드들, 및 분극 상태들을 갖는 단일 컬러(파장)의 레이저 광을 사용하여 구현될 수도 있다.
레이저 또는 레이저들의 출력은 기판 표면으로의 전달을 위한 정확한 공간 및 시간적 에너지 프로파일을 가지지 않을 것 같다. 따라서, 레이저들의 출력을 성형하기 위하여 마이크로렌즈들을 사용하는 시스템이 기판 표면에서 균일한 공간적 에너지 분포를 생성하기 위해 사용된다. 글래스 타입들 및 마이크로렌즈들의 기하구조의 선택은 펄스화된 레이저 에너지를 기판 표면에 전달하기 위해 필요한 광학 트레인에서의 열적 렌싱(lensing) 효과들을 보상할 수 있다.
스펙클(speckle)로 알려진, 기판 표면에 있는 펄스 에너지에서의 고주파 변화들은, 입사 에너지의 건설적 및 파괴적 위상 간섭의 이웃하는 영역들에 의해 생성된다. 스펙클 보상은 다음을 포함할 수 있다: 기판에서의 위상을 빠르게 변화시켜 이러한 빠른 변화가 레이저 펄스 또는 펄스들의 열 프로세싱 시간보다 실질적으로 더 빠르게 하기 위한 표면 음성파 디바이스; 레이저 펄스들의 펄스 부가; 레이저 펄스들의 교호하는(alternating) 분극, 가령 선형으로 분극되지만 평행하지 않은 상태로 분극 상태들(e-벡터들)을 가지는 다중의 동시적이거나 지연된 펄스들의 전달.
전자기 방사선 전달
도 5는 어닐링 영역(12)내에 있는 특정한 원하는 영역들을 우선적으로 용융시키기 위하여 에너지 소스(20)가 백사이드 표면(backside surface; 501)으로부터 기판(10)의 어닐링 영역(12)으로 상당한 양의 에너지를 전달하도록 적응되는 일 실시예를 나타내는 처리 챔버의 영역의 단면도이다. 일 양상에서, 기판의 하나 또는 그 초과의 소정 영역들, 가령 어닐링 영역(12)이 임의의 주어진 시간에 에너지 소스(20)로부터의 방사선에 노출된다. 일 양상에서, 기판의 원하는 영역들의 우선적 용융을 일으키기 위하여 기판(10)의 다중 구역들이 에너지 소스(20)로부터 백사이드 표면(501)을 통해 전달되는 원하는 양의 에너지에 순차적으로 노출된다. 일 양상에서, 어닐링 영역(12)은 기판(10)의 상부 표면(502) 상에 형성되는 다이(가령, 도 1a의 항목 #13), 또는 반도체 디바이스들의 크기와 매칭되는 크기를 갖는다. 일 양상에서, 어닐링 영역(12)의 경계는 각각의 다이의 경계를 정의하는 "커프(kerf)" 또는 "스크라이브(scribe)" 선들 안에 맞도록 정렬되고 크기를 갖는다. 따라서, 에너지 소스(20)로부터의 에너지에 대한 노출의 변하는 양으로 인한 프로세스 변화의 양은 최소화되는데, 이는 순차적으로 배치된 어닐링 영역들(12) 사이의 임의의 중첩이 최소화될 수 있기 때문이다. 일 예에서, 어닐링 영역(12)은 크기가 약 22mm × 약 33mm인 직사각형 영역이다.
일 실시예에서, 기판(10)의 백사이드 표면(501)이 에너지 소스(20)로부터 전달되는 에너지를 수용할 수 있게 하는 개구(512)를 구비하는 기판 지지부(512)상에 형성된 기판 지지 영역(511)에 기판(10)이 위치된다. 방사선을 기판(10)의 백사이드로 지향시키기 위한 필요성은 지지부(510) 내에 개구를 필요하게 만든다. 본원 발명의 다른 실시예들은, 링형 기판 지지부를 요하지 않는다. 도 5를 참조하면, 에너지 소스(20)로부터 방출되는 방사선("B")은 방출된 에너지의 일부를 흡수하도록 적응된 영역들(503)을 가열한다. 에너지 소스(20)는 기판 표면의 특정 원하는 영역들을 우선적으로 용융시키도록 전자기 에너지를 전달하도록 적응될 수 있다. 이 실시예에 대하여, 전형적인 전자기 에너지의 소스들은 이에 제한되지 않지만, 광 방사선 소스(가령, 레이저) 및/또는 마이크로파, 적외선, 근적외선, 또는 UV 에너지 소스를 포함한다. 일 양상에서, 기판(10)은 원하는 시간 기간 동안 하나 또는 그 초과의 적절한 파장들에서 방사선을 방출하는 레이저로부터의 에너지의 펄스에 노출된다. 일 양상에서, 에너지 소스(20)로부터의 에너지의 펄스는 재단되어 어닐링 영역(12)을 가로질러 전달되는 에너지의 양 및/또는 펄스의 기간 동안 전달되는 에너지의 양이 특정 구역들의 원하는 열 처리를 획득하기 위해 최적화된다. 일 양상에서, 레이저의 파장은 튜닝되어 방사선의 상당한 부분이 기판(10)에 배치된 실리콘층에 의해 흡수된다. 실리콘 함유 기판에서 수행되는 레이저 어닐링 프로세스들에 대하여, 방사선의 파장은 전형적으로 약 900 nm보다 크지만, 원 자외선(UV), 적외선(IR) 또는 다른 바람직한 파장들에서 전달될 수 있다. 어느 경우에나, 어닐링 프로세스는 일반적으로 대략 약 1초 또는 그 미만과 같은 비교적 짧은 시간 동안 기판의 주어진 영역에서 발생한다.
일 양상에서, 기판을 형성하는 벌크 물질이 입사하는 방출된 방사선의 노출에 의해 가열될 상부 표면(502) 근처의 구역들보다 입사하는 방사선에 더욱 투명하도록, 에너지 소스(20)로부터의 방출된 방사선의 파장이 선택된다. 일 양상에서, 가열될 영역들은 기판의 백사이드를 통해 전달되는 에너지의 양을 흡수하는 물질, 가령 도펀트 물질 또는 주입 프로세스 동안 생성된 결정 손상(가령, 결정 결함들, 프렌켈 결함들, 간격들(vacancies))을 갖는 물질을 포함한다. 일반적으로, 도펀트 물질들은 붕소, 인, 또는 반도체 프로세싱에 사용되는 다른 일반적으로 사용되는 도펀트 물질일 수 있다. 일 실시예에서, 기판을 형성하는 벌크 물질은 실리콘 함유 물질이고 방출된 방사선의 파장은 약 1 마이크로미터보다 크다. 다른 양상에서, 에너지 소스(20)는 9.4 및 10.6 마이크로미터 주변에 집중된 주 파장 대역들을 방출하도록 적응된 CO2 레이저를 포함한다. 또 다른 양상에서, 에너지 소스(20)는 일반적으로 약 750 nm 내지 약 1 mm의 적외선 영역에서의 파장들을 전달하도록 적응된다.
일 실시예에서, 흡수 코팅(비도시)은 기판(10)상의 어닐링 영역(12) 상부에 배치되어, 기판의 뒤(back)를 통해 전달되는 입사 방사선은 이것이 기판을 통해 지나가기 전에 흡수될 수 있다. 일 양상에서, 흡수 코팅은, 티타늄, 질화 티타늄, 탄탈, 또는 다른 적절한 금속 물질과 같은 금속이다. 또 다른 양상에서, 흡수 코팅은 탄화규소 물질, 비정질 탄소 물질 또는 도핑된 다이아몬드형 탄소와 같은 탄소 함유 물질, 또는 반도체 디바이스 제조에 일반적으로 사용되는 다른 적절한 물질이다.
일 실시예에서, 광의 두 파장들은 기판의 원하는 영역들로 전달되어, 광의 제 1 파장은 도펀트들로부터 기판에 있는 자유 반송파들(가령, 전자들 또는 정공들) 또는 원하는 어닐링 영역들에서 발견되는 다른 이온화 결정 손상을 생성하도록 사용되어, 생성된 자유 반송파들은 제 2 파장에서 기판의 뒤를 통해 전달되는 에너지를 흡수할 것이다. 일 양상에서, 제 1 파장은 "녹색 광"의 파장(가령, 약 490 nm 내지 약 570 nm) 및/또는 더 짧은 파장들이다. 일 실시예에서, 제 1 파장은 제 2 소스(520)로부터 기판의 원하는 영역으로 바람직한 전력 밀도(W/cm2)로 전달되며, 제 2 소스(520)는 도 5에 도시된 에너지 소스(20)로부터 기판의 맞은편에 있다. 또 다른 실시예에서, 두 파장들(가령, 제 1 및 제 2 파장들)은 에너지 소스(20)로부터 기판의 백사이드를 통해 전달된다. 또 다른 실시예에서, 바람직한 전력 밀도(W/cm2)의 두 파장들(가령, 제 1 및 제 2 파장들)은 전자기 에너지의 두 개의 개별 소스들(비도시)로부터 기판의 백사이드를 통해 전달된다.
펄스 트레인 어닐링
차세대 디바이스 제조의 도전들을 언급하기 위하여, 전자기 방사선의 다수의 펄스들을 사용하는 어닐링 프로세스, 즉 펄스 트레인 어닐링이 일부 프로세스들에서 유용하다. 전자기 방사선의 다수의 동일한 펄스들이 기판으로 전달되며, 각각의 펄스는 기판 표면의 수 개의 원자층들을 서브 용융 온도(submelt temperature), 가령 실리콘 기판에 대하여 약 1300℃로, 1 밀리초(msec) 또는 그 미만으로 가열하는 단일의 마이크로 어닐링 프로세스를 완수한 후, 나누어진 에너지가 결정 격자 내에서 완전히 방산되어 영향을 받은 격자 층들의 온도는 제어된 예열 온도 근처의 낮은 온도로 돌아올 수 있게 한다. 예열 온도는 기판이 제 1 펄스의 전달 바로 이전에서 유지되는 온도이며, 약 400℃ 내지 약 800℃일 수 있다. 각각의 마이크로 어닐링 사이클에서, 결정 격자에 구속되지 않은 실리콘과 도펀트 원자들은 원자 반경의 이동된 부분들이다. 격자에 구속된 것들은 전달된 펄스로부터 충분한 에너지를 수용하지 않기 때문에 일반적으로 이동하지 않을 것이다. 이러한 방식으로, 각각의 마이크로-어닐링 사이클은 개별적인 격자간(interstitial) 원자들 및 도펀트 원자들을 원하는 격자 위치들로 이동시킨다. 격자간 원자들 또는 도펀트들이 격자 위치들을 채움에 따라, 그렇게 로케이팅(loacate)되지 않은 다른 격자간 원자들 또는 도펀트들은 결정 격자 내에 바람직한 위치를 발견할 때까지 기판을 통해 확산한다. 이러한 방식으로, 펄스 트레인 어닐링(이하에서 "PTA")은 결정 격자 내에 격자간 원자들 또는 도펀트들의 원자 위치들을 제어하고, 오버-확산을 유도하지 않고 이전 프로세싱 단계들(예를 들어, 주입 프로세스들) 동안에 형성되는 격자 결함들을 제어가능하게 보수하는데 사용될 수 있다. 따라서, PTA는 원자 길이 스케일들에서 반도체 디바이스 내에 원자들의 운동을 제어하는데 사용될 수 있는 프로세스이다.
도 6a는 본 발명의 일 실시예에 따른 프로세스를 나타내는 흐름도이다. 도 6b 내지 6d는 프로세스(600)의 다양한 스테이지들에서 타겟 기판의 특성들을 나타낸다. 일 실시예에서, 기판은 기판 표면으로 다수의 전자기 에너지 펄스들을 전달함으로써 어닐링될 수 있으며, 각각의 펄스는 기판의 적어도 일부분상에서 마이크로-어닐링 프로세스를 수행하도록 구성된다. 에너지 방출은 레이저들, 플래시 램프들, 및 UV 및 마이크로파 소스들을 포함하는 전술한 소스들의 임의의 수집에 의해 발생될 수 있다. 몇몇 실시예들에서, 에너지 방출들은 전술한 바와 같이 짧은 지속기간 펄스들의 형태를 취하고, 각각의 펄스의 지속기간은 약 1 nsec 내지 약 10 msec의 범위이다. 각각의 펄스는 일반적으로 약 10 milliWatts(mW) 내지 10 W와 같은, 적어도 10 mW의 전력 레벨에서 약 0.2 J/cm2 내지 약 100 J/cm2의 에너지 밀도를 전달할 것이다. 일 실시예에서, 예를 들어, 각각의 펄스에 의해 전달되는 에너지 밀도는 약 0.5 J/cm2이다. 펄스들에 대하여 사용되는 광의 파장은 기판의 결정 격자에서 원자들의 최적 운동을 야기하도록 선택된다. 본 발명의 몇몇 실시예들에서, 에너지 펄스들은 적외선 스펙트럼 내의 파장들에서 전달된다. 다른 실시예들은 UV 스펙트럼 내의 광의 펄스들을 사용하거나 또는 상이한 스펙트럼들로부터의 파장들을 결합한다.
이론에 구속되도록 의도하는 것은 아니지만, PTA는 다수의 전자기 방사선 펄스들을 전달함으로써 기판 내의 원자들의 운동의 원자 레벨 제어를 허용하는 것으로 여겨지며, 각각의 펄스는 완전한 마이크로-어닐링 사이클을 실행한다. 기판 표면으로 전달되거나 기판 표면에 의해 흡수되는 전자기 방사선의 각각의 펄스는 기판 표면에 있거나 그 근처에 있는 원자들로 에너지를 제공한다. 전달된 에너지는 원자들의 운동을 유도하며, 그들 중 일부는 격자 내의 위치를 변화시킨다. 그것이 원자들을 재위치시키든 아니든간에, 입사(incident) 에너지는 가령 측면으로 기판 표면을 가로질러, 그리고 기판내로 수직적으로와 같이 모든 방향으로 기판 물질을 통하여 전달된다. 각각의 펄스에서 전달되는 에너지는 일반적으로 가령 음향(예를 들어, 사운드) 검출기와 같은 검출기에 의해, 또는 기판을 통해 전파하는 에너지의 파동들의 특성들을 검출하도록 구성되는 광음향(photoacoustic) 검출기에 의해 검출될 수 있는 음향 파를 생성한다. 검출된 특성들은 진폭, 주파수, 및 위상을 포함할 수 있다. 신호의 퓨리에 분석은 피드백 제어를 위해 사용될 수 있는 고온 측정(pyrometry)과 유사한 모니터링 프로세스를 산출할 수 있다. 원(raw) 신호는 도 1a 및 도 1b의 제어기(21)와 같은 제어기에 제공될 수 있으며, 이는 기판에 전달된 에너지를 조절하기 위하여 제어 신호를 발생시키도록 구성될 수 있다. 제어기는 각각의 펄스에 대한 전력 입력 또는 펄스들의 주파수 또는 지속기간을 조절할 수 있다.
본 발명의 실시예들은 기판의 표면으로 전자기 방사선의 펄스들을 전함으로써 결정 격자 내에 개별적인 원자들의 작은 운동들을 우선적으로 야기하기 위한 방법들을 제공한다. 상기 논의된 바와 같이, 방사선은 기판 표면의 영역들로 전달될 수 있거나 또는 기판의 전체 표면으로 한번에 전달될 수 있다. 방사선의 세기 및 파장은 결정 격자 내의 개별 원자들을 목표로 정하도록 선택될 수 있다. 예를 들어, 도핑된 단일 결정 실리콘 기판은 격자간 사이트(site)들에 또는 결정 격자 사이트들에 위치되는 몇몇 도펀트 원자들을 갖는 대부분의 실리콘 원자들의 결정 격자를 가질 것이다. 몇몇 경우들에서, 도펀트들을 주입하는 프로세스로부터의 결정 손상의 농도 뿐 아니라 도펀트들의 농도는 초과될 수 있다. 일 실시예에서, 전자기 방사선의 펄스는 도펀트들 및 결정 손상의 국부적 농도 변화들을 보정하기 위하여 격자의 한 평면으로부터 다른 평면으로 도펀트 원자들의 점증적(incremental) 운동을 야기하도록 설계될 수 있다. 세기 및 파장은 원하는 운동량 및 도펀트 원자들의 깊이에 따라 튜닝될 수 있다. 사용된 에너지의 파장들은 일반적으로 마이크로파(예를 들어, 약 3 cm)에서 가시 파장들을 통해 원자외선(예를 들어, 약 150 nm)까지의 범위일 수 있다. 약 800 nm 미만의 파장과 같은 약 300 nm 내지 약 1100 nm 범위의 파장들은 예를 들어 레이저 애플리케이션들에서 사용될 수 있다. 더 긴 파장들의 효과는 기판의 표면을 조명하는 녹색 광을 포함하는 캐리어 방사선을 제공함으로써 향상될 수 있다. 전자기 방사선의 펄스는 또한 유사한 방식으로 기판 표면상에 형성되는 실리콘 격자 내에 실리콘 원자들의 점증적 운동을 야기하도록 설계될 수 있다. 그러한 방사선의 다중 펄스들을 전달하는 것은 전달된 펄스들의 개수에 따른 정도로 원자들의 제어가능한 운동을 초래한다. 따라서, 표면 손상 및 엔드-오브-레인지(end-of-range) 손상과 같은 주입 프로세스들로부터의 결정 격자 손상을 선택적으로 보수하는 것이 가능하며, 격자 내의 도펀트 원자들의 국부적 농도 및 분포를 선택적으로 조절하는 것이 가능하다.
단계(602)에서, 레이저 또는 플래시 램프 방출들과 같은 전자기 방사선의 펄스들은 기판을 조사(irradiate)하는데 사용될 수 있다. 펄스들은 10 nsec 내지 약 20 msec의 지속기간을 가질 수 있다. 기판 표면에 충돌하는 각각의 펄스는 기판을 통해 전파하는, 결정 격자에서의 진동을 생성할 것이다. 펄스들 사이의 간격이 충분히 길다면, 진동 에너지는 결정 격자 내에서 방산되고, 열로써 방사된다. 기판 표면으로 약 0.2 J/cm2 내지 약 100 J/cm2의 에너지로 전달되는 펄스에 의해 결정 격자로 전해진 진동 에너지는 열로서 방산되고, 펄스의 종료 이후 약 1 마이크로초(μsec) 이내에 방사될 것이다. 펄스들 사이의 간격이 개별 펄스들에 의해 전달되는 열을 방산하는데 요구되는 시간보다 짧다면, 격자에서 열이 생성되며, 격자의 온도는 상승한다. 이러한 조건은 기판이 그것의 용융점 미만의 온도로, 그러나 격자 원자들의 확산 및 재배열을 허용하기에 충분히 높은 온도로 가열되는 표준 급속 열 어닐링 또는 스파이크 어닐링에 가까워진다. 통상의 열적 어닐링 프로세스들은 원하는 확산 길이가 단지 수 나노미터와 같이 매우 작을 때, 원자들의 평균 확산 길이를 제어하기 위하여 노력한다. 현재의 통상적 급속 열적 어닐링(RTA) 시스템들은 약 0.25초보다 큰 기간들에 걸쳐 단지 에너지들을 전달할 수 있는 지원 회로 및 램프들을 사용한다. 열적 통신 시간, 또는 기판의 전면(front surface)으로부터 후면(back surface)으로 확산하기 위하여 가열하는데 걸리는 시간은 대략 20 msec 정도이다. 따라서, 통상의 RTA 챔버들은 45 nm 또는 32 nm 노드 디바이스들 또는 더 작은 디바이스들을 위한 확산 프로세스들을 적절히 제어할 수 없는데, 이는 전달된 에너지가 전체 기판을 가열하여 기판의 모든 구역들 내에 도펀트들 및 다른 원자들의 원치 않는 확산을 야기하기 때문이다. 또한, 전달된 펄스들 사이의 간격이 충분히 길다면, 각각의 펄스의 부가적인 효과들은 기판의 온도가 상승하게 하지 않을 것이며, 따라서, 펄스 지속기간 및 세기에 의존하여, 기판 표면 바로 아래의, 예를 들어, 기판 아래에 약 100 옹스트롬까지 또는 그 초과까지의 구역들로 각각의 펄스의 열적 효과들은 국부화될 것이다. 각각의 펄스가 동일한 에너지를 전달하는 것이 바람직함에도 불구하고, 몇몇 실시예들에서, 예를 들어, 원하는 패턴들로 램핑 업 또는 램핑 다운시키는 것과 같은 미리 결정된 레시피에 따라 변화하는 에너지를 갖는 펄스들을 전달하는 것이 바람직할 수 있다.
몇몇 실시예들에서, 기판 표면에 에너지가 전달되지 않는 1 msec 또는 그 초과의 간격들(예를 들어, "휴지(rest)" 기간)이 10 nsec의 펄스들에 후속될 수 있다. 도 10에 도시된 바와 같이, 일 실시예에서, 전자기 에너지의 단일 펄스, 즉, 크기(E1) 및 지속기간(t1)을 갖는 펄스(1001)가 기판 표면에 전달되고, 다음 펄스(1001)가 수신되기 이전에 기판의 표면에 에너지가 전달되지 않는 지속기간(t2)을 갖는 "휴지"기간(1002)이 상기 펄스(1001)에 후속되는, 일련의 펄스들(1000)이 전달되는 것이 바람직하다. 일 실시예에서, 지속기간(t1)은 약 1 msec 내지 약 10 msec이며, 지속기간(t2)는 약 1 ms 내지 20 ms이다. 일 실시예에서, 어닐링 프로세스 동안에 전달되는 각각의 펄스(1001)는 동일한 펄스 지속기간에 걸쳐 동일한 전체 에너지량을 전달한다. 도 10을 참조하면, 에너지의 단일 펄스들(1001)이 구형파 펄스들로서 도시되는데 반해, 이러한 형상은 본원에 개시된 본 발명의 범위를 제한하는 것으로 의도되는 것이 아니며, 이는 전달되는 에너지의 형상이 삼각형 형상, 가우시안 형상, 또는 임의의 다른 적절한 형상일 수 있기 때문이다.
온도, 또는 온도 그래디언트(gradient)들의 전통적인 정의는, 짧은 에너지 펄스들에 의해 영향을 받는 적은 개수의 격자 평면들 또는 원자들로 인하여 45 nm 및 32 nm 디바이스 노드들에 대한 원하는 어닐링 깊이들에서 그들의 의미를 상실하는 것을 유념해야만 한다. 본 발명에 따른 전자기 방사선 펄스들이 가해지는 기판 표면 근처의 국부적 온도는 결정 격자에서 적은 개수의 원자들의 진동에 의해 구현될 때, 300-1400℃로 순간적으로 상승될 수 있는 것으로 여겨진다. 다른 실시예들에서, 플래시 램프들로부터의 광 펄스들이 사용될 수 있는데, 여기서 약 0.2 J/cm2 내지 약 100 J/cm2의 에너지 펄스들이 약 10 nsec 내지 약 10 msec 기간에 걸쳐 전달될 수 있다.
도 6b는 도핑된 영역(113)을 갖는 기판을 도시한다. 주입에 즉시 뒤따라, 그리고 어닐링 이전에, 도핑된 영역(113)은 도펀트 원자들 또는 이온들(650)의 주입된 층을 갖는다. 이러한 층은 이온들의 주입 프로세스에 의해 생성되며, 이온들의 주입 프로세스는 일반적으로 결정 격자 내에 원자들의 분포를 생성하며, 기판 표면 근처에서 가장 높은 원자 농도를 가지며, 기판 속으로 깊어질수록 농도는 더 낮아진다. 층(650)은 영역(113) 내에 가장 높은 도펀트 농도가 존재하는 곳(locality)을 나타낸다. 영역(113)이 주입 이전에 비정질화되었다면, 주입층(650) 바로 위 또는 아래의 영역(113)의 층들은 여전히 비정질일 수 있다. 영역(113)이 주입 이전에 비정질화되지 않았다면, 주입층(650) 바로 아래의 영역(113)의 층은 실질적으로 정렬된 결정 격자일 수 있는데 반하여, 주입층(650) 바로 위의 영역(113)의 층은 결정 격자 구조물을 통한 도펀트 원자들의 강제적인 통과에 의해 발생되는 다수의 결정 결함들을 보일 것이다. 어느 경우에나, 어닐링의 목적은 영역(113)의 결정 구조물을 재정렬시키고, 결정 격자의 규칙적인 위치들에서 영역(113) 도처에 도펀트 원자들을 분배하며, 영역(113)의 격자 구조물을 재결정화 또는 정렬시키는 것이다. 이러한 어닐링은 도펀트 원자들을 활성화시키고, 영역(113)에 전자들 또는 정공들을 적절히 공급하며, 격자 결함들로부터 영역(113)의 저항성을 감소시킨다.
몇몇 실시예들에서, 다수의 펄스들이 결정 격자 내에 원하는 효과들을 달성하는데 사용된다. 10부터 100,000까지 넘버링되는 다수의 펄스들은 대략 단일 격자 평면 또는 대략 하나의 원자 거리로부터 다수의 격자 평면들 또는 다수의 원자 거리들까지의 범위인 원자들의 운동을 생성하는데 사용될 수 있다. 일 실시예에서, 약 30 내지 약 100,000 펄스들과 같은 적어도 30개 펄스들이 기판을 어닐링하는데 사용된다. 다른 실시예에서, 약 50 내지 약 100,000 펄스들과 같은 적어도 50개 펄스들이 기판을 어닐링하는데 사용된다. 다른 실시예에서, 약 70 내지 약 100,000 펄스들과 같은 적어도 70개 펄스들이 기판을 어닐링하는데 사용된다. 다른 실시예에서, 약 100 내지 약 100,000 펄스들과 같은 적어도 100개 펄스들이 기판을 어닐링하는데 사용된다. 다른 실시예에서, 약 50,000개 펄스들과 같은, 약 10,000 내지 약 70,000 펄스들이 기판을 어닐링하는데 사용된다. 펄스들의 개수는 일반적으로 약 100,000개 미만일 수 있는데, 이는 어닐링 프로세스가 종료점에 도달할 것이기 때문이며, 종료점을 지나서는 추가의 어닐링이 달성되지 않는다. 상기 논의된 바와 같이, 각각의 펄스는 완전한 마이크로-어닐링 사이클을 달성한다. 각각의 펄스는 개별 격자 평면들의 분리 거리 미만인 거리만큼의 몇몇 도펀트들 또는 실리콘 원자들의 운동을 야기하는데 충분히 에너제틱(energetic)할 뿐이라서, 약간의 점증적 활성화 또는 결정 복구를 초래한다. 펄스 에너지가 기판 내에서 완전히 방산하도록 허용하는 것은 다음 펄스의 인가 이전에 운동을 동결(freeze)시킨다. 이러한 방식으로 펄스들의 개수를 조절하는 것은 결정 격자 내에 원자들의 확산 및 재배열의 제어를 허용한다.
기판 표면상으로의 입사 전자기 방사선의 효과는 격자내의 원자들로 운동 에너지를 전하는 것인데, 이는 기판을 통해 전달된다. 격자 진동의 음향 결과를 검출함으로써 기판에 대한 방사선의 효과를 모니터링하기 위한 본 발명의 다른 실시예가 제공된다. 도 6c 및 도 6a의 단계(604)는 기판의 음향 응답을 모니터링하는 단계를 나타내며, 상기 음향 응답은 기판(100)으로부터 방사되는 음파(sound wave)들(652)에 의해 표현된다. 음향 응답은 기판에 진동 에너지가 흡수되고 있는 정도를 표시하며, 이는 격자간 위치되는 원자들 및 도펀트의 운동에 관한 몇몇 정보를 제공한다. 격자 정렬이 증가하고, 격자 결함들이 감소하며, 원자 재분배가 감소함에 따라, 기판의 음향 응답은 더 많은 에너지를 운반하는 입사 에너지를 흡수하는 경향으로부터 변화할 수 있다. 이러한 방식으로, 단계(606)에서와 같이, 종료점이 검출될 수 있으며, 종료점을 지나서는 어닐링이 거의 발생하지 않는다. 일 실시예에서, 음향 검출기(654)는 전자기 방사선 펄스들이 격자에서 음향 파들을 생성함에 따라 기판의 음향 응답의 사운드를 측정하기 위하여 처리 챔버 내에 배치된다. 이러한 경우에, 음향 검출기(654)는 기판의 표면에 인접하게 위치될 수 있어, 에너지의 전자기 펄스의 운반에 의해 생성되는 음향 파들을 검출할 수 있다.
다른 실시예에서, 광음향 검출기는 도 6e에 개략적으로 나타내어진 바와 같이, 기판 표면으로부터 반사된 광 빔상의 입사 전자기 펄스들에 의해 유도되는 음향 파들을 측정하기 위하여 챔버 내에 배치될 수 있다. 몇몇 실시예들에서, 음향 응답은 펄스들이 전달되는 기판의 동일한 표면으로부터 측정될 수 있으며, 몇몇 실시예들에서, 그것은 기판이 웨이퍼라면 대향 측면과 같은 기판의 상이한 표면상에서 측정될 수 있다. 도 6e는 전자기 에너지의 펄스들이 일 실시예에 따라 기판 표면으로 전달됨에 따라 기판(100)상의 음향 응답을 검출하는데 사용되는 광음향 검출기를 도시한다. 소스(656)는 기판(100)의 디바이스 측면 쪽으로 저-전력 전자기 에너지(660A)를 지향시키며, 검출기(658)는 반사된 방사선(660B)을 수신한다. 기판(100)에 의해 수신되는 전자기 펄스들은 기판(100)의 표면의 짧은 지속기간 변위(displacement)들을 초래할 것이며, 결국 반사된 에너지(660B)에 영향을 미칠 것이다. 이러한 반사된 광은 그 후 검출기(658)에 의해 검출되며, 어닐링이 진행됨에 따라 수신된 에너지에 대한 기판(100)의 응답의 변화량을 모니터링하기 위하여 분석될 수 있다. 결정 구조가 변화함에 따라, 기판의 음향 응답은 변화할 것이며, 종료점은 도 6a의 단계(606)에서와 같이 검출될 수 있다. 도 6f는 기판의 백 사이드로부터의 음향 효과들을 모니터링하는 광음향 검출기의 대안적인 실시예를 나타낸다. 검출기들은 임의의 표면 또는 측면 및 임의의 편리한 각도로부터 기판의 반사율, 투과율, 또는 흡수율의 변화들을 검출하도록 유사하게 전개될 수 있다.
다른 실시예들에서, 낮은 에너지 펄스들은 얼마나 많은 에너지가 원하는 격자 복구 및 도펀트 재구성을 달성하기 위하여 요구되는지를 결정하는 것을 돕기 위하여 처리전 프로세스 단계에서 사용될 수 있다. 이러한 프로세스 시퀀스가 도 7a 내지 7e에서 개시된다. 단계(702)에서, 낮은 에너지 펄스들이 도 7b에 도시된 바와 같이, 기판의 표면상으로 지향된다. 펄스들(750)은 기판(100)의 도핑된 영역(113)을 어닐링하기 위해 필요한 것보다 훨씬 낮은 세기일 수 있다. 펄스들(750)은 단계(704)에서와 같이 모니터링 및 기록될 수 있는, 기판에서의 음향 응답을 발생시킨다. 음향 검출기(752)는 도 7c에 나타내어진 바와 같이, 기판으로부터 음향 응답을 기록하도록 배치될 수 있다. 단계(706)에서 음향 응답의 분석은 아이템(754)에 의해 도 7c에 개략적으로 표현된 분석기에 의해 수행될 수 있다. 분석기(754)는 음향 신호들을 수신하고, 신호를 검토하여 분석하도록(즉, 신호들에서 의미 있는 패턴들을 하이라이트함) 구성되는 컴퓨터를 포함할 수 있고, 수신된 에너지가 원하는 범위 내에 있지 않다면 작동자에게 경고하거나 장래의 펄스들의 에너지를 제어하는 것과 같이 몇몇 출력을 제공할 수 있다. 펄스들(750)이 기판(100)을 어닐링하지 않음에도 불구하고, 음향 응답은 어닐링을 위해 요구되는 에너지 펄스들의 정확한 특성을 표시하는 검출가능한 피쳐들을 가질 것이다. 상기 논의된 바와 같이, 더 많은 결정 무질서, 또는 더 큰 깊이의 불규칙한 영역을 갖는 기판은 보다 많은 입사 에너지를 흡수하고 방산할 것이며, 보다 많은 결정 정렬을 갖는 기판은 보다 많은 입사 에너지를 투과할 수 있어, 상이한 음향 응답을 산출해낼 것이다. 분석은 원하는 결과들을 달성하기 위하여 단계(708)에서 전달될 펄스들(756)(도 7d)의 개수 및 최적의 세기를 밝혀낼 수 있다. 펄스들의 제2 그룹의 전달이 모니터링될 수 있으며(710), 종료점 검출에 의해 선택가능하게 달성될 수 있다(712). 도 6a 및 7a에서 종료점에 도달된 이후, 영역(113)은 최적으로 어닐링될 것이며, 주입층(650)은 도펀트들이 결정 격자로 통합됨에 따라 사라질 것이다.
플래시 램프 장치
도 8a는 본 발명의 일 실시예에 따른 장치를 나타낸다. 8각형 외측벽(802)을 갖는 몸체부(800)가 제공된다. 몸체부(800)의 제1 단부(810)는 기판 홀더(804)에 결합된다. 기판 홀더(804)는 기판들의 로딩 및 언로딩(unloading)을 허용하도록 구성되는 힌지된(hinged) 리드(lid)와, 또는 기판들을 교환하기 위한 측면 개구와 맞춰질 수 있으며, 리드 및 측면 개구 중 아무것도 도 8a 또는 8b에 도시되지 않는다. 기판들은 기판 홀더(804)를 사용하여 적소에 홀딩될 수 있으며, 이는 정전기 수단, 진공 수단, 클램프들, 베르누이 척킹(chucking), 공기 부양(air flotation), 핀 지지부, 또는 음향 수단에 의해 작동될 수 있고, 이들은 도시되지 않는다. 도 8을 참조하여, 반사 라이너(806)는 몸체부(800)의 외측벽(802)의 내측 표면상에 배치될 수 있다. 기판 홀더(804)는 바람직하게는 기판(808)의 최대 균일한 조사를 촉진시키기 위하여 몸체부(800)와 본질적으로 방사상 정렬의 위치에 기판(80)을 홀딩하도록 구성된다. 기판 홀더(804)는 볼록 또는 오목 만곡과 같은 변형된 배향들 또는 실질적으로 평면인 배향들을 포함하는 임의의 배향 또는 조건으로 기판(808)을 홀딩하도록 구성될 수 있다. 기판 홀더(804)는 또한 기판(808)의 벌크 온도를 제어하기 위하여 프로세싱 동안에 기판(808)으로 열적 에너지를 전달하도록 구성될 수 있다. 이러한 열적 에너지는 기판의 백사이드와 접촉하는 기판 홀더(804)의 표면을 가열 또는 냉각시킴으로써 전달될 수 있다. 가열 또는 냉각은 기판 홀더를 통해 가열 또는 냉각 유체들을 순환시키는 것과 같은 본 기술 분야에 잘 알려진 수단에 따라 달성될 수 있다. 배경 또는 벌크 열 에너지는 또한 열 램프들, 냉각 가스들 등과 같은 임의의 편리한 비-접촉 수단에 의해 전달될 수 있다. 예를 들어, 기판(808)은 정전기력 또는 공기압 또는 진공을 통해 적소에 홀딩될 수 있으며, 냉각 가스는 기판(808)을 위한 쿠션을 제공하므로, 기판(808)과 기판 홀더(804) 사이에 접촉이 없다. 개별적으로 또는 기판 홀더(804)와 결합하여, 기판(808)은 가령, 자기 결합 또는 기계적 회전을 통하여 회전성 에너지가 가해질 수 있다.
도 8a를 다시 참조하여, 방사선 어셈블리(812)는 몸체부(800)의 제2 단부(814)에 결합된다. 방사선 어셈블리(812)는 플래시 램프들로부터 몸체부(800)로 브로드-스펙트럼(broad-spectrum) 어닐링 전자기 에너지를 지향시키기 위한 방식으로 다수의 플래시 램프들을 수용하도록 구성된다. 도 8c를 참조하여, 회전 어셈블리(812)는 측면도로 도시되어, 홈통(trough) 반사기(818)에 수용되는 다수의 플래시 램프들(816)을 보인다. 홈통 반사기들(818)은 방사선 어셈블리(812)의 후면 표면(820)을 따라 정렬된다. 후면 표면(820)은 방사선 어셈블리(812)의 측벽들(824)로부터 연장되는 라인들이 만날 지점(822)에서 중심이 된 원의 아크(arc)에 가까워지도록 구성된다. 방사선 어셈블리(812)는 측벽들(824), 후면 표면(820), 및 홈통 반사기들(818)을 커버하는 반사 라이너(826)를 가질 수 있다. 방사선 어셈블리(812)는 또한 몸체부(800)를 통해 방사선 어셈블리(812)로부터 기판(808)위에 전자기 에너지를 지향시키기 위하여 렌즈 개구(830)에 배치되는 렌즈(828)를 가질 수 있다. 렌즈(828)는 단순하거나 평평하거나, 오목하거나, 볼록한 표면들을 갖는 결합물일 수 있다. 렌즈(828)는 또한 프레넬(Fresnel) 렌즈일 수 있으며, 그물 모양이거나, 점각 형상이거나, 다면적일 수 있다. 렌즈(828)는 방사선 어셈블리(812)의 렌즈 개구(830)와 몸체부(800)의 제2 단부(814) 사이의 접합부를 점유한다. 몇몇 실시예들에서, 하나 이상의 렌즈가 사용될 수 있다. 다른 실시예들에서, 방사선 어셈블리(812)는 플래시 박스일 수 있다.
도 8d는 렌즈 개구(830)(도 8c)를 통해 보여지는 방사선 어셈블리(812)를 나타낸다. 플래시 램프들(816) 및 홈통 반사기들(818)은 방사선 어셈블리(812)의 배면(820) 상에 보여질 수 있다. 이러한 투시도(perspective view)는 또한 배면(820)의 원형 아크 형상을 나타낸다. 도 8e는 본 발명의 일 실시예에 따른 플래시 램프 어셈블리 및 하나의 홈통 반사기의 등축도(isometric view)이다. 플래시 램프들(816)은 원통형 형상일 수 있으며, 홈통 반사기들(818) 내에 배치될 수 있다. 홈통 반사기들(818)은 산란을 통한 에너지 손실을 최소화하기 위하여 단면이 포물선형일 수 있다. 플래시 램프들(816)은 전극들(832)에 의해 전력 공급되며, 지지부들(850)에 의해 홈통 반사기들로부터 이격된다. 각각의 플래시 램프는 개별 전력 공급부에 의해 전력이 공급될 수 있거나, 또는 플래시 램프들의 뱅크(bank)들은 그룹화되어 단일 전력 공급부들에 의해 전력이 공급될 수 있다. 반사 라이너(826)는 홈통 반사기(818) 안으로 방출되는 광이 다시 렌즈(828) 쪽으로의 방사선 어셈블리(812) 안으로 반사되는 것을 용이하게 한다. 도 8f는 본 발명의 다른 실시예에 따른 홈통 반사기(852)의 등축도이다. 홈통 반사기(852)는 홈통의 중앙 아래의 릿지(ridge)(854)를 제외하고 일반적으로 도 8e의 홈통 반사기들(818)과 동일한 컴포넌트들을 특징으로 한다. 릿지는 플래시 램프(816)로부터 발산하는 광을 상기 램프로부터 이격되어 반사하면서 전송하도록 작용하여 임의의 반사된 광이 램프(816)를 통해 다시 이동하지 않는다. 일 실시예에서, 릿지(854)는 나선을 형성하여, 나선형 포물선 프로파일을 갖는 홈통 반사기(852)를 초래한다. 다른 실시예들에서, 홈통(852)은 반사된 광을 특정 방식으로 지향시키도록 구성되는 나선형 불규칙한(irregular) 프로파일을 가질 수 있다.
다시 도 8c를 참조하여, 플래시 램프들(816)에 전력을 공급하기 위하여 방사선 어셈블리(812)에 결합되는 전력 시스템이 도시된다. 충전 회로(836) 및 점화 회로(838)에 결합되는 캐패시터(834)가 도시된다. 캐패시터는 따라서 스위치들(840)을 사용하여 충전 및 방전될 수 있다. 캐패시터(834)를 충전하기 위한 전력 공급기(842)가 도시되며, 스위치들을 작동시키기 위한 제어기(844)가 도시된다. 스위치들(840)은 캐패시터(834)를 충전 및 방전시키기 위하여 제어기(844)에 의해 작동될 수 있다. 플래시 램프들(816)은 점화 리드들(848)에 의해 에너지가 공급된다. 점화 리드들(848)의 상이한 길이들이 플래시 램프들(816)로의 불균일한 전력 전달 및 비-최적 플래시 타이밍을 초래할 수 있기 때문에, 전력 분배기(846)를 통해 캐패시터(834)를 방전시키는 것이 유리할 수 있다. 전력 분배기(846)는 만약 원한다면, 점화 리드들(848)을 통해 플래시 램프들(816)로 전달되는 전력을 균등하게 한다. 간략화를 위하여, 상기 논의된 바와 같이, 그러한 다수의 회로들이 하나 또는 그 초과의 플래시 램프들(816)을 방전시키는데 사용될 수 있음에도 불구하고, 충전 및 점화 회로들의 단일 세트가 나타내어진다. 보다 많은 회로들을 사용하는 것은 플래시 램프들(816)을 위한 점화 패턴의 최적화를 용이하게 하며, 모든 램프를 항상 점화시키지 않고 장치의 동작을 허용함으로써 플래시 램프들의 유용한 수명을 연장시킨다. 유사하게, 다수의 캐패시터들은 더 큰 전기 전하들의 충전 및 방전을 허용하기 위하여 병렬로 사용될 수 있으며, 다수의 회로들이 부가적으로 플래시 램프들을 사용하여 펄스 트레인들을 발생시키기 위하여 이용될 수 있다. 마지막으로, 인덕터들(미도시)이 또한 플래시 램프들(816)을 통해 방전되는 전력 펄스의 형상을 튜닝하기 위하여 점화 회로에 선택적으로 포함될 수 있다. 낮은 전류에서 플래시 램프들을 예비-이온화하기 위한 회로들(미도시)은 방사선 어셈블리에서 플래시 램프들의 출력을 동조화시키는데 사용될 수 있다.
일 실시예에서, 다수의 플래시 램프들은 방사선 어셈블리(812)와 같은 방사선 어셈블리에 배치된다. 몇몇 실시예들에서, 다수의 플래시 램프들은 플래시 램프들의 두 개 뱅크들을 포함하며, 각각의 뱅크는 도 8d에 도시된 실시예와 유사하게 구성된다. 일 실시예에서, 다수의 플래시 램프들은 플래시 램프들의 두 개 뱅크들을 포함하며, 플래시 램프들의 각각의 뱅크는 18개의 플래시 램프들을 포함한다. 몇몇 실시예들에서, 다수의 플래시 램프들은 스태거링된(staggered) 구성을 갖는 뱅크들에 정렬될 수 있어, 한 램프로부터 도 8c의 렌즈(828)로 인출된 라인은 다른 램프를 침범하지 않는다. 다른 실시예들에서, 플래시 램프들은 조밀한(close-packed) 평면 선형 어레이를 포함할 수 있다. 플래시 램프들은 포물선 반사기 홈통들, 나선형 포물선 반사기 홈통들, 나선형 불규칙 반사기 홈통들, 또는 그들의 결합물에 배치될 수 있다. 다른 실시예들에서, 플래시 램프들의 두 개 또는 그 초과의 뱅크들이 사용될 수 있다.
도 9a는 플래시 램프 장치(900)의 대안적 실시예를 나타낸다. 몸체부(902)는 한 단부에서 기판 홀더(904)가 제공되고 그리고 다른 단부에서 방사 영역이 제공된다. 방사 영역(906)은 몸체부(902)의 내부 영역에 걸쳐 배치되는 플래시 램프들(908)을 특징으로 한다. 각각의 플래시 램프(908)는 몸체부(902)의 적어도 하나의 측면(예를 들어, 두 개가 도시됨)을 관통하도록 구성된다. 몸체부(902)는 단면이 6각형, 8각형, 사각형, 또는 임의의 유리한 형태일 수 있다. 플래시 램프들은 몸체부(902)의 측면들의 각각의 쌍에 대하여 하나가 배치될 수 있으며, 또는 하나 또는 그 초과의 플래시 램프들이 측면들의 각각의 쌍에 대해 배치될 수 있다. 플래시 램프들(908)은 몸체부 내에 공간 충돌을 방지하기 위하여 몸체부(902)의 길이를 따라 세로로 이격될 수 있다. 대안적으로, 플래시 램프들(908)은 이격 충돌들을 방지하도록 방사 영역(906)의 일부분만에 걸치도록 구성될 수 있다. 백킹 플레이트(backing plate)(910) 및 기판 홀더(904)는, 플래시 램프들(908)로부터의 에너지에 노출될 때, 기판 또는 장치 물질들과 원치 않는 반응들 또는 아킹(arcing)을 유발할 수 있는 대기 가스들의 침입을 방지하기 위하여 몸체부(902)에 밀봉가능하게 결합된다. 유사한 전력 회로들 및 기판 홀더들에는 도 8a 내지 8f에 나타난 바와 같은 이러한 대안적인 실시예가 제공될 수 있다. 도 9b는 장치(900)의 원근도를 도시한다. 기판 홀더(904)의 밀봉 부분은 플래시 램프들(908)의 내부 배치를 나타내기 위하여 제거된다. 상기 논의된 실시예에서와 같이, 몸체부(902)의 내부 표면, 백킹 플레이트(910), 및 기판 홀더(904)의 노출된 표면들은 반사 물질로 라이닝된다. 플래시 램프들(908)의 임의의 배치가 몸체부(902)에 에너지를 전달하는데 사용될 수 있다는 것을 유념해야 한다.
도 8a 내지 9b에 나타난 플래시 램프 장치들은 반사 라이너와 맞춰질 수 있는 임의의 유리한 물질로 구성될 수 있다. 예를 들어, 몸체부들(800 및 902)의 외측 표면들, 및 백킹 플레이트(910) 및 방사선 어셈블리(812)(홈통 반사기들(818)을 포함함)의 외측 표면들은 니켈과 같은 금속으로 구성될 수 있다. 그러한 엘리먼트들의 내측 표면들상에 배치되는 반사성 라이닝은 은과 같은 반사성 금속, 또는 클로로플루오로카본 중합체와 같은 반사성 중합체, 또는 유사한 물질일 수 있다. 벽들은 가압된 흐름 또는 자연적 대류를 이용하여, 그리고 냉각 핀(fin)들 없이 또는 냉각 핀들을 이용하여 유체 냉각될 수 있다. 추가로, 플래시 램프들은 또한 재킷(jacket)과 플래시 램프 사이의 환형(annular) 영역을 통해 가압된 흐름에 의해 유체 냉각될 수 있다. 플래시 램프 튜브들은 램프들에 의해 방사된 스펙트럼의 원치 않는 부분들을 제거하기 위하여 도핑될 수 있다. 예를 들어, 튜브들은 방출된 방사선으로부터 UV 컴포넌트들을 제거하기 위하여 Ce3+ 또는 Ce4+와 같은 세륨 이온들로 도핑될 수 있다.
작동시, 전자기 에너지가 이동하는 공간의 조성을 제어하는 것이 바람직할 수 있다. 높은 진공이 바람직하지만 유지하기가 어려울 수 있으며, 장치 안으로 대기 가스들의 누출을 초래할 수 있다. 은 내부 라이닝을 특징으로 삼는 실시예들에서, 대기 가스들에서 황 화합물들의 트레이스량들은 반사성 은 라이닝을 저하시킬 것이다. 대안적으로, 장치는 질소 또는 아르곤과 같은 비-반응성 가스로 충전될 수 있다. 그러한 가스는 가능한 한 많은 광원으로부터 에너지를 흡수하는 것을 방지하기 위하여 선택되어야만 한다. 부가적으로, 가스는 기판상의 물질들과 반응해서는 안 되며, 그것은 장치 내부의 아킹의 가능성을 최소화시키기 위하여 손쉽게 이온화해서는 안 된다. 장치로의 가스 전달을 특징으로 삼는 실시예들에서, 도면들에는 도시되지 않았으나, 가스 전달 시스템이 제공된다.
몇몇 실시예들에서, 결정 격자에서 더 많거나 더 적은 거대한(massive) 원자들을 자극(motivate)시키기 위하여 상이한 파장들의 광을 전달하는 것이 유리할 수 있다. 두 개의 레이저들로부터의 전자기 펄스들은 기판 격자에 대한 특정 조정들을 달성하기 위하여 유리할 수 있는 임의의 패턴으로 섞일(interwoven) 수 있다. 예를 들어, 펄스들은 교대될(alternated) 수 있으며, 또는 그룹들로 교대될 수 있다. 두 개의 상이한 레이저들로부터의 펄스들은 또한 기판의 상이한 영역들로 동시에 인가될 수 있다. 레이저들은 또한 임의의 유리한 배열로 플래시 램프들과 결합될 수 있다. 마이크로파로부터 적외선 및 가시선을 통하여 UV까지의 방사선의 파장들이 사용될 수 있다.
몇몇 실시예들에서, 소스들의 다수의 뱅크들을 사용하여 전자기 방사선을 전달하는 것이 유리할 수 있다. 일 실시예에서, 플래시 램프들의 두 개 뱅크들이 사용될 수 있다. 소스들의 다수의 뱅크들은 한번에 모든 소스들로부터 단일 펄스를 발생시키기 위하여 동시에 에너지 공급될 수 있거나, 또는 다수의 뱅크들은 임의의 유리한 패턴으로 에너지 공급될 수 있다. 예를 들어, 두 개의 소스들, 또는 소스들의 두 개의 뱅크들을 특징으로 삼는 실시예는 교차 패턴으로 두 개 소스들 또는 소스들의 두 개 뱅크들에 에너지를 공급하는 단계를 포함할 수 있다. 그러한 구성은 전력 공급 회로들의 충전 및 방전을 간략화시킬 수 있다.
실시예들
200 옹스트롬 접합층의 PTA 처리는 유용한 결과들을 산출하도록 기대될 것이다. 250eV의 에너지에서 1015개의 도펀트 원자들의 도즈(dose)의 주입 단계 이후에, 532 nm 레이저광의 1000 개 펄스들은 펄스들의 트레인에서 전달될 수 있다. 각각의 펄스는 약 1 msec의 지속기간, .3 J/cm2의 에너지 밀도를 전달하고, 30 msec의 휴지 지속기간에 의해 분리되며, 어닐링 단계 이후의 접합부의 시트(sheet) 저항률은 약 400 Ω/cm2 미만인 것으로 기대된다. 500 eV의 주입 에너지를 이용한 동일한 사례는 어닐링 단계 이후에 일반적으로 200 Ω/cm2 미만의 시트 저항률을 달성하는 것으로 기대된다.
예를 들어, 250 eV의 에너지에서 옥타데카보란(octadecaborane) 전구체로부터의 2×1015개의 붕소 원자들의 도즈를 이용한 주입 단계 이후에, PTA 처리는 30 20-nsec, 초당 5 펄스들로 기판에 전달되는 532 nm 레이저 광의 펄스들로 수행되며, 각각의 펄스는 .234 J/cm2의 밀도에서 에너지의 대략 150밀리줄(mJ)을 운반하여, PTA 처리에 후속하는 537 Ω/cm2의 저항률을 초래한다. 1,000 펄스들 이후에 저항률은 428 Ω/cm2로 도핑되고, 38,100 펄스들 이후에, 401 Ω/cm2로 도핑된다. 각각의 펄스들이 .258 J/cm2 의 밀도에서 대략 165 mJ의 에너지를 전달하는 펄스들을 사용하는 유사한 어닐링 프로세스는 30 펄스들 이후에 461 Ω/cm2의 저항률을, 1,000 펄스들 이후에 391 Ω/cm2의 저항률을, 그리고 100,000 펄스들 이후에 333 Ω/cm2의 저항률을 달성했다.
전술한 내용은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 그리고 추가적 실시예들이 본 발명의 기본적인 범위를 벗어나지 않고 고안될 수 있다. 예를 들어, 비록 전술한 설명이 일반적으로 반도체 기판들을 수반하지만, 광자 기판들과 같은 다른 타입의 기판들이 이러한 장치 및 방법들을 사용하여 프로세싱될 수 있다.

Claims (15)

  1. 기판을 처리하는 방법으로서,
    기판 지지부상에 상기 기판을 배치하는 단계; 및
    상기 기판 표면의 연속적인 처리 영역들을 전자기 에너지의 펄스들에 노출시키는 단계
    를 포함하며, 각각의 펄스는 상기 처리 영역을 용융시키는데 요구되는 것 미만의 에너지를 갖고, 상기 펄스들은 다음 펄스가 도달하기 전에 상기 기판 내에 각각의 펄스의 에너지를 방산하도록 선택되는 지속 기간만큼 분리되는, 기판을 처리하는 방법.
  2. 제1항에 있어서,
    각각의 펄스는 100 nsec 미만의 지속 기간을 갖는, 기판을 처리하는 방법.
  3. 제1항에 있어서,
    각각의 처리 영역은 적어도 30개의 펄스들에 노출되는, 기판을 처리하는 방법.
  4. 제1항에 있어서,
    각각의 처리 영역은 적어도 100개의 펄스들에 노출되는, 기판을 처리하는 방법.
  5. 제1항에 있어서,
    상기 전자기 에너지의 펄스들은 스위치를 갖는 레이저 소스에 의하여 형성되는, 기판을 처리하는 방법.
  6. 제1항에 있어서,
    상기 전자기 에너지의 펄스들은 복수의 레이저 소스들로부터의 방사를 결합함으로써 형성되며, 각각의 소스는 스위치를 갖는, 기판을 처리하는 방법.
  7. 제1항에 있어서,
    각각의 처리 영역은 직사각 형태를 갖고, 각각의 펄스의 에너지는 상기 처리 영역을 커버하는, 기판을 처리하는 방법.
  8. 기판을 열적으로 처리하는 방법으로서,
    복수의 제1 레이저 펄스들로부터의 펄스들을 결합함으로써 복수의 제2 레이저 펄스들을 형성하는 단계;
    복수의 제3 레이저 펄스들을 생성하기 위하여 상기 복수의 제2 레이저 펄스들의 각각의 펄스의 시간 프로파일을 재단(tailoring)하는 단계; 및
    상기 기판의 연속적인 처리 영역들을 상기 복수의 제3 레이저 펄스들에 노출시키는 단계를 포함하며,
    상기 복수의 제3 레이저 펄스들의 각각의 펄스는, 상기 복수의 제3 레이저 펄스들의 다음 펄스가 도달하기 전에 상기 기판 내의 상기 복수의 제3 레이저 펄스들의 각각의 펄스의 에너지를 방산하도록 선택되는 지속 기간만큼 분리되는,
    기판을 열적으로 처리하는 방법.
  9. 제8항에 있어서,
    상기 복수의 제1 레이저 펄스들은 복수의 레이저 소스들을 사용하여 형성되는, 기판을 열적으로 처리하는 방법.
  10. 제8항에 있어서,
    상기 복수의 제2 레이저 펄스들의 각각의 펄스의 시간 프로파일을 재단하는 단계는 상기 복수의 제1 레이저 펄스들의 연속 펄스들 사이의 시간 기간을 조정하는 단계를 포함하는, 기판을 열적으로 처리하는 방법.
  11. 제9항에 있어서,
    상기 레이저 소스들은 상이한 파장들에서 작동하는, 기판을 열적으로 처리하는 방법.
  12. 제9항에 있어서,
    상기 복수의 제1 레이저 펄스들, 상기 복수의 제2 레이저 펄스들, 상기 복수의 제3 레이저 펄스들 중 적어도 하나는 편향(polarize)되는, 기판을 열적으로 처리하는 방법.
  13. 제8항 또는 제10항에 있어서,
    적어도 30개의 펄스들이 상기 기판의 일부 쪽으로 지향되는, 기판을 열적으로 처리하는 방법.
  14. 제8항에 있어서,
    상기 기판을 이동시키는 단계; 및
    펄스들을 형성하는 단계, 펄스들을 재단하는 단계, 및 펄스들을 상기 기판의 다른 부분 쪽으로 지향시키는 단계를 반복하는 단계
    를 더 포함하는, 기판을 열적으로 처리하는 방법.
  15. 제1항에 있어서,
    각각의 에너지 펄스 사이의 상기 지속 기간은 1 msec 내지 20 msec 인,
    기판을 처리하는 방법.
KR1020110065027A 2007-11-08 2011-06-30 펄스 트레인 어닐링 방법 KR101449734B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US98655007P 2007-11-08 2007-11-08
US60/986,550 2007-11-08
US12/203,696 2008-09-03
US12/203,696 US20090120924A1 (en) 2007-11-08 2008-09-03 Pulse train annealing method and apparatus

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020080110934A Division KR101176696B1 (ko) 2007-11-08 2008-11-10 펄스 트레인 어닐링 방법 및 장치

Publications (2)

Publication Number Publication Date
KR20110084141A KR20110084141A (ko) 2011-07-21
KR101449734B1 true KR101449734B1 (ko) 2014-10-15

Family

ID=40170149

Family Applications (6)

Application Number Title Priority Date Filing Date
KR1020080110934A KR101176696B1 (ko) 2007-11-08 2008-11-10 펄스 트레인 어닐링 방법 및 장치
KR1020110065038A KR101442821B1 (ko) 2007-11-08 2011-06-30 펄스 트레인 어닐링 방법
KR1020110065013A KR101449733B1 (ko) 2007-11-08 2011-06-30 펄스 트레인 어닐링 장치
KR1020110065030A KR101442819B1 (ko) 2007-11-08 2011-06-30 펄스 트레인 어닐링 방법
KR1020110065017A KR101442817B1 (ko) 2007-11-08 2011-06-30 펄스 트레인 어닐링 장치
KR1020110065027A KR101449734B1 (ko) 2007-11-08 2011-06-30 펄스 트레인 어닐링 방법

Family Applications Before (5)

Application Number Title Priority Date Filing Date
KR1020080110934A KR101176696B1 (ko) 2007-11-08 2008-11-10 펄스 트레인 어닐링 방법 및 장치
KR1020110065038A KR101442821B1 (ko) 2007-11-08 2011-06-30 펄스 트레인 어닐링 방법
KR1020110065013A KR101449733B1 (ko) 2007-11-08 2011-06-30 펄스 트레인 어닐링 장치
KR1020110065030A KR101442819B1 (ko) 2007-11-08 2011-06-30 펄스 트레인 어닐링 방법
KR1020110065017A KR101442817B1 (ko) 2007-11-08 2011-06-30 펄스 트레인 어닐링 장치

Country Status (7)

Country Link
US (2) US20090120924A1 (ko)
EP (1) EP2058842A3 (ko)
JP (4) JP2009188378A (ko)
KR (6) KR101176696B1 (ko)
CN (2) CN103219264B (ko)
SG (2) SG152215A1 (ko)
TW (5) TWI616972B (ko)

Families Citing this family (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9498845B2 (en) 2007-11-08 2016-11-22 Applied Materials, Inc. Pulse train annealing method and apparatus
US20090120924A1 (en) * 2007-11-08 2009-05-14 Stephen Moffatt Pulse train annealing method and apparatus
US20100140768A1 (en) * 2008-12-10 2010-06-10 Zafiropoulo Arthur W Systems and processes for forming three-dimensional circuits
JP5668270B2 (ja) * 2008-12-11 2015-02-12 富士電機株式会社 半導体素子の製造方法
US8232114B2 (en) * 2009-01-27 2012-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. RTP spike annealing for semiconductor substrate dopant activation
US8828835B2 (en) 2009-03-06 2014-09-09 Texas Instruments Incorporated Ultrashallow emitter formation using ALD and high temperature short time annealing
JP5620114B2 (ja) * 2010-01-29 2014-11-05 大日本スクリーン製造株式会社 熱処理方法および熱処理装置
US8129284B2 (en) 2009-04-28 2012-03-06 Dainippon Screen Mfg. Co., Ltd. Heat treatment method and heat treatment apparatus for heating substrate by light irradiation
WO2011011764A2 (en) * 2009-07-23 2011-01-27 Gigasi Solar, Inc. Systems, methods and materials involving crystallization of substrates using a seed layer, as well as products produced by such processes
US8361890B2 (en) * 2009-07-28 2013-01-29 Gigasi Solar, Inc. Systems, methods and materials including crystallization of substrates via sub-melt laser anneal, as well as products produced by such processes
US8629436B2 (en) * 2009-08-14 2014-01-14 Gigasi Solar, Inc. Backside only contact thin-film solar cells and devices, systems and methods of fabricating same, and products produced by processes thereof
DE102009029374A1 (de) * 2009-09-11 2011-04-07 Carl Zeiss Smt Gmbh Beschichtungsverfahren für die Mikrolithographie
US8247317B2 (en) * 2009-09-16 2012-08-21 Applied Materials, Inc. Methods of solid phase recrystallization of thin film using pulse train annealing method
US20110165721A1 (en) * 2009-11-25 2011-07-07 Venkatraman Prabhakar Systems, methods and products including features of laser irradiation and/or cleaving of silicon with other substrates or layers
DE102009059193B4 (de) 2009-12-17 2024-02-15 Innolas Solutions Gmbh Verfahren zur Dotierung von Halbleitermaterialien
JP2013519224A (ja) * 2010-02-03 2013-05-23 リモ パテントフェルヴァルトゥング ゲーエムベーハー ウント コー.カーゲー 太陽電池セル、特に結晶または多結晶シリコン太陽電池セルのディスク状基板材料を熱処理するための方法および装置
JP2011243836A (ja) * 2010-05-20 2011-12-01 Sumitomo Heavy Ind Ltd レーザアニール方法及びレーザアニール装置
US9536762B2 (en) * 2010-05-28 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for thermal mapping and thermal process control
JP5552373B2 (ja) * 2010-06-02 2014-07-16 浜松ホトニクス株式会社 レーザ加工方法
JP2012156390A (ja) * 2011-01-27 2012-08-16 Sumitomo Heavy Ind Ltd レーザアニール方法及びレーザアニール装置
US8569187B2 (en) 2011-06-24 2013-10-29 Applied Materials, Inc. Thermal processing apparatus
US20130023111A1 (en) * 2011-06-29 2013-01-24 Purtell Robert J Low temperature methods and apparatus for microwave crystal regrowth
US20130023097A1 (en) * 2011-07-14 2013-01-24 Purtell Robert J U-mos trench profile optimization and etch damage removal using microwaves
KR20130023069A (ko) * 2011-08-24 2013-03-07 울트라테크 인크. GaN LED 및 이것의 고속 열 어닐링 방법
DE102011086889A1 (de) * 2011-11-22 2013-05-23 Mtu Aero Engines Gmbh Generatives Herstellen eines Bauteils
JP5951241B2 (ja) * 2011-12-07 2016-07-13 株式会社Screenホールディングス 熱処理方法および熱処理装置
KR102108939B1 (ko) * 2012-04-18 2020-05-12 어플라이드 머티어리얼스, 인코포레이티드 발전된 어닐링 프로세스에서 입자를 감소시키기 위한 장치 및 방법
JP6425368B2 (ja) * 2012-04-27 2018-11-21 株式会社ディスコ レーザー加工装置及びレーザー加工方法
US9232630B1 (en) 2012-05-18 2016-01-05 Flextronics Ap, Llc Method of making an inlay PCB with embedded coin
TWI624862B (zh) * 2012-06-11 2018-05-21 應用材料股份有限公司 在脈衝式雷射退火中使用紅外線干涉技術之熔化深度測定
WO2014022681A1 (en) 2012-08-01 2014-02-06 Gentex Corporation Assembly with laser induced channel edge and method thereof
JP2014090045A (ja) * 2012-10-30 2014-05-15 Sanken Electric Co Ltd イオン導入層の活性化方法、および、半導体装置の製造方法
KR101432153B1 (ko) * 2012-11-13 2014-08-22 삼성디스플레이 주식회사 광 투과 장치 및 이를 구비하는 어닐링 장치
US10622244B2 (en) 2013-02-18 2020-04-14 Orbotech Ltd. Pulsed-mode direct-write laser metallization
FR3002687B1 (fr) * 2013-02-26 2015-03-06 Soitec Silicon On Insulator Procede de traitement d une structure
EP2784798B1 (en) * 2013-03-27 2016-03-23 Max-Planck-Gesellschaft zur Förderung der Wissenschaften e.V. Determining an electromagnetic response of a sample
EP2986397A4 (en) * 2013-04-18 2016-12-21 Dm3D Tech Llc LASER ASSISTED INTERSTITIAL ALLOY FOR INCREASED WEAR RESISTANCE
US9768016B2 (en) 2013-07-02 2017-09-19 Ultratech, Inc. Formation of heteroepitaxial layers with rapid thermal processing to remove lattice dislocations
US10537027B2 (en) 2013-08-02 2020-01-14 Orbotech Ltd. Method producing a conductive path on a substrate
US9958709B2 (en) * 2013-08-16 2018-05-01 Applied Materials, Inc. Dynamic optical valve for mitigating non-uniform heating in laser processing
US9521754B1 (en) 2013-08-19 2016-12-13 Multek Technologies Limited Embedded components in a substrate
TW201517133A (zh) * 2013-10-07 2015-05-01 Applied Materials Inc 使用熱佈植與奈秒退火致使銦鋁鎵氮化物材料系統中摻雜劑的高活化
CN103633188A (zh) * 2013-11-13 2014-03-12 江西弘宇太阳能热水器有限公司 形成太阳电池掺杂区的方法
TW201528379A (zh) * 2013-12-20 2015-07-16 Applied Materials Inc 雙波長退火方法與設備
US20150187656A1 (en) * 2013-12-29 2015-07-02 Texas Instruments Incorporated Laser anneals for reduced diode leakage
DE102014105300A1 (de) * 2014-03-12 2015-09-17 Von Ardenne Gmbh Prozessieranordnung und Verfahren zum Betreiben einer Prozessieranordnung
US9180539B1 (en) * 2014-03-18 2015-11-10 Flextronics Ap, Llc Method of and system for dressing RF shield pads
JP6635313B2 (ja) * 2014-04-10 2020-01-22 オーボテック リミテッド パルスモードのレーザ直接描画によるメタライゼーション
JP6292104B2 (ja) * 2014-11-17 2018-03-14 三菱電機株式会社 窒化物半導体装置の製造方法
KR20160127286A (ko) 2015-04-24 2016-11-03 홍익대학교 산학협력단 플래시 램프를 이용한 실리콘 박막의 활성화 방법
KR20160127284A (ko) 2015-04-24 2016-11-03 홍익대학교 산학협력단 플래시 램프를 이용한 실리콘 박막의 활성화 방법
JP6624876B2 (ja) * 2015-10-15 2019-12-25 ルネサスエレクトロニクス株式会社 監視方法および半導体装置の製造方法
US10622268B2 (en) * 2015-12-08 2020-04-14 Infineon Technologies Ag Apparatus and method for ion implantation
JP6731766B2 (ja) * 2016-03-30 2020-07-29 株式会社ディスコ レーザー加工方法
JP6910742B2 (ja) * 2016-04-27 2021-07-28 住友重機械工業株式会社 レーザアニール方法及びレーザアニール装置
US10892356B2 (en) 2016-06-24 2021-01-12 Cree, Inc. Group III-nitride high-electron mobility transistors with buried p-type layers and process for making the same
US11430882B2 (en) * 2016-06-24 2022-08-30 Wolfspeed, Inc. Gallium nitride high-electron mobility transistors with p-type layers and process for making the same
US10840334B2 (en) 2016-06-24 2020-11-17 Cree, Inc. Gallium nitride high-electron mobility transistors with deep implanted p-type layers in silicon carbide substrates for power switching and radio frequency applications and process for making the same
US10192980B2 (en) 2016-06-24 2019-01-29 Cree, Inc. Gallium nitride high-electron mobility transistors with deep implanted p-type layers in silicon carbide substrates for power switching and radio frequency applications and process for making the same
EP3276655A1 (en) * 2016-07-26 2018-01-31 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Method and system for bonding a chip to a substrate
CN106653781B (zh) * 2016-09-20 2020-03-20 上海集成电路研发中心有限公司 半导体器件的制造方法
KR101898073B1 (ko) * 2016-11-29 2018-09-17 주식회사 이오테크닉스 레이저 마킹 장치 및 이에 사용되는 관절 구조물 보관 장치
DE102017103908B4 (de) 2017-02-24 2023-05-17 Infineon Technologies Ag Verfahren zum Anbringen einer Halbleiterschicht auf einem Träger
US10281335B2 (en) * 2017-05-26 2019-05-07 Applied Materials, Inc. Pulsed radiation sources for transmission pyrometry
EP3629784A1 (en) 2017-06-02 2020-04-08 Fontem Holdings 1 B.V. Electronic cigarette wick
US10270032B2 (en) 2017-09-13 2019-04-23 Int Tech Co., Ltd. Light source and a manufacturing method therewith
JP7058907B2 (ja) * 2017-10-24 2022-04-25 住友重機械工業株式会社 加熱処理装置、アニール装置及び加熱処理方法
US11011394B2 (en) * 2017-11-21 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for annealing die and wafer
DE102018124675A1 (de) 2017-11-30 2019-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Glühen von Film bei unterschiedlichen Temperaturen und dadurch ausgebildete Strukturen
US10748760B2 (en) * 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Varying temperature anneal for film and structures formed thereby
JP7184525B2 (ja) * 2018-03-08 2022-12-06 株式会社ディスコ チャックテーブルおよびチャックテーブルを備えた加工装置
JP7336465B2 (ja) * 2018-05-08 2023-08-31 ラム リサーチ コーポレーション テレセントリックレンズ、光ビーム折り畳みアセンブリ、またはポリゴンスキャナを有するレンズ回路を含む原子層エッチングおよび原子層堆積の処理システム
US10573532B2 (en) * 2018-06-15 2020-02-25 Mattson Technology, Inc. Method for processing a workpiece using a multi-cycle thermal treatment process
US10658510B2 (en) 2018-06-27 2020-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure
FR3086097B1 (fr) * 2018-09-18 2020-12-04 Commissariat Energie Atomique Procede de fabrication d'un dispositif electroluminescent
CN109262376B (zh) * 2018-10-19 2024-02-27 四川联合晶体新材料有限公司 一种用于降低薄板形材料离子束抛光时热应力的装置和方法
JP7244256B2 (ja) * 2018-11-08 2023-03-22 住友重機械工業株式会社 レーザアニール装置、ウエハ保持装置及びレーザアニール方法
JP7478146B2 (ja) 2018-11-15 2024-05-02 ラム リサーチ コーポレーション ハロゲン系化合物を用いて選択的にエッチングするための原子層エッチングシステム
US11554445B2 (en) * 2018-12-17 2023-01-17 Applied Materials, Inc. Methods for controlling etch depth by localized heating
JP7202907B2 (ja) * 2019-01-28 2023-01-12 Jswアクティナシステム株式会社 レーザ処理装置および表示装置の製造方法
CN110181165B (zh) * 2019-05-27 2021-03-26 北京华卓精科科技股份有限公司 激光预热退火系统和方法
US20210066593A1 (en) * 2019-08-28 2021-03-04 Cerfe Labs, Inc. Dopant activation anneal for correlated electron device
US11605536B2 (en) 2020-09-19 2023-03-14 Tokyo Electron Limited Cyclic low temperature film growth processes
TW202236550A (zh) * 2020-11-25 2022-09-16 美商應用材料股份有限公司 用於低溫處理的補充能量
US11929428B2 (en) 2021-05-17 2024-03-12 Wolfspeed, Inc. Circuits and group III-nitride high-electron mobility transistors with buried p-type layers improving overload recovery and process for implementing the same
WO2023032450A1 (ja) * 2021-09-02 2023-03-09 パナソニックIpマネジメント株式会社 レーザアニール装置及びレーザアニール方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003068644A (ja) * 2001-08-28 2003-03-07 Sumitomo Heavy Ind Ltd シリコン結晶化方法とレーザアニール装置
JP2003109912A (ja) * 2001-10-01 2003-04-11 Matsushita Electric Ind Co Ltd レーザアニール装置
JP2003528462A (ja) * 2000-03-17 2003-09-24 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド レーザーアニーリングおよび急速熱アニーリングにより極めて浅い接合を形成する方法
JP2005136218A (ja) * 2003-10-30 2005-05-26 Sumitomo Heavy Ind Ltd 不純物活性化方法及びレーザ照射装置

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4151008A (en) * 1974-11-15 1979-04-24 Spire Corporation Method involving pulsed light processing of semiconductor devices
US4370175A (en) * 1979-12-03 1983-01-25 Bernard B. Katz Method of annealing implanted semiconductors by lasers
JPS57180120A (en) * 1981-04-30 1982-11-06 Agency Of Ind Science & Technol Monitoring device for beam annealing
US4476150A (en) * 1983-05-20 1984-10-09 The United States Of America As Represented By The Secretary Of The Army Process of and apparatus for laser annealing of film-like surface layers of chemical vapor deposited silicon carbide and silicon nitride
JPS6271218A (ja) * 1985-09-25 1987-04-01 Hitachi Ltd 薄膜形成装置
JPH0783151B2 (ja) * 1987-09-30 1995-09-06 オリジン電気株式会社 レーザ電源装置
US5424244A (en) * 1992-03-26 1995-06-13 Semiconductor Energy Laboratory Co., Ltd. Process for laser processing and apparatus for use in the same
JP3105488B2 (ja) * 1992-10-21 2000-10-30 株式会社半導体エネルギー研究所 レーザー処理方法
JP3065825B2 (ja) * 1992-10-21 2000-07-17 株式会社半導体エネルギー研究所 レーザー処理方法
KR100299292B1 (ko) * 1993-11-02 2001-12-01 이데이 노부유끼 다결정실리콘박막형성방법및그표면처리장치
US5756364A (en) * 1994-11-29 1998-05-26 Semiconductor Energy Laboratory Co., Ltd. Laser processing method of semiconductor device using a catalyst
JP3469337B2 (ja) * 1994-12-16 2003-11-25 株式会社半導体エネルギー研究所 半導体装置の作製方法
TW305063B (ko) * 1995-02-02 1997-05-11 Handotai Energy Kenkyusho Kk
JP2003100652A (ja) * 1995-07-25 2003-04-04 Semiconductor Energy Lab Co Ltd 線状パルスレーザー光照射装置及び照射方法
US5817550A (en) * 1996-03-05 1998-10-06 Regents Of The University Of California Method for formation of thin film transistors on plastic substrates
JPH11204800A (ja) * 1997-11-14 1999-07-30 Matsushita Electric Ind Co Ltd 薄膜トランジスタ、およびその製造方法、並びに不純物導入装置
JP2000046715A (ja) * 1998-07-31 2000-02-18 Rikagaku Kenkyusho 非発光過程走査プローブ顕微鏡
JP2000277448A (ja) * 1999-03-26 2000-10-06 Ion Kogaku Kenkyusho:Kk 結晶材料の製造方法および半導体素子
US6326219B2 (en) * 1999-04-05 2001-12-04 Ultratech Stepper, Inc. Methods for determining wavelength and pulse length of radiant energy used for annealing
TW490770B (en) * 1999-06-28 2002-06-11 Hitachi Ltd Poly crystal semiconductor thin film substrate, its manufacture method, semiconductor apparatus and electronic apparatus
US6573531B1 (en) * 1999-09-03 2003-06-03 The Trustees Of Columbia University In The City Of New York Systems and methods using sequential lateral solidification for producing single or polycrystalline silicon thin films at low temperatures
JP2001185504A (ja) * 1999-12-22 2001-07-06 Sanyo Electric Co Ltd レーザアニール方法及び装置
JP2001044132A (ja) * 2000-01-01 2001-02-16 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
JP2001319891A (ja) * 2000-05-10 2001-11-16 Nec Corp 薄膜処理方法及び薄膜処理装置
TWI313059B (ko) * 2000-12-08 2009-08-01 Sony Corporatio
JP2002217125A (ja) * 2001-01-23 2002-08-02 Sumitomo Heavy Ind Ltd 表面処理装置及び方法
JP2005502185A (ja) * 2001-02-12 2005-01-20 株式会社日立国際電気 超急速熱処理チャンバおよび使用方法
CN1443364A (zh) * 2001-04-19 2003-09-17 纽约市哥伦比亚大学托管会 用于提供单扫描、连续移动连续横向凝固的方法和系统
JP3896395B2 (ja) * 2001-06-20 2007-03-22 大日本スクリーン製造株式会社 熱処理装置
JP3810349B2 (ja) * 2001-07-18 2006-08-16 松下電器産業株式会社 半導体記憶装置及びその製造方法
US20040097103A1 (en) * 2001-11-12 2004-05-20 Yutaka Imai Laser annealing device and thin-film transistor manufacturing method
JP2003209912A (ja) * 2002-01-16 2003-07-25 Mitsubishi Cable Ind Ltd ハンガー付きちょう架用線の布設方法
US6908535B2 (en) * 2002-03-06 2005-06-21 Medtronic, Inc. Current-to-voltage-converter for a biosensor
US6998580B2 (en) * 2002-03-28 2006-02-14 Dainippon Screen Mfg. Co., Ltd. Thermal processing apparatus and thermal processing method
US6849831B2 (en) * 2002-03-29 2005-02-01 Mattson Technology, Inc. Pulsed processing semiconductor heating methods using combinations of heating sources
US7135423B2 (en) * 2002-05-09 2006-11-14 Varian Semiconductor Equipment Associates, Inc Methods for forming low resistivity, ultrashallow junctions with low damage
JP2004063924A (ja) * 2002-07-31 2004-02-26 Mitsubishi Heavy Ind Ltd レーザアニール方法及び装置
JP4474108B2 (ja) * 2002-09-02 2010-06-02 株式会社 日立ディスプレイズ 表示装置とその製造方法および製造装置
US6835914B2 (en) * 2002-11-05 2004-12-28 Mattson Technology, Inc. Apparatus and method for reducing stray light in substrate processing chambers
KR20050070109A (ko) * 2002-11-05 2005-07-05 소니 가부시끼 가이샤 광조사장치 및 광조사방법
JP2004311906A (ja) * 2003-04-10 2004-11-04 Phoeton Corp レーザ処理装置及びレーザ処理方法
JP4225121B2 (ja) * 2003-05-30 2009-02-18 三菱電機株式会社 レーザアニーリング方法および装置
DE102004030268B4 (de) * 2003-06-24 2013-02-21 Fuji Electric Co., Ltd Verfahren zum Herstellen eines Halbleiterelements
JP3929939B2 (ja) * 2003-06-25 2007-06-13 株式会社東芝 処理装置、製造装置、処理方法及び電子装置の製造方法
US7364952B2 (en) * 2003-09-16 2008-04-29 The Trustees Of Columbia University In The City Of New York Systems and methods for processing thin films
US6911376B2 (en) * 2003-10-01 2005-06-28 Wafermasters Selective heating using flash anneal
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
JP5630935B2 (ja) * 2003-12-19 2014-11-26 マトソン テクノロジー、インコーポレイテッド 工作物の熱誘起運動を抑制する機器及び装置
JP4838982B2 (ja) * 2004-01-30 2011-12-14 株式会社 日立ディスプレイズ レーザアニール方法およびレーザアニール装置
US7078302B2 (en) * 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US7109443B2 (en) * 2004-03-26 2006-09-19 Intel Corporation Multi-zone reflecting device for use in flash lamp processes
US7282666B2 (en) * 2004-05-07 2007-10-16 Micron Technology, Inc. Method and apparatus to increase throughput of processing using pulsed radiation sources
JP2005347694A (ja) * 2004-06-07 2005-12-15 Sharp Corp 半導体薄膜の製造方法および半導体薄膜製造装置
US20080124816A1 (en) * 2004-06-18 2008-05-29 Electro Scientific Industries, Inc. Systems and methods for semiconductor structure processing using multiple laser beam spots
US8148211B2 (en) * 2004-06-18 2012-04-03 Electro Scientific Industries, Inc. Semiconductor structure processing using multiple laser beam spots spaced on-axis delivered simultaneously
US20090011614A1 (en) * 2004-06-18 2009-01-08 Electro Scientific Industries, Inc. Reconfigurable semiconductor structure processing using multiple laser beam spots
US7687740B2 (en) * 2004-06-18 2010-03-30 Electro Scientific Industries, Inc. Semiconductor structure processing using multiple laterally spaced laser beam spots delivering multiple blows
US7148155B1 (en) * 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
JP4674092B2 (ja) * 2005-01-21 2011-04-20 株式会社 日立ディスプレイズ 表示装置の製造方法
JP2006344909A (ja) * 2005-06-10 2006-12-21 Sumitomo Heavy Ind Ltd レーザ照射装置及び半導体装置の製造方法
JP4632886B2 (ja) * 2005-07-14 2011-02-16 シャープ株式会社 点字翻訳装置、点字翻訳方法、点字翻訳プログラムおよびこれを記録したコンピュータ読取り可能な記録媒体
JP2007059458A (ja) * 2005-08-22 2007-03-08 Fuji Electric Holdings Co Ltd レーザーアニールにおけるレーザービームのモニタリング方法
JP5103728B2 (ja) * 2005-11-24 2012-12-19 ウシオ電機株式会社 放電ランプ点灯装置
US20070212859A1 (en) * 2006-03-08 2007-09-13 Paul Carey Method of thermal processing structures formed on a substrate
KR101113533B1 (ko) * 2006-03-08 2012-02-29 어플라이드 머티어리얼스, 인코포레이티드 기판상에 형성되는 구조체의 열적 처리를 위한 장치 및 방법
JP2007251015A (ja) * 2006-03-17 2007-09-27 Sumitomo Heavy Ind Ltd レーザアニール装置及びレーザアニール方法
JP4916802B2 (ja) * 2006-07-20 2012-04-18 大日本スクリーン製造株式会社 熱処理装置
JP5036248B2 (ja) * 2006-08-10 2012-09-26 大日本スクリーン製造株式会社 熱処理装置および熱処理用サセプタ
JP5036274B2 (ja) * 2006-10-30 2012-09-26 大日本スクリーン製造株式会社 熱処理装置および熱処理方法
CN101702950B (zh) * 2007-05-01 2012-05-30 加拿大马特森技术有限公司 辐照脉冲热处理方法和设备
JP5465373B2 (ja) * 2007-09-12 2014-04-09 大日本スクリーン製造株式会社 熱処理装置
US20090120924A1 (en) * 2007-11-08 2009-05-14 Stephen Moffatt Pulse train annealing method and apparatus

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003528462A (ja) * 2000-03-17 2003-09-24 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド レーザーアニーリングおよび急速熱アニーリングにより極めて浅い接合を形成する方法
JP2003068644A (ja) * 2001-08-28 2003-03-07 Sumitomo Heavy Ind Ltd シリコン結晶化方法とレーザアニール装置
JP2003109912A (ja) * 2001-10-01 2003-04-11 Matsushita Electric Ind Co Ltd レーザアニール装置
JP2005136218A (ja) * 2003-10-30 2005-05-26 Sumitomo Heavy Ind Ltd 不純物活性化方法及びレーザ照射装置

Also Published As

Publication number Publication date
KR20110084143A (ko) 2011-07-21
TW201711125A (zh) 2017-03-16
JP2017212450A (ja) 2017-11-30
US20140073145A1 (en) 2014-03-13
KR101442819B1 (ko) 2014-09-19
EP2058842A3 (en) 2009-12-23
CN103219264B (zh) 2016-11-23
EP2058842A2 (en) 2009-05-13
TW200933793A (en) 2009-08-01
CN103219264A (zh) 2013-07-24
SG152215A1 (en) 2009-05-29
KR101449733B1 (ko) 2014-10-15
JP2012169632A (ja) 2012-09-06
KR20110084141A (ko) 2011-07-21
CN102403206A (zh) 2012-04-04
KR101442817B1 (ko) 2014-09-19
TWI616972B (zh) 2018-03-01
JP6525919B2 (ja) 2019-06-05
KR20110084139A (ko) 2011-07-21
TW201428874A (zh) 2014-07-16
KR20110084140A (ko) 2011-07-21
JP6672222B2 (ja) 2020-03-25
TWI440117B (zh) 2014-06-01
TWI661488B (zh) 2019-06-01
CN102403206B (zh) 2016-12-07
TWI569347B (zh) 2017-02-01
JP6525919B6 (ja) 2019-06-26
KR101176696B1 (ko) 2012-08-23
TWI426578B (zh) 2014-02-11
US20090120924A1 (en) 2009-05-14
JP2016149573A (ja) 2016-08-18
TW201216399A (en) 2012-04-16
KR101442821B1 (ko) 2014-09-19
KR20090048376A (ko) 2009-05-13
JP2009188378A (ja) 2009-08-20
SG185953A1 (en) 2012-12-28
TW201812921A (zh) 2018-04-01
KR20110084142A (ko) 2011-07-21

Similar Documents

Publication Publication Date Title
KR101449734B1 (ko) 펄스 트레인 어닐링 방법
US20210220949A1 (en) Pulse train annealing method and apparatus
US7800081B2 (en) Pulse train annealing method and apparatus
US8247317B2 (en) Methods of solid phase recrystallization of thin film using pulse train annealing method

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170929

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20181001

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20191001

Year of fee payment: 6