TW201517133A - 使用熱佈植與奈秒退火致使銦鋁鎵氮化物材料系統中摻雜劑的高活化 - Google Patents

使用熱佈植與奈秒退火致使銦鋁鎵氮化物材料系統中摻雜劑的高活化 Download PDF

Info

Publication number
TW201517133A
TW201517133A TW103132297A TW103132297A TW201517133A TW 201517133 A TW201517133 A TW 201517133A TW 103132297 A TW103132297 A TW 103132297A TW 103132297 A TW103132297 A TW 103132297A TW 201517133 A TW201517133 A TW 201517133A
Authority
TW
Taiwan
Prior art keywords
substrate
during
annealing
dopant
nanosecond
Prior art date
Application number
TW103132297A
Other languages
English (en)
Inventor
Swaminathan T Srinivasan
Fareen Adeni Khaja
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201517133A publication Critical patent/TW201517133A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2654Bombardment with radiation with high-energy radiation producing ion implantation in AIIIBV compounds
    • H01L21/26546Bombardment with radiation with high-energy radiation producing ion implantation in AIIIBV compounds of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • H01L21/3245Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering of AIIIBV compounds

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Power Engineering (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Recrystallisation Techniques (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

本案揭露內容的實施例大體上關於摻雜與退火基板。該等基板可於熱佈植製程期間摻雜,且之後使用奈秒退火製程退火。熱佈植與奈秒退火的組合減少基板的晶格損壞且有助於接近基板表面處有更高的摻雜劑濃度,以助於增加與基板的電接觸。視情況任選的覆蓋層可置於基板上以減少摻雜劑的釋氣或控制摻雜劑佈植深度。

Description

使用熱佈植與奈秒退火致使銦鋁鎵氮化物材料系統中摻雜劑的高活化
本案揭露之發明的實施例大體上關於摻雜與退火基板,所述基板諸如半導體基板。
三五族(Group III-V)或二五族(Group II-V)化合物於開發與製造各種半導體元件方面日漸重要,所述半導體元件諸如發光二極體(LED)、雷射二極體(LD)、與邏輯電路元件(諸如場效電晶體(FET))。這些元件中,具有不同混合晶體組成的複數個半導體層層疊在一起,而獲得所要的光學與電特質。
然而,以期望的摻雜分佈曲線於基板上生長低電阻率、高品質p型三五族化合物已提供無法讓人感到滿足的特質。以GaN為例,具有相對高蒸氣壓的五族元素(例如氮)在GaN晶體於高溫加熱而活化其中的佈植摻雜劑物種時傾向 揮發,導致會透過GaN晶格中損失氮(即,氮的空位)而使GaN分解。若表面分解發生,則化合物半導體的結晶度傾向劣化。
此外,在將GaN膜摻雜成p型方面的努力工作已無法成功,這是因為GaN天生是有高載子濃度的n型摻雜半導體材料。n型特質部分歸因於晶體結構中的氮空位,這是因為如前文所討論的在高溫下的GaN分解所形成。因此,適合的p型摻雜劑物種一般是在GaN生長期間導入,而獲得p型摻雜的GaN。
許多元件要求p型摻雜GaN中的自由載子濃度為至少1018原子/cm3;然而,p型摻雜的GaN蒙受不充足的載子濃度,這是由於摻雜劑非純質的效應大幅地被大量氮空位降低所致。同樣,許多情況中,摻雜劑非純質的效應被非所要的H鈍化所「中和」或「失活」,所述H鈍化是由於氫與摻雜劑原子之複合物形成所造成,這特別是在處理氣氛中使用當含氫氣體(諸如NH3氣體)時會發生。因此,僅有少許比例的p型摻雜劑原子受到活化,造成結構、組成、與元件性能非理想地打折。
先前對增加活化p型摻雜劑的總量的嘗試已包括將更高濃度的p型摻雜劑佈植進入基板。然而,這樣的嘗試造成基板結晶結構由於過量佈植而產生讓人無法滿意的劣化。因此,需要一種改良的方法,以於基板上形成高品質、高活化的摻雜材料。
本案揭露內容的實施例大體上關於摻雜與退火基板。該等基板可於熱佈植製程期間摻雜,且之後使用奈秒退火製程退火。熱佈植與奈秒退火的組合減少基板的晶格損壞且有助於接近基板表面處有更高的摻雜劑濃度,以助於增加與基板的電接觸。視情況任選的覆蓋層可置於基板上以減少摻雜劑的釋氣(outgas)或控制摻雜劑佈植深度。
一個實施例中,一種處理基板之方法包括下述步驟:於熱佈植製程期間將摻雜劑佈植至基板中,其中在該熱佈植製程期間,該基板維持於範圍在約攝氏80度至約攝氏600度內的溫度;以及,於奈秒退火製程期間退火該基板,以活化該摻雜劑且修復該基板中的結晶缺陷,其中在該奈秒退火製程期間,該基板暴露至一或多個脈衝的雷射能量,該等脈衝的雷射能量每一個具有低於約10微秒的持續期間。
另一實施例中,一種處理基板的方法包括以下步驟:於熱佈植製程期間將摻雜劑佈植至基板中,其中在該熱佈植製程期間,該基板維持在低於約攝氏500度的溫度;以及,於奈秒退火製程期間退火該基板,以活化該摻雜劑且修復該基板中的結晶缺陷,其中在該奈秒退火製程期間,該基板暴露至一或多個脈衝的雷射能量,該等脈衝的雷射能量每一個具有低於約10微秒的持續期間,且該基板維持固態。
另一實施例中,一種處理基板的方法包括以下步驟:於熱佈植製程期間將摻雜劑佈植至基板中,其中在該熱佈植製程期間,該基板維持於約攝氏300度至約攝氏400度之間的溫度;以及,於奈秒退火製程期間退火該基板,以活 化該摻雜劑且修復該基板中的結晶缺陷,其中在該奈秒退火製程期間,該基板暴露至一或多個脈衝的雷射能量,該等脈衝的雷射能量每一個具有約1奈秒至約10奈秒之間的持續期間,且該基板維持固態。
10‧‧‧離子束
40‧‧‧基板
100‧‧‧離子佈植器系統
102‧‧‧電源
104‧‧‧能量產生器
114‧‧‧平台
116‧‧‧電極
122‧‧‧介電板
124‧‧‧界面板
126‧‧‧電極
128‧‧‧界面
130‧‧‧檯面結構
132‧‧‧加熱元件
134‧‧‧界面
136‧‧‧水/冷卻劑溝道
150‧‧‧離子源
151‧‧‧電力供應器
154‧‧‧萃取電極
156‧‧‧90°磁鐵分析器
158‧‧‧第一減速台
160‧‧‧70°磁鐵準直器
162‧‧‧第二減速台
164‧‧‧掃描器機構
166‧‧‧法拉第杯
200‧‧‧退火設備
202‧‧‧電源
204‧‧‧能量產生器
206‧‧‧開關
208‧‧‧光學組件
210A‧‧‧劈痕線或刻劃線
212‧‧‧退火區域
213‧‧‧晶粒
215A‧‧‧電阻式加熱元件
215B‧‧‧流體溝道
215C‧‧‧溫度控制器
215D‧‧‧極冷冷卻器
215‧‧‧熱交換裝置
216‧‧‧基板支撐表面
217‧‧‧電致動器
218‧‧‧電容器
220‧‧‧能量源
221‧‧‧控制器
360‧‧‧方法
362-374‧‧‧操作
490‧‧‧群集工具
491‧‧‧機器人
492‧‧‧移送室
493A、493B‧‧‧裝載閘腔室
493‧‧‧裝載閘
494-498‧‧‧腔室
透過參考實施例(一些實施例繪示於附圖中),可得到上文簡要總結的本案揭露內容之更特定的描述,而可詳細瞭解本案揭露內容之前述特徵。然而,應注意附圖僅繪示本案揭露內容之典型實施例,因此不應被視為限制本案揭露內容之範疇,因為本案揭露內容可容許其他等效實施例。
第1A圖描繪離子佈植器系統,該系統中可根據本案揭露內容之實施例實行溫度控制離子佈植。
第1B圖繪示第1A圖的平台。
第2A圖繪示退火設備的概略等角視圖,該退火設備適於執行本文中實施例內所述的退火製程。
第2B圖繪示第2A圖的退火設備之概略側視圖。
第3圖繪示根據本案揭露內容之一個實施例的處理基板之流程圖。
第4圖繪示其中可執行本文所述之實施例的群集工具。
為了助於瞭解,如可能則已使用相同的元件符號指定各圖共通的相同元件。應考量一個實施例的元件與特徵可有利地併入其他實施例而無需進一步記敘。
本案揭露內容的實施例大體上關於摻雜與退火基板。該等基板可於熱佈植製程期間摻雜,且之後使用奈秒退火製程退火。熱佈植與奈秒退火的組合減少基板的晶格損壞且有助於接近基板表面處有更高的摻雜劑濃度,以助於增加與基板的電接觸。視情況任選的覆蓋層可置於基板上以減少摻雜劑的釋氣或控制摻雜劑佈植深度。
第1A圖描繪離子佈植器系統100,其中可根據本案揭露內容之實施例執行溫度控制的離子佈植(例如熱佈植)。該離子佈植器系統100可包括離子源150(被電力供應器151偏壓至一電位)以及離子束10所通過的一系列束線部件。該系列的束線部件可包括例如萃取電極154、90°磁鐵分析器156、第一減速台158、70°磁鐵準直器160、以及第二減速台162。與操縱光束的一系列光學透鏡極類似,束線部件可過濾與聚焦離子束10,之後再將離子束10導向基板,諸如半導體晶圓。離子佈植期間,基板一般是裝設於平台114上,該平台114可於一或多個維度上移動(例如,平移、旋轉、與傾斜)。可用一或多個裝置(諸如法拉第杯166)測量離子束10。
第1B圖繪示第1A圖的平台114。平台114可用於根據本案揭露內容之一或多個實施例的高溫離子佈植。整個平台114可耦接掃描器機構164,該掃描器機構164助於平台114的各種移動。平台114可包括介電板122與界面板124。該介電板122可具有多個電極126,該等電極126嵌在該介電板122中,以施加靜電力,而將基板40支承(hold)於介電 板122之表面上。介電板122之表面可為平滑,抑或含有檯面結構(mesa structure)130,以減少對基板40的背側接觸且減少背側顆粒。形成於基板40與介電板122之間的界面128可含有背側氣體,以改善或調整基板40與介電板122之間的熱接觸。此外,一或多個加熱元件132可嵌於介電板122中,以加熱介電板122與基板40,且於離子佈植期間維持期望的升高溫度。一個範例中,基板40可利用加熱元件132加熱,該等加熱元件132可包括例如電阻式加熱元件。
界面板124可經由界面134耦接介電板122。界面板124可為鋁塊,該鋁塊含有水/冷卻劑溝道136。界面板124也可由其他材料製成,諸如鈦、不鏽鋼、石英、或陶瓷,該等材料可選以在期望操作溫度下匹配平台114的不同零件的熱膨脹係數。界面板124可具有與介電板122的受控熱接觸,該熱接觸可透過界面134調整。舉例而言,界面134可含有背側氣體,當期望與介電板122熱隔離時,可抽空該背側氣體。當充有該背側氣體時,界面134可增加界面板124與介電板122之間的熱交換,以助於冷卻。為了加速平台114的冷卻,界面134可充有增加自介電板122至界面板124之導熱率的背側氣體。冷卻速率可藉由變化背側氣體壓力(於界面134中)及/或冷卻劑流速(於冷卻溝道136中)而受到控制。
平台114有助「熱佈植」基板40。佈植製程期間,基板40升高至超過周圍溫度的溫度且維持於該溫度。一個範例中,基板可維持在約攝氏80度至約攝氏600度的溫度。佈 植期間的增加的溫度助於修復對基板40之結晶結構引發的損壞,此損壞是由於佈植製程期間帶有能量的離子與基板40衝擊之故。藉此,相較於室溫下執行的佈植製程,熱佈植製程之後存在較少量或尺寸較小的缺陷。
第2A圖繪示適於執行本文之實施例內描述的退火製程的退火設備200的概略等角視圖。舉例而言,退火設備200可執行奈秒退火製程,以活化基板內的摻雜劑且校正基板內的結晶缺陷。一個實施例中,能量源220適於將一定量的能量投射至基板40的限定之區域(或退火區域212),以優先退火該退火區域212內的某期望區域。一個實施例中,如第2A圖所示,在任何給定時間,基板40的僅一或多個限定區域(諸如退火區域212)暴露至來自能量源220的輻射。本案揭露內容之一個態樣中,基板40的單一區域依序暴露至期望量的能量(該能量來自能量源220)以引發優先退火基板40之期望區域。一般而言,一或多個電致動器217(例如,線性馬達、螺桿、與伺服馬達)用於控制基板40之移動與位置,該等電致動器217可以是分離的精密平台(precision stage)的一部分。
第2A圖中所示的一個態樣中,退火區域212(與遞送至該退火區域212的輻射)尺寸經設計以匹配晶粒213(例如,第2A圖中顯示40個「晶粒」)或半導體元件(例如記憶體晶片)之尺寸,上述晶粒或半導體元件形成於基板40之表面上。一個態樣中,退火區域212的邊界對準且尺寸設計成配適(fit)於「劈痕」(kerf)線或「刻劃」線210A內, 該劈痕線或刻劃線界定每一晶粒213的邊界。依序定位退火區域212使得該等退火區域212僅重疊於晶粒213之間自然產生的非使用空間/邊界(諸如刻劃線或劈痕線),而減少將能量重疊於基板上形成元件之區域中的需要,從而減少重疊退火區域之間製程結果的差異。一個範例中,基板表面上形成的依序定位的退火區域212的每一者之面積介於約4mm2(例如2mm×2mm)至約1000mm2(例如25mm×40mm)之間。應考量退火區域212的邊緣之尺寸與形狀可取決於處理方案之需求而調整。
能量源220大體上適於遞送電磁能量,以優先退火基板表面之某些期望區域。一般的電磁能量源包括(但不限於)光學輻射源(例如雷射或閃光燈)、電子束源、離子束源、及/或微波能量源。一個範例中,來自能量源220的多個脈衝的能量經裁製(tailor),使得遞送遍及退火區域212的能量的量及/或於整個脈衝週期遞送的能量的量最佳化,以便不至於熔融(或幾乎熔融)退火區域或結晶種區域(該等區域已預先沉積在基板表面上)。相反地,來自能量源220的多個脈衝的能量經裁製而遞送足夠能量以促進磊晶再生長,例如漸進地從結晶種區域之表面磊晶式再生長非晶層。因此,退火區域下方的結晶種區域的重要(significant)部分被活化且傳播遍佈整個非晶層,從而使沉積於結晶種區域上方的非晶層再結晶。
一個實施例中,能量源220的波長經調諧(tune)而使得輻射的重要部分被配置於基板40上的層吸收。對於含 矽層上執行的退火製程而言,舉例而言,輻射波長可少於約800nm,且可以深紫外線(UV)、紅外線(IR)、或其他期望的波長遞送。一個範例中,可用波長為約365nm或更低的輻射照射包括GaN的基板。另一實施例中,可用波長為約460nm或更低的輻射照射InGaN。由於對不同材料而言光吸收波長有所不同,所以應考量,基板的多個部分的「選擇性退火」可藉由調諧光源波長以優先加熱基板之期望區域而執行。應考量不同光波長的使用可用於選擇性退火基板表面之不同區域,以及垂直堆疊的元件之不同層。舉例而言,可選擇輻射波長以通過結構的最上層,且被結構的下面的層吸收(從而退火或活化該下面的層)。
一個實施例中,能量源220是強烈光源(諸如雷射),該強烈光源適於遞送波長介於約500nm至約11微米之間的輻射。另一實施例中,能量源220可以是鎢鹵素燈或閃光燈,這些燈的特色是複數個發射輻射的燈,諸如氙氣、氬氣、或氪放電燈。所有情況中,用於退火製程的能量脈衝大體上發生相對短的時間,諸如約1奈秒至約10毫秒之等級,且在本文中可稱為「奈秒退火」。
第2B圖是第2A圖的設備200的概略側視圖。電源202耦接能量源220。能量源220可包括能量產生器204與光學組件208,該能量產生器204可以是諸如前文所述的彼等光源。能量產生器204裝設成產生能量且將該能量引導至光學組件208中,該光學組件208繼而如期望般塑形能量以遞送至基板40。光學組件208大體上包括透鏡、濾光片、鏡、與 類似物,上述透鏡、濾光片、鏡、與類似物裝設成對能量產生器204所產生之能量聚焦、偏振、去偏振、過濾、或調整同調性,目的在於遞送均勻的光柱給退火區域212。
為了遞送能量脈衝,能量產生器204可含有脈衝雷射,該脈衝雷射可裝設成發射單一波長的光或同時發射兩個波長的光。在一個實施例中,能量產生器204可包括Nd:YAG雷射,該雷射具一或多個內部頻率轉換器,該內部頻率轉換器使雷射頭發射不同雷射頻率的光。或者,能量產生器204可裝設成同時發射三個或更多個波長,或進一步替代式或額外地提供波長可調諧的輸出。一個範例中,用於該能量產生器104中的雷射頭經Q切換(Q-switch)以發射短且強的脈衝,且脈衝持續時間範圍是例如從1奈秒至1秒。
為了實現脈衝雷射,該設備可含有開關(switch)206。該開關206可以是快速的快門(shutter),該快門可在1微秒或更低的時間內開啟或關閉。或者,開關206可為光學開關,諸如不透明晶體,該不透明晶體在具閾值強度的光沖射至該晶體上時會在少於1微秒的時間內變得澄澈。光學開關透過中斷朝基板引導的電磁能量之連續射束而產生脈衝。開關是由控制器221操作,且可位於能量產生器204內側或外側,諸如耦接或緊固至能量產生器204的出口區。控制器221可裝設成如所需般關閉及開啟電源102,或者可設置電容器218使得該電容器由電源202充電,且憑藉控制器221賦能的電路而放電至能量產生器204。藉由電容器的電切換是一種自我切換的方式,因為能量產生器204在電容器218提供 之電力跌落低於某電力閾值時會停止產生能量。當電容器218由電源202再充電時,隨後電容器218可放電至能量產生器204,而產生另一脈衝能量。一些實施例中,電開關可裝設成在少於1奈秒的時間內開啟或關閉電力,從而助於奈秒退火製程。
一個實施例中,可期望藉由將基板40之表面(如第2A圖所繪示)成與熱交換裝置215之基板支撐表面216熱接觸,而於熱處理期間控制基板之溫度。熱交換裝置215大體上適於在退火製程之前或期間加熱及/或冷卻基板,以改善基板之退火區域的後處理性質。大體上,基板40放置在處理腔室(圖中未示)的封閉的處理環境(圖中未示)之內,該處理環境含有該熱交換裝置215。處理期間基板安置於其內的處理環境可抽空,或含有適合期望製程的氣體。舉例而言,本案揭露內容的實施例可用於需要提供某些氣體至腔室的沉積或佈植製程。第2A圖中所示的一個態樣中,熱交換裝置215含有電阻式加熱元件215A與溫度控制器215C,該電阻式加熱元件215A與溫度控制器215C適於加熱配置於基板支撐表面216上的基板。溫度控制器215C可與控制器221相通。
另一實施例中,可期望於處理期間冷卻基板,以減少由於退火製程期間添加至基板的能量所造成的交互擴散。需要漸增式熔融基板的製程中,往後冷卻可能增加再生長速率,而可於處理期間增加各個區域的非晶化。熱交換裝置215可含有一或多個流體溝道215B與極冷冷卻器(cryogenic chiller)215D,該流體溝道215B與極冷冷卻器215D適於冷 卻配置在基板支撐表面216上的基板。一個態樣中,極冷冷卻器215D與控制器221相通,該極冷冷卻器215D適於遞送冷卻流體通過該一或多個流體通道215B。
第3圖繪示根據本案揭露內容的一個實施例的用於處理基板的方法360。該方法360開始於操作362,其中基板定位在第一製程腔室中,該基板諸如為矽、砷化鎵(GaAs)、氮化鎵(GaN)、氮化銦鎵(InGaN)、磷化銦(InP)、或氮化銦鋁鎵(InAlGaN)基板。第一製程腔室可以例如為佈植腔室,該佈植腔室能夠於升高的溫度將摻雜劑佈植進入基板(例如,熱佈植),諸如針對第1圖所描述的系統100。一旦基板已定位在第一腔室,則於操作364執行熱佈植製程,以將摻雜劑(諸如p型摻雜劑)佈植進入基板中。p型摻雜劑的範例包括鎂、鈹、鈣、鍶、鋇、與鋅。該摻雜劑可以約1x1020原子/cm3(或更高)的濃度併入基板,以藉由在觸點正下方區域(諸如p-GaN區域)進行重度摻雜而助於形成與基板的電接觸,使得接近表面的電洞濃度增加。一個範例中,可用鎂以大於1x1019原子/cm3的濃度摻雜GaN層,以助於與GaN的歐姆接觸。大體而言,三五族化合物半導體可摻雜有鎂達到大於1x1019原子/cm3的濃度,以增加活性層中p型摻雜的濃度,且也助於歐姆接觸的形成。期望藉由摻雜基板材料(例如GaN)減少接觸電阻,使得對於光子元件(諸如以GaN為基礎的發光二極體與雷射二極體)可達成更高的光學透射度與改善的光學性能,且使得對於電子元件而言,可達成改善的元件特徵(低操作電壓)。此外,元件可靠度將可藉由減 少接觸電阻改善。
操作364的熱佈植製程期間,基板維持於範圍在約攝氏80度至約攝氏600度內的溫度。例如,矽基板可維持於低於約攝氏500度的溫度,諸如約攝氏400度。另一範例中,砷化鎵基板可維持於範圍在約攝氏80度至約攝氏600度內的溫度,諸如約攝氏300度至約攝氏400度的溫度。藉由將基板於佈植期間維持在升高溫度(例如,高於室溫),由於動態退火之故,晶格的損壞減少,因佈植造成的結晶性結構中的缺陷尺寸與量皆減少。處理期間基板的增加溫度助於處理期間的晶格修復。藉由執行熱佈植,填隙空位(例如點缺陷)快速重組,造成較少團簇與較少缺陷。由於存在較少晶體缺陷(這是因為處理期間的修復所致),所以可使後續的晶格修復操作變得更短,從而減少基板之熱預算以及氮或摻雜劑釋氣的量,所述釋氣是由於延長升高溫度而發生。
進一步而言,一些實施例中,諸如鈹、鎂、鈣、鍶、與鋇之二族元素可與五族元素(諸如磷)於室溫或升高的溫度下共同摻雜,以產生P+的接近表面的GaN層。與鎂共佈植的磷有助於增加鎵的空位、增進活化、且減少p型佈植的擴散。同樣,可將包括光阻劑、二氧化矽、氮化矽、旋轉塗佈碳、聚亞醯胺、先進圖案化薄膜(APF)、Topaz、或非晶碳中的一或多者的硬遮罩用於圖案化與選擇性開啟供摻雜之區域。
在第一腔室中的熱佈植製程之後,於操作366中,基板定位於第二腔室,諸如沉積腔室。該沉積腔室可以是原 子層沉積(ALD)腔室與化學氣相沉積(CVD)腔室、物理氣相沉積(PVD)腔室、或類似腔室,上述腔室可購自美國加州Santa Clara的應用材料公司。
在操作368,將覆蓋層形成於基板上。該覆蓋層可藉由ALD、CVD、或PVD之一或多者由例如氮化矽、氮化鋁、矽、氮化鎵、或二氧化矽之一或多者形成。該覆蓋層可沉積到約20埃至約500埃的厚度,諸如約20埃至約200埃。該覆蓋層減少退火或活化製程期間摻雜劑離子從基板的釋氣,同時也減少五族原子從基板表面損失的可能性。五族元素之原子的損失(諸如來自氮化鎵膜的氮)可導致GaN表面分解,從而非期望地影響膜品質。摻雜劑原子的釋氣的減少會減少「過度摻雜」基板的需求,而確保熱處理後留有足夠的摻雜劑。避免過度摻雜會進一步減少發生結晶結構損壞。一些範例中,基板的過量摻雜可造成不想要的基板損壞,且也可引發p型摻雜劑的去活。
一個範例中,覆蓋層是由PVD製程形成的AlN材料。在這樣的情況中,AlN材料可藉由下述方式沉積在基板上:在維持於減壓下的氬氣(Ar)與氮氣(N2)之氣體混合物中反應性濺射Al,該減壓諸如為維持在約0.5mTorr至數Torr的環境,例如約2mTorr至約300Torr。或者,AlN材料可藉由下述方式沉積在基板上:於氬氣(Ar)及/或氮氣(N2)環境中RF及/或DC偏壓氮化鋁(AlN)靶材,以將AlN材料濺鍍於基板表面上。也考量到,AlN材料可藉由於富含氮氣(N2)的環境中蒸鍍鋁(Al)而沉積,或是藉由使用CVD方 法形成AlN層而沉積。
形成覆蓋層後,於操作370,基板定位在退火設備中。退火設備可例如為針對第2A圖與第2B圖所述的退火設備200。然而,應考量可使用能夠執行快速高溫退火的其他退火設備,諸如利用燈的閃光退火設備。
在操作372,於基板上執行奈秒退火製程,以移除佈植誘發的缺陷以及活化摻雜劑物種。退火製程可以是任何高溫熱退火製程,該製程能夠移除輻射損壞與將摻雜劑物種移動至基板上三五族材料的適當取代晶格位置上,而退火溫度為約900℃或更高,例如約攝氏1100度至約攝氏1500度。一個範例中,包括GaN或InGaN的基板可在高於約攝氏1000度的溫度退火。一些範例中,五族材料可具有低游離溫度(對於GaN中的N而言,大約攝氏800度或更高),從而應非常快速地在期望上達到所需的退火溫度,且退火之持續時間應限制在相對短的時間(諸如次微秒的持續時間),以保有GaN的表面形態與晶格品質。
操作372期間,以一系列依序的脈衝能量遞送電磁輻射能量,以容許摻雜劑的受控擴散及/或容許目標層或基板的期望區域內短距離上的佈植損壞的移除。短距離可介於約一個晶格平面至數十個晶格平面之間。單一脈衝期間遞送的能量的量一般短得足以提供平均擴散深度,該平均擴散深度僅為單一晶格平面的一部分,從而退火製程可需要多個脈衝以達成期望量的摻雜劑擴散或晶格損壞校正。強度與波長可取決於摻雜劑原子的深度與期望的移動量而調諧。所用的能 量之波長範圍可大體上從微波(例如約3cm)通過可見光波長,至深紫外線(例如約150奈米(nm))。範圍從約300nm至約1100nm的波長例如可用於雷射應用,諸如低於約800nm的波長。脈衝退火製程期間,每一連續脈衝構成微退火(micro-anneal)循環,其中能量遞送至目標層且傳播通過該目標層,該目標層例如為三五族化合物層。
既然每一脈衝足夠短且每一脈衝的加成效應局部化且將不會引發基板中溫度上升,所以五族元素的分解不會發生,且不會超過基板的熱預算。毋寧是,藉由存在高濃度的基板與覆蓋層(若使用的話)的五族材料區域,表面形態受到進一步控制。
在本案揭露內容的各種實施例中,脈衝雷射退火製程的每一脈衝可遞送約0.2J/cm2至約100J/cm2的能量密度且功率層級為至少10毫瓦(mW)(諸如介於約10mW至10W之間),且依序的脈衝的數目可在約30個至約100,000個脈衝間變化,每一脈衝的持續時間為約1奈秒(nsec)至約10毫秒(msec)。每一脈衝的持續時間可低於10msec,諸如介於約1msec至約10msec之間,或介於約1nsec至約10微秒(μsec)之間,或甚至低於約100nsec。一些範例中,每一脈衝的持續時間可介於約1nsec至約10nsec之間,諸如約1nsec。另一範例中,每一脈衝的持續時間可為介於約2nsec至約200nsec之間。
佈植與活化摻雜劑的先前技術方法已聚焦在僅只熱佈植抑或雷射退火(諸如奈秒退火)之單一方法,以減少處 理過的基板的熱預算。舉例而言,一些方法已執行室溫佈植,之後執行退火製程。在這樣的方法中,佈植製程經常引發比在退火製程中所能修復的還要更多的損壞,從而造成最終元件中的缺陷。即使企圖使缺陷消散而在退火製程期間超過熱預算,晶格損壞的程度可能太顯著而難以復原。此外,摻雜劑濃度可能過於接近基板表面而使得會熔融基板的再結晶。另一方法中,已執行熱退火而無後續的雷射或奈秒退火製程。在這樣的方法中,仰賴受熱的支座以將基板之溫度提升到足夠高的溫度而活化摻雜劑。然而,此方法也大體上會超過期望的基板熱預算,且更甚者,可能導致摻雜劑原子或五族原子非期望地釋氣。此外,此方法經常不會消散佈植製程引發的基板中的所有點缺陷。
熱佈植與奈秒退火之組合提供許多勝於每一個別製程的優點。此提議之方法實現最佳的元件積體流程且提供擁有者成本的優點。該熱佈植製程在佈植期間建立缺陷時消散基板之結晶晶格中的缺陷,這是由於增進動態退火所致。增加佈植溫度增加動態退火且形成較少點缺陷團簇,造成非晶化(填隙空位團簇)減少。藉此,缺陷拓展超過小型點缺陷的可能性大幅降低。再者,熱佈植造成例如在熱佈植製程期間早期摻雜劑活化。進一步而言,殘餘的小型點缺陷在雷射或奈秒退火製程期間可快速消散,這與摻雜劑活化同時進行。因此,藉由使用熱佈植製程與雷射或奈秒退火之組合,基板之熱預算最小化,結晶結構修復。
儘管第3圖繪示方法360的一個實施例,但也應考 量其他實施例。另一實施例中,考量可排除第3圖的操作366與368。另一實施例中,考量第3圖的操作366與368可發生在操作362之前。在此實施例中,操作374可發生在操作372中的退火製程之前或之後。當操作366與368發生在操作362之前時,覆蓋層存在於基板上可助於控制佈植深度與接近基板表面的摻雜劑濃度。一些範例中,可期望在靠近基板表面處獲得重度的摻雜劑濃度(諸如約1×1020原子/cm3),以形成超淺接面或高度摻雜的P++層。因為摻雜劑的佈植深度大體上依循高斯分佈,所以覆蓋層的厚度可選擇以將高斯分佈的峰值定位在離基板表面的期望深度處,例如離約10至約200埃。
第4圖繪示群集工具,其中可執行本文所述的實施例。群集工具490特徵在於至少一個磊晶沉積腔室,如前文所述。群集工具490的範例為CENTURA®系統,該系統可購自美國加州Santa Clara應用材料公司。也可使用由其他製造商所製造的群集工具。任何便利類型的移送機器人491配置於群集工具的移送室492中。裝載閘493(具兩個裝載閘腔室493A、493B)耦接移送室492。複數個製程腔室494、495、496、497與498也耦接移送室492。複數個製程腔室494、495、496、497與498可包括下述至少一者:預清潔腔室、材料沉積腔室(諸如磊晶沉積腔室)、熱製程腔室(諸如退火、脫氣(degas)、或氧化腔室)、或佈植腔室。舉例而言,該等製程腔室494、495、496、497與498的任一者可包括針對第1圖所討論的佈植腔室,或針對第2A圖與第2B圖討論的退 火設備。
腔室494可以是預清潔腔室,該預清潔腔室裝設成在緩衝層及/或元件層之沉積前清潔基板。該預清潔腔室可以裝設成執行應用材料公司的SICONITM預清潔製程。腔室495及/或497可以是材料沉積腔室,諸如磊晶沉積腔室,該磊晶沉積腔室能夠執行磊晶生長製程。腔室496及/或498可以是熱處理腔室,該熱處理腔室能夠執行熱處理製程,包括雷射退火製程。
在本案揭露內容中,討論p型的三五族化合物,例如GaN。然而,應考量類似的概念可應用至n型GaN或任何其他三五族化合物半導體(諸如,GaAs、InSb、InAs、InP、GaSb、GaP、或AlSb等(以上為舉例))或二六族化合物半導體(諸如ZnS、ZnSe、ZnTe、CdS、CdSe、CdTe、或BeO等(以上為舉例)),包括上述材料之二元、三元、與四元的摻合物(alloy),或用於膜性質改善的非相似的基板上其他半導體的生長。應考量基板可由前述材料形成,或可包括前述材料之一或多層,且該前述材料配置在另一材料上。例如,應考量三五族材料可配置在包括下述材料之基板上,該等材料為:藍寶石(Al2O3)、矽(Si)、碳化矽(SiC)、氧化鋰鋁(LiAlO2)、氧化鋰鎵(LiGaO2)、氧化鋅(ZnO)、氮化鎵(GaN)、氮化鋁(AlN)、石英、玻璃、砷化鎵(GaAs)、磷化銦(InP)、尖晶石(MgAl2O4)、或前述材料之組合,且該三五族材料可經受本文所揭露的操作。
本案揭露內容的優點包括將基板材料充分摻雜至期 望濃度的能力,使得可達成更高的光學透射性、改善的光學性能、以及增加的元件可靠度。舉例而言,藉由熱離子佈植後接奈秒退火而熱汲引與活化摻雜劑所達成的阻障寬度操縱減少了p型GaN層與配置在該層上的觸點金屬之間的高接觸電阻。本案揭露內容的實施例造成接觸特性改善(諸如接觸電阻減少),還有GaN光子元件性能改善(諸如輸出的光萃取變高、電流散佈更佳(減少吸熱體的需求)、增加可靠度、以及GaN電子元件特性)。相對低的摻雜劑濃度(諸如低於約1x1018原子/cm3)會非期望地造成基板上配置的金屬觸點與基板之三五族或二五族層之間形成蕭特基阻障物。然而,蕭特基阻障物的寬度取決於摻雜劑濃度,因此當達成夠高的摻雜劑濃度(例如,超過約1x1018原子/cm3)時可充分克服蕭特基阻障物的寬度。本文所述的熱佈植與奈秒退火之組合得以達成期望的摻雜劑分佈曲線,且同時減少基板的結晶缺陷並改善摻雜劑活化。
前述內容涉及本案揭露內容之實施例,但可不背離本案揭露內容之基本範疇而設計本案揭露內容之其他與進一步的實施例,且本案揭露內容之範疇由下文的申請專利範圍所決定。
360‧‧‧方法
362-374‧‧‧操作

Claims (20)

  1. 一種處理基板之方法,包括下述步驟:於一熱佈植製程期間將一摻雜劑佈植至一基板中,其中在該熱佈植製程期間,該基板維持於範圍在約攝氏80度至約攝氏600度內的溫度;以及於一奈秒退火製程期間退火該基板,以活化該摻雜劑且修復該基板中的結晶缺陷,其中在該奈秒退火製程期間,該基板暴露至一或多個脈衝的雷射能量,該等脈衝的雷射能量每一個具有低於約10微秒的持續期間,且該基板維持固態。
  2. 如請求項1所述之方法,其中該基板包括砷化鎵,且其中在該熱佈植製程期間,該基板維持於低於約攝氏500度的溫度。
  3. 如請求項1所述之方法,其中該基板包括氮化鎵、磷化銦、或砷化銦鎵。
  4. 如請求項1所述之方法,其中每一脈衝的持續時間各為介於約1奈秒至約10奈秒之間。
  5. 如請求項1所述之方法,其中該基板被摻雜達約1x1020原子/cm3或更高的濃度。
  6. 如請求項1所述之方法,其中於該將一摻雜劑佈植的步驟期間,該基板維持在約攝氏300度至約攝氏400度之間的溫度。
  7. 如請求項1所述之方法,其中每一脈衝的持續時間介於約2奈秒至約200奈秒之間。
  8. 如請求項1所述之方法,進一步包括下述步驟:將一覆蓋層配置於該基板上。
  9. 如請求項1所述之方法,其中該基板包括矽且該雷射能量具有約800奈米或更短的波長。
  10. 如請求項1所述之方法,其中該基板包括氮化鎵且該雷射能量具有約365奈米或更短的波長。
  11. 如請求項1所述之方法,其中該基板包括氮化銦鎵且該雷射能量具有約460奈米或更短的波長。
  12. 如請求項1所述之方法,其中該基板包括氮化鎵且是以鎂摻雜到約1x1019原子/cm3或更高的濃度。
  13. 一種處理基板的方法,包括以下步驟: 於一熱佈植製程期間將一摻雜劑佈植至一基板中,其中在該熱佈植製程期間,該基板維持在低於約攝氏500度的溫度;以及於一奈秒退火製程期間退火該基板,以活化該摻雜劑且修復該基板中的結晶缺陷,其中在該奈秒退火製程期間,該基板暴露至一或多個脈衝的雷射能量,該等脈衝的雷射能量每一個具有低於約10微秒的持續期間,且該基板維持固態。
  14. 如請求項13所述之方法,其中該基板包括矽且該雷射能量具有約800奈米或更短的波長。
  15. 如請求項13所述之方法,其中該基板包括氮化鎵且該雷射能量具有約365奈米或更短的波長。
  16. 如請求項13所述之方法,其中該基板包括氮化銦鎵且該雷射能量具有約460奈米或更短的波長。
  17. 如請求項13所述之方法,其中該基板被摻雜到約1x1020原子/cm3或更高的濃度。
  18. 如請求項13所述之方法,其中於該將一摻雜劑佈植的步驟期間,該基板維持在約攝氏300度至約攝氏400度之間的溫度。
  19. 如請求項13所述之方法,其中每一脈衝的持續時間介於約1奈秒至約10奈秒之間。
  20. 一種處理基板的方法,包括以下步驟:於一熱佈植製程期間將一摻雜劑佈植至一基板中,其中在該熱佈植製程期間,該基板維持於約攝氏300度至約攝氏400度之間的溫度;以及於一奈秒退火製程期間退火該基板,以活化該摻雜劑且修復該基板中的結晶缺陷,其中在該奈秒退火製程期間,該基板暴露至一或多個脈衝的雷射能量,該等脈衝的雷射能量每一個具有約1奈秒至約10奈秒之間的持續期間,且該基板維持固態。
TW103132297A 2013-10-07 2014-09-18 使用熱佈植與奈秒退火致使銦鋁鎵氮化物材料系統中摻雜劑的高活化 TW201517133A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201361887587P 2013-10-07 2013-10-07

Publications (1)

Publication Number Publication Date
TW201517133A true TW201517133A (zh) 2015-05-01

Family

ID=52777273

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103132297A TW201517133A (zh) 2013-10-07 2014-09-18 使用熱佈植與奈秒退火致使銦鋁鎵氮化物材料系統中摻雜劑的高活化

Country Status (3)

Country Link
US (1) US20150099350A1 (zh)
TW (1) TW201517133A (zh)
WO (1) WO2015053996A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI699449B (zh) * 2018-08-30 2020-07-21 日商斯庫林集團股份有限公司 閘極絕緣膜之形成方法及熱處理方法
TWI720673B (zh) * 2018-10-31 2021-03-01 美商恩特葛瑞斯股份有限公司 經硼摻雜之非晶形碳硬遮罩及相關方法
TWI745387B (zh) * 2016-09-14 2021-11-11 美商應用材料股份有限公司 用於砷相關處理的除氣腔室
TWI825175B (zh) * 2018-09-18 2023-12-11 原子能和可替代能源委員會 發光元件之製造方法

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6482180B2 (ja) * 2014-03-25 2019-03-13 住友重機械工業株式会社 半導体装置の製造方法
JP6292104B2 (ja) * 2014-11-17 2018-03-14 三菱電機株式会社 窒化物半導体装置の製造方法
KR102549160B1 (ko) * 2014-11-18 2023-06-30 토요타 쯔우쇼우 가부시키가이샤 SiC 기판 처리 방법
US9793129B2 (en) * 2015-05-20 2017-10-17 Infineon Technologies Ag Segmented edge protection shield
US9859121B2 (en) 2015-06-29 2018-01-02 International Business Machines Corporation Multiple nanosecond laser pulse anneal processes and resultant semiconductor structure
JP6477396B2 (ja) * 2015-09-30 2019-03-06 豊田合成株式会社 窒化物半導体装置の製造方法
US10332739B2 (en) 2016-09-16 2019-06-25 Applied Materials, Inc. UV radiation system and method for arsenic outgassing control in sub 7nm CMOS fabrication
US10147584B2 (en) * 2017-03-20 2018-12-04 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for decelerated ion beam with no energy contamination
JP7228976B2 (ja) * 2018-08-27 2023-02-27 株式会社Screenホールディングス p型窒化ガリウム系半導体の製造方法および熱処理方法
CN115088079A (zh) 2020-02-11 2022-09-20 克罗米斯有限公司 使用溅射的镁源来扩散氮化镓材料中镁的方法和系统
CN112071748B (zh) * 2020-09-18 2023-04-25 松山湖材料实验室 一种低点缺陷密度宽禁带半导体单晶外延薄膜的制备方法
US11694897B2 (en) * 2021-06-16 2023-07-04 Applied Materials, Inc. Backside wafer dopant activation

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4230505A (en) * 1979-10-09 1980-10-28 Rca Corporation Method of making an impatt diode utilizing a combination of epitaxial deposition, ion implantation and substrate removal
US6355541B1 (en) * 1999-04-21 2002-03-12 Lockheed Martin Energy Research Corporation Method for transfer of thin-film of silicon carbide via implantation and wafer bonding
US20020086502A1 (en) * 2000-12-29 2002-07-04 Liu Mark Y. Method of forming a doped region in a semiconductor material
US20030040130A1 (en) * 2001-08-09 2003-02-27 Mayur Abhilash J. Method for selection of parameters for implant anneal of patterned semiconductor substrates and specification of a laser system
US6806117B2 (en) * 2002-12-09 2004-10-19 Progressant Technologies, Inc. Methods of testing/stressing a charge trapping device
US20070099404A1 (en) * 2005-10-28 2007-05-03 Sridhar Govindaraju Implant and anneal amorphization process
US8153537B1 (en) * 2005-12-15 2012-04-10 Globalfoundries Singapore Pte. Ltd. Method for fabricating semiconductor devices using stress engineering
US8753990B2 (en) * 2005-12-21 2014-06-17 University Of Virginia Patent Foundation Systems and methods of laser texturing and crystallization of material surfaces
US20070257315A1 (en) * 2006-05-04 2007-11-08 International Business Machines Corporation Ion implantation combined with in situ or ex situ heat treatment for improved field effect transistors
KR100870324B1 (ko) * 2006-06-16 2008-11-25 주식회사 하이닉스반도체 반도체 소자의 제조방법
US20080105828A1 (en) * 2006-11-08 2008-05-08 Varian Semiconductor Equipment Associates, Inc. Techniques for removing molecular fragments from an ion implanter
US8273633B2 (en) * 2007-03-26 2012-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of enhancing dopant activation without suffering additional dopant diffusion
US8324011B2 (en) * 2007-09-11 2012-12-04 Globalfoundries Singapore Pte. Ltd. Implementation of temperature-dependent phase switch layer for improved temperature uniformity during annealing
US20090084988A1 (en) * 2007-09-27 2009-04-02 Varian Semiconductor Equipment Associates, Inc. Single wafer implanter for silicon-on-insulator wafer fabrication
US20090120924A1 (en) * 2007-11-08 2009-05-14 Stephen Moffatt Pulse train annealing method and apparatus
US7800081B2 (en) * 2007-11-08 2010-09-21 Applied Materials, Inc. Pulse train annealing method and apparatus
US20090166770A1 (en) * 2008-01-02 2009-07-02 International Business Machines Corporation Method of fabricating gate electrode for gate of mosfet and structure thereof
US8679959B2 (en) * 2008-09-03 2014-03-25 Sionyx, Inc. High sensitivity photodetectors, imaging arrays, and high efficiency photovoltaic devices produced using ion implantation and femtosecond laser irradiation
US8895942B2 (en) * 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
JP2012503886A (ja) * 2008-09-25 2012-02-09 アプライド マテリアルズ インコーポレイテッド オクタデカボラン自己アモルファス化注入種を使用する無欠陥接合形成
US8283265B2 (en) * 2008-12-19 2012-10-09 Varian Semiconductor Equipment Associates, Inc. Method to enhance charge trapping
US9318644B2 (en) * 2009-05-05 2016-04-19 Solexel, Inc. Ion implantation and annealing for thin film crystalline solar cells
US8686508B2 (en) * 2009-09-03 2014-04-01 International Business Machines Corporation Structures, methods and applications for electrical pulse anneal processes
US20110070724A1 (en) * 2009-09-21 2011-03-24 Applied Materials, Inc. Defect-free junction formation using octadecaborane self-amorphizing implants
US20110212590A1 (en) * 2010-02-26 2011-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature implantation method for stressor formation
US8110431B2 (en) * 2010-06-03 2012-02-07 Suniva, Inc. Ion implanted selective emitter solar cells with in situ surface passivation
JP5559656B2 (ja) * 2010-10-14 2014-07-23 大日本スクリーン製造株式会社 熱処理装置および熱処理方法
KR101215649B1 (ko) * 2011-02-14 2012-12-26 에스케이하이닉스 주식회사 반도체 소자의 형성방법
US8624323B2 (en) * 2011-05-31 2014-01-07 International Business Machines Corporation BEOL structures incorporating active devices and mechanical strength
US9302348B2 (en) * 2011-06-07 2016-04-05 Ultratech Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US20130056793A1 (en) * 2011-09-07 2013-03-07 Applied Materials, Inc. Providing group v and group vi over pressure for thermal treatment of compound semiconductor thin films
US8592786B2 (en) * 2012-03-23 2013-11-26 Varian Semiconductor Equipment Associates, Inc. Platen clamping surface monitoring
WO2013158335A1 (en) * 2012-04-18 2013-10-24 Applied Materials, Inc. Apparatus and method to reduce particles in advance anneal process
SG10201503482QA (en) * 2012-06-11 2015-06-29 Ultratech Inc Laser annealing systems and methods with ultra-short dwell times
US9218973B2 (en) * 2012-06-15 2015-12-22 Applied Materials, Inc. Methods of doping substrates with ALD
US9299564B2 (en) * 2012-12-12 2016-03-29 Varian Semiconductor Equipment Associates, Inc. Ion implant for defect control
US8999800B2 (en) * 2012-12-12 2015-04-07 Varian Semiconductor Equipment Associates, Inc. Method of reducing contact resistance
US9443728B2 (en) * 2013-08-16 2016-09-13 Applied Materials, Inc. Accelerated relaxation of strain-relaxed epitaxial buffers by use of integrated or stand-alone thermal processing
US20150062772A1 (en) * 2013-08-27 2015-03-05 Varian Semiconductor Equipment Associates, Inc Barrier Layer For Electrostatic Chucks
US9263265B2 (en) * 2013-08-30 2016-02-16 Applied Materials, Inc. Crystallization of amorphous films and grain growth using combination of laser and rapid thermal annealing
WO2015038309A1 (en) * 2013-09-16 2015-03-19 Applied Materials, Inc. Method of forming strain-relaxed buffer layers
US20150311067A1 (en) * 2014-04-24 2015-10-29 Applied Materials, Inc. Millisecond annealing in ammonia ambient for precise placement of nitrogen in thin film stacks

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI745387B (zh) * 2016-09-14 2021-11-11 美商應用材料股份有限公司 用於砷相關處理的除氣腔室
US11649559B2 (en) 2016-09-14 2023-05-16 Applied Materials, Inc. Method of utilizing a degassing chamber to reduce arsenic outgassing following deposition of arsenic-containing material on a substrate
TWI699449B (zh) * 2018-08-30 2020-07-21 日商斯庫林集團股份有限公司 閘極絕緣膜之形成方法及熱處理方法
TWI825175B (zh) * 2018-09-18 2023-12-11 原子能和可替代能源委員會 發光元件之製造方法
TWI720673B (zh) * 2018-10-31 2021-03-01 美商恩特葛瑞斯股份有限公司 經硼摻雜之非晶形碳硬遮罩及相關方法
US11049728B2 (en) 2018-10-31 2021-06-29 Entegris, Inc. Boron-doped amorphous carbon hard mask and related methods

Also Published As

Publication number Publication date
WO2015053996A1 (en) 2015-04-16
US20150099350A1 (en) 2015-04-09

Similar Documents

Publication Publication Date Title
TW201517133A (zh) 使用熱佈植與奈秒退火致使銦鋁鎵氮化物材料系統中摻雜劑的高活化
US20130056793A1 (en) Providing group v and group vi over pressure for thermal treatment of compound semiconductor thin films
US4151008A (en) Method involving pulsed light processing of semiconductor devices
US8247317B2 (en) Methods of solid phase recrystallization of thin film using pulse train annealing method
US7569463B2 (en) Method of thermal processing structures formed on a substrate
US7795124B2 (en) Methods for contact resistance reduction of advanced CMOS devices
US20110227199A1 (en) Method for producing semiconductor substrate, semiconductor substrate, method for manufacturing electronic device, and reaction apparatus
JP6847573B2 (ja) GaNを主成分とする半導体をドープするための方法
JP2009302373A (ja) 半導体装置の製造方法
AU2021236824B2 (en) All-semiconductor Josephson junction device for qubit applications
CN110600368A (zh) 半导体工艺所用的方法
TWI474382B (zh) 用於缺陷工程的簇離子植入
KR101323222B1 (ko) 기판상에 형성되는 구조체의 열적 프로세싱을 위한 장치 및 방법
Chong et al. Control of transient enhanced diffusion of boron after laser thermal processing of preamorphized silicon
JP2018006607A (ja) 半導体装置の製造方法
WO2016014173A1 (en) Scanned pulse anneal apparatus and methods
US20070022623A1 (en) Laser surface drying
US11195732B2 (en) Low thermal budget annealing
CN112071748A (zh) 一种低点缺陷密度宽禁带半导体单晶外延薄膜的制备方法
US20170148726A1 (en) Semiconductor processing method and semiconductor device
AL-Nuaimy KrF Excimer Laser Doping of Si into GaN
Oesterlin et al. Laser annealing of doped semiconductors for ultra-shallow junctions: Systems for thermal processes in the ms range
Cao Advanced processing of gallium nitride for novel electronic devices
Rauschenbach Ion implantation, isolation and thermal processing of GaN and related Materials