TW201428874A - 脈衝序列退火方法及其設備 - Google Patents

脈衝序列退火方法及其設備 Download PDF

Info

Publication number
TW201428874A
TW201428874A TW103113256A TW103113256A TW201428874A TW 201428874 A TW201428874 A TW 201428874A TW 103113256 A TW103113256 A TW 103113256A TW 103113256 A TW103113256 A TW 103113256A TW 201428874 A TW201428874 A TW 201428874A
Authority
TW
Taiwan
Prior art keywords
substrate
energy
pulse
laser
pulses
Prior art date
Application number
TW103113256A
Other languages
English (en)
Other versions
TWI569347B (zh
Inventor
Stephen Moffatt
Joseph Michael Ranish
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201428874A publication Critical patent/TW201428874A/zh
Application granted granted Critical
Publication of TWI569347B publication Critical patent/TWI569347B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/062Shaping the laser beam, e.g. by masks or multi-focusing by direct control of the laser beam
    • B23K26/0626Energy control of the laser beam
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/352Working by laser beam, e.g. welding, cutting or boring for surface treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • H01L21/2686Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation using incoherent radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2101/00Articles made by soldering, welding or cutting
    • B23K2101/36Electric or electronic devices
    • B23K2101/40Semiconductor devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2658Bombardment with radiation with high-energy radiation producing ion implantation of a molecular ion, e.g. decaborane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Electromagnetism (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Recrystallisation Techniques (AREA)
  • Photovoltaic Devices (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本發明大致上描述用來在基材的期望區域上執行退火製程的設備和方法。在一個實施例中,利用閃光燈或雷射設備,將脈衝電磁能量供給到基材上。該些脈衝可以是從約1奈秒到約10毫秒長,並且每個脈衝具有比熔化基材材料需要的能量更小的能量。該些脈衝之間的間隔通常足夠長,以允許由每個脈衝給予的能量完全消散。由此,每個脈衝實現一個微退火循環。該些脈衝可以被一次性供給到整個基材上,或者一次性供給到基材的一部分上。進一步實施例提供了一種用來供電輻射組件的設備,和用來檢測基材上的脈衝的效果的設備。

Description

脈衝序列退火方法及其設備
本發明的實施例總括來說關於一種半導體元件的製造方法。更具體地,本發明關於一種熱處理基材的方法。
半導體元件的市場繼續遵循著摩爾定律(Moore’s Law)的軌迹前進。當前45奈米(nm)的元件幾何圖形計劃縮小到20nm或以下,以滿足將來性能的需求。對於要實現的這種按比例縮小,摻雜的源和汲極接合區的製造過程必須著眼在單個原子在很小晶格內的放置和移動。例如,一些將來的元件設計可以具有由少於100個原子組成的通道區。針對這種苛刻的需求,需要在幾個原子半徑內控制摻雜質原子的放置。
當前摻雜質原子的放置是通過將摻雜質佈植到矽基材的源極和汲極區以及然後退火該基材的製程來控制的。摻雜質可用來增強矽基質中的導電性,以引起對晶體結構的損傷,或者控制層之間的擴散。例如硼(B)、磷(P)、砷(As)、鈷(Co)、銦(In)和銻(Sb)的原子可用來增強導電性。矽(Si)、鍺(Ge)和氬(Ar)可用來引起晶體損傷。對於擴散控制,通常使用碳(C)、氟(F)和氮(N)。在退火期 間,一般將基材加熱到高溫,以便在基材中定義的多個IC元件中發生各種化學和物理反應。退火處理從先前製造的非晶的基材區域再建立一更結晶的結構,並且通過將摻雜質原子合併到基材的晶格中來“激活(activate)”摻雜質。使晶格有序和激活摻雜質會降低摻雜區的電阻率。熱處理,例如退火,包括將相對大量的熱能在很短的時間內引到基材上,之後快速地冷卻該基材以結束該熱處理。已經被廣泛使用一定時間的熱處理的例子,包括快速熱處理(RTP)和脈衝(尖峰)退火(impulse(spike)annealing)。雖然被廣泛使用,但是這種處理並不理想,因為它們使晶圓溫度的變化傾斜(ramp)太小,且使該晶圓暴露在高溫下的時間太長。隨著增加的晶圓尺寸、增加的開關速度和/或縮小的特徵尺寸,這些問題變得更嚴重。
通常,傳統的熱處理在根據預定熱程式的控制條件下加熱該基材。這些熱程式基本包括:半導體基材的目標溫度;溫度改變速率(即,溫度上升和下降速度);和熱處理系統保持在特定溫度下的時間。例如,熱程式要求基材從室溫加熱到1200℃或更大的峰值溫度,並且要求每個峰值溫度附近的處理時間範圍直到60秒或更大。
對摻雜基材進行退火的所有處理的目標是在基材內產生足夠的原子運動,以使摻雜質原子佔據晶格位置,並使矽原子重新安排自己進入結晶圖案,不讓摻雜質原子廣泛地擴散通過該基材。這種寬擴散通過會降低摻雜質的濃度和使它們傳播到更大的基材區域中,降低了摻雜區的電氣性能。 為了實現這些目的,溫度斜率(無論上升和下降)都較佳地要高。換句話說,希望能夠在盡可能短的時間內將基材溫度從低溫調整到高溫,反之亦然。當前退火製程通常能夠保持約3-4nm/每十年(10%改變)的濃度陡峭度(concentration abruptness)。然而,當接合深度縮小到小於100埃時,所關心的是未來的陡峭度小於2nm/每十年。
對高溫度斜率的需要致使開發快速熱處理(RTP),與傳統熔爐的5-15℃/分鐘相比,其典型的溫度傾斜上升速率範圍從200至400℃/s。典型的傾斜下降速率在80-150℃/s的範圍內。雖然IC元件僅存在於基材頂部的數微米中,但是RTP能加熱整個基材。這限制了能夠多快地加熱和冷卻該基材。而且,一旦整個基材處在高溫下,熱量會僅散逸到周圍的空間或結構中。結果,當今技術發展水平的RTP系統係努力使勁以為了實現400℃/s的傾斜上升速率和150℃/s的斜坡下降速率。
脈衝和尖峰退火已經用來進一步加速溫度傾斜。在單個脈衝中,能量在非常短的時間內被提供到基材的一個部分上。然而,為了提供足夠的能量以進行實質退火,需要很大的能量密度。例如,脈衝退火需要使提供到基材上的能量密度在約2J/cm2以上。在單個持續時間短的脈衝中,提供足夠的能量來充分退火基材經常會造成其表面的重大損傷。而且,向基材提供非常短的能量脈衝會導致不均勻的問題。此外,需要激活摻雜質的能量可能非常不同於需要安排晶格的能量。最終,即使用脈衝和尖峰退火,縮小元件尺寸也會導 致摻雜質超過接合區域的過擴散(over-diffusion)。
一些人已經嘗試使用兩個或多個能量脈衝來退火基材,其中第一能量脈衝可以被設計成接近激活摻雜質需要的能量,並且隨後的脈衝單獨地調整強度或持續時間以為了將晶格排序的目的而實現基材的目標熱歷史。已經報導了這些努力的成功是受限的。應該想到,提供不同能量的脈衝,在促使組織晶格時,可以免除在第一個脈衝中實現的摻雜質激活。由脈衝提供之能量的不同模式可能會激起晶格內不同模式的運動,這通常可以移除晶體缺陷,同時使一些摻雜質原子從它們的激活位置移出。而且很難實現均勻處理。
為了解決在傳統RTP型製程中存在的問題,已使用各種掃描雷射退火技術來退火基材的表面。通常,這些技術向基材表面上小的區域提供恒定的能量通量,同時相對於提供到小區域的能量來平移或掃描該基材。即使向每個區域提供恒定的能量通量,也很難實現均勻處理,這是因為該些退火區具有不同的熱歷史。最初處理的區域具有包括尖峰之後長熱吸收(heat-soak)的熱歷史,最後處理的區域具有長熱吸收之後的尖峰,而中間處理的那些區域具有熱吸收/尖峰/熱吸收的歷史。由於嚴格的均勻性要求和將橫過基材表面之掃描區的重疊減到最小的複雜性,對於形成在基材表面上的下一代接觸級元件的熱處理,這些類型的處理不是有效的。
此外,由於隨著對增加元件速度的需求,半導體元件中各種構件的尺寸降低了,所以允許快速加熱和冷卻的普通傳統退火技術不再有效。在具有包括60個原子的通道區的 下一代元件中,由於能量要被傳送的區域中的分級,不能採用通常基於物質體內分子轉移能量的統計處理的傳統觀念的溫度和熱梯度。傳統的RTP和雷射退火製程將基材溫度升高到約1150-1350℃之間持續僅約一秒,以移除基材中的損傷並達到期望的摻雜質分佈。在一個製程步驟中,這些傳統的方法試圖將基材加熱到相當高的溫度,然後在相對短的時間期間內快速冷卻它。為了確保期望的摻雜質分佈保留在這些小元件區域中,人們需要設計在小於約0.02至約1秒的時間內快速地加熱和冷卻基材於峰值退火溫度(對於RTP製程峰值退火溫度典型地在約1150-1200℃之間)和防止摻雜質原子繼續擴散的溫度(例如,<750℃)之間的一種方式。用標準的熱處理製程以這麽高的速度加熱和冷卻基材通常是不可能的,這是因為基材本身一般將花費約0.5秒才能冷卻下來。為了引起更快速的冷卻,需要採用冷卻媒質,其依次需要大量的能量將基材加熱到目標溫度。即使沒有冷卻媒質,利用傳統的技術使基材的溫度保持在高水平需要的能量也是相當難以克服的。一次僅處理基材的一部分減少了能量預算,但是在基材中產生了能夠使其破裂的應力。
考慮到上面這些,需要一種退火半導體基材的方法,其具有充分的能量供給控制以能夠對小元件進行退火,並且需要一種能夠實施該方法的設備。這將實現在製造可達到高性能的更小元件上的必要控制。
本發明通常提供一種用來對基材進行脈衝退火的設 備和方法。更具體地,本發明的實施例提供了一種用來處理基材的設備,包括:主體部分;耦合到主體部分的基材支撐件;設置在輻射組件中的多個電磁發射源;耦合到主體部分的輻射組件;耦合到輻射組件的一個或多個電源;耦合到電源的控制器;和配置以檢測來自基材的聲波發射的檢測器。
本發明的其它實施例提供一種對基材進行退火的方法,包括:將基材設置在基材支撐件上;將至少100個脈衝的電磁能量引向基材;和在每個脈衝的電磁能量撞擊基材時,檢測由基材產生的聲波。
本發明的其它實施例提供一種對基材進行退火的製程,包括:將基材放置在處理腔中的基材支撐件上;和向基材的表面供給多個電磁能量脈衝,其中多個電磁脈衝中的每個具有總能量和脈衝持續期間,並且在該脈衝持續期間上供給的多個電磁脈衝中的每個的總能量不足以將設置在基材表面上和基材表面內的材料加熱到其熔點以上的溫度。
本發明的實施例進一步提供一種處理具有前側和後側的基材的方法,包括:將基材放置在處理腔中的基材支撐件上;將基材支撐件的溫度控制在低於基材的熔化溫度的溫度;向基材的第一表面供給第一脈衝的電磁能量,其中第一脈衝的電磁能量具有第一總能量和第一持續期間;響應於撞擊基材第一表面的第一脈衝電磁能量,偵測到達基材第二表面的能量的量;基於檢測到達第二表面的能量的量,選擇第二電磁能量脈衝的第二期望的總能量和第二持續期間;和向基材的第一表面供給第二脈衝電磁能量。
本發明的實施例進一步提供一種對處理腔中的基材進行退火的方法,包括:將基材設置在基材支撐件上;將基材支撐件的溫度控制在基材熔化溫度之下的溫度;將第一多個電磁能量脈衝引向基材的第一表面,每個能量脈衝具有約1nsec(奈秒)和約10msec(毫秒)之間的持續期間並且能量密度小於熔化基材材料需要的能量密度;響應於撞擊基材第一表面的第一組電磁能量脈衝中的每個電磁能量脈衝,偵測到達基材第二表面的能量的量;基於檢測到達第二表面的能量的量,選擇隨後的電磁能量脈衝的功率等級;以選擇的功率等級將第二組電磁能量脈衝引向基材的第一部分,每個電磁能量脈衝具有約20奈秒到約10毫秒的持續期間;以選擇的功率等級將第三組電磁能量脈衝引向基材的第二部分,每個具有約20奈秒到約10毫秒的持續期間;並通過監測來自基材的第二聲音響應來偵測終點。
本發明的實施例進一步提供一種用來處理基材的設備,包括:耦合到主體部分的第一端的基材固持件;和耦合到主體部分的第二端的輻射組件。基材固持件用來與主體部分基本徑向對準,以固定基材並控制基材的體溫度。主體部分可以是有琢面的或圓滑的,並且用反射襯墊塗布其內部。該主體部分可包含內部結構,例如反射鏡和折射鏡,用來控制和引導電磁能量。輻射組件耦合到主體部分的第二端,利用透鏡將電磁能量從輻射組件引入主體部分。輻射組件具有與透鏡相對的彎曲部分,用以容納多個閃光燈,每個閃光燈被設置在槽形反射鏡內。該輻射組件可以內部襯有反射襯墊。
本發明的實施例進一步提供用於處理基材的另一種設備,包括:耦合到主體部分的第一端的基材固持件,並且主體部分可以是有琢面的或圓滑的,並且用反射襯墊塗布其內部。該主體部分可包含內部結構,例如反射鏡和折射鏡,用來控制和引導電磁能量。可以設置閃光燈橫過輻射區域並穿透輻射區的一個或多個面。可以對著主體部分的輻射區可密封地設置反射背板。
本發明的實施例進一步提供一種控制閃光燈設備的設備和方法,包括:電源、充電電路、啟動電路、每個充電和啟動電路中打開和關閉各個電路的開關、配置用來通過充電電路充電和通過啟動電路放電的一個或多個電容器、控制開關操作的控制器、用來使供給到閃光燈上的功率相等的功率分配裝置、和耦合到功率分配裝置與每個閃光燈上的單獨的啟動引線。另外,例如電阻和電感的構件可以被包括在啟動電路中,以調整發射到閃光燈的能量分佈。
10‧‧‧基材
10A‧‧‧線
12‧‧‧退火區
13‧‧‧晶粒
15‧‧‧熱交換裝置
15A‧‧‧電阻加熱構件
15B‧‧‧流體通道
15C‧‧‧溫度控制器
15D‧‧‧低溫冷卻器
16‧‧‧基材支撐表面
17‧‧‧傳統的電致動器
20‧‧‧能量源
21‧‧‧控制器
100‧‧‧基材
102‧‧‧電源
104‧‧‧能量產生器
106‧‧‧開關
108‧‧‧光學組件
110‧‧‧電容器
113‧‧‧區域
200‧‧‧電子元件
201‧‧‧摻雜區
201A、201B‧‧‧摻雜區
202‧‧‧區域
203‧‧‧路徑
205‧‧‧表面
210‧‧‧改質區
211‧‧‧未改質區
215‧‧‧閘極
216‧‧‧閘極氧化層
221‧‧‧基材體材料
225‧‧‧塗層
226‧‧‧塗層
401‧‧‧脈衝
401A‧‧‧脈衝
401B‧‧‧脈衝
402‧‧‧段
402B‧‧‧脈衝
403‧‧‧段
403A‧‧‧段
403B‧‧‧段
403C‧‧‧段
404‧‧‧段
501‧‧‧背側表面
502‧‧‧頂表面
503‧‧‧區域
510‧‧‧基材支撐件
511‧‧‧基材支撐區
512‧‧‧開口
520‧‧‧源
600‧‧‧製程
602‧‧‧步驟
604‧‧‧步驟
606‧‧‧步驟
650‧‧‧層
652‧‧‧聲波
654‧‧‧聲波檢測器
656‧‧‧源
658‧‧‧檢測器
660A‧‧‧低功率電磁能
660B‧‧‧反射的輻射
702‧‧‧步驟
704‧‧‧步驟
706‧‧‧步驟
708‧‧‧步驟
710‧‧‧步驟
712‧‧‧步驟
750‧‧‧脈衝
752‧‧‧聲波檢測器
754‧‧‧分析器
756‧‧‧脈衝
800‧‧‧主體部分
802‧‧‧外壁
804‧‧‧基材固持件
806‧‧‧反射襯墊
808‧‧‧基材
810‧‧‧第一端
812‧‧‧輻射組件
814‧‧‧第二端
816‧‧‧閃光燈
818‧‧‧槽式反射器
820‧‧‧背面
822‧‧‧點
824‧‧‧側壁
826‧‧‧反射襯墊
828‧‧‧透鏡
830‧‧‧透鏡開口
832‧‧‧電極
834‧‧‧電容器
836‧‧‧充電電路
838‧‧‧啟動電路
840‧‧‧開關
842‧‧‧電源
844‧‧‧控制器
846‧‧‧功率分配器
848‧‧‧啟動導線
850‧‧‧支撐件
852‧‧‧槽式反射器
854‧‧‧脊
900‧‧‧閃光燈設備
902‧‧‧主體部分
904‧‧‧基材固持件
906‧‧‧輻射區
908‧‧‧閃光燈
910‧‧‧背板
1000‧‧‧一系列脈衝
1001‧‧‧脈衝
1002‧‧‧休息時間
所以可以詳細理解本發明的上述特徵的方式、本發明的更具體說明、以上的簡要說明,都可參考實施例進行,在附圖中示例了一些實施例。然而,要注意,附圖僅示例了本發明的典型實施例,因此不認為是限制它的範圍,對於本發明允許其它等效的實施例。
第1A圖是示出本發明一個實施例的立體圖。
第1B圖是第1A圖的設備的示意側視圖。
第2A-2E圖是根據本發明一個實施例的截面圖。
第3A-3C圖是根據本發明實施例的摻雜質和晶體缺陷濃度與深度的關係曲線圖。
第4A-4G圖是示出本發明一些實施例的能量脈衝的曲線圖。
第5圖是根據本發明實施例的系統的示意圖。
第6A圖是根據本發明實施例的流程圖。
第6B-6D圖是根據本發明實施例的基材的截面圖,示意性地示出了在第6A圖中所示的製程階段的狀態。
第6E-6F圖示出了根據本發明的實施例配置的設備。
第7A圖是根據本發明實施例的流程圖。
第7B-7E圖是根據本發明實施例的基材的截面圖,示意性地示出了在第7A圖中所示的製程階段的狀態。
第8A-8F圖是根據本發明實施例的設備的圖。
第9A-9B圖是根據本發明實施例的另一設備的圖。
第10圖是示出根據本發明實施例的能量脈衝的曲線圖。
本發明大致上提供一種控制在基材上形成一個或多個半導體元件期間所執行的退火製程期間供給的能量的設備和方法。通常,本發明的方法可通過供給足夠的能量給基材表面來用於對整個基材或基材的選擇區進行退火,以使在佈植製程期間引起的損傷被去除以及提供在基材表面內的所期望的摻雜質分佈。控制摻雜質的擴散和半導體元件期望區的 損傷去除的需要係隨著元件尺寸縮小變得越來越重要。這在45nm節點以下尤其明顯,其中通道區的尺寸約為500埃(Å)或更小。退火製程通常包括在一系列連續能量脈衝供給足夠的能量,以控制摻雜質的擴散和在半導體元件的期望區內的短距離去除基材損傷。在一個實例中,該短距離在約一個晶格面與數十個晶格面之間。在一個實施例中,在單個脈衝期間供給的能量僅足夠提供僅是單個晶格面一部分的平均擴散深度,並且因此退火製程需要多個脈衝來實現期望量的摻雜質擴散或晶格損傷校正。由此可以把每個脈衝說成完成在基材一部分內的完全微退火製程。在一個實施例中,連續脈衝的數量可在約30和約100,000個脈衝之間變化,其每個都具有約1奈秒(nsec)至約10毫秒(msec)的持續時間。在其它實施例中,每個脈衝的持續時間可小於10毫秒,例如在約1毫秒和約10毫秒之間,或較佳地在約1奈秒和約10微秒(μsec)之間,更較佳地小於約100奈秒。在一些實施例中,每個脈衝的持續時間可在約1奈秒和約10奈秒之間,例如約1奈秒。
每個微退火製程的特徵係在於加熱基材的一部分至退火溫度一持續時間,然後允許退火能量完全散逸在基材內。給予的能量激勵在能量散逸之後隨後被冷凍的退火區內的原子運動。直接在退火區下面的區域實質上是純的有序晶體。當來自脈衝的能量傳播通過基材時,最接近有序區的填隙原子(interstitial atoms)(摻雜質或矽)會被輕推進晶格位置。非重排入直接鄰接晶格位置的其它原子會向上朝著無 序區和遠離有序區擴散,以尋找要佔用的最接近可用的晶格位置。另外,摻雜質原子會從基材表面附近的高濃度區擴散到基材深處的低濃度區。每個接踵而至的脈衝從退火區下面的有序區向上朝著基材表面生長有序區,且使摻雜質濃度輪廓平滑化。該製程稱為磊晶晶體生長,這是因為其逐層繼續,而每個能量脈衝完成了從幾個到數十個晶格面的退火。
大致上,這裏使用的術語“基材”指的是可以由具有某些本質導電能力的任何材料或者是可以被改質而提供導電能力的材料形成的物體。一般的基材材料包括,但不限於,半導體,例如矽(Si)和鍺(Ge),以及顯示出半導體性質的其它化合物。這種半導體化合物通常包括III-V族和II-VI族化合物。有代表性的III-V族半導體化合物包括,但不限於,砷化鎵(GaAs)、磷化鎵(GaP)和氮化鎵(GaN)。大致上,術語“半導體基材”包括體半導體基材以及具有沈積層設置在其上的基材。為此,在由本發明的方法處理的一些半導體基材中的沈積層是通過同質磊晶(例如矽上矽)或異質磊晶(矽上GaAs)生長形成的。例如,本發明的方法可以使用通過異質磊晶法形成的砷化鎵和氮化鎵基材。同樣,還可以應用本發明的方法在形成於絕緣基材(例如絕緣體上矽(SOI)基材)上的較薄晶體矽層上形成積體元件,例如薄膜電晶體(TFT)。另外,可使用該方法來製造光伏元件,例如太陽能電池。這種元件可包括導電、半導電或絕緣材料層,且可利用多種材料去除製程來進行圖案化。導電材料通常包括金屬。絕緣材料通常包括金屬或半導體的氧化物、或摻雜的 半導體材料。
在本發明的一個實施例中,把連續供給的能量導向基材表面來退火基材的某些期望區,以去除由現有的處理步驟產生的損傷(例如由佈植製程引起的晶體損傷),以在基材的各個區域中更均勻地分佈摻雜質,以根據選擇的分佈可控地分佈摻雜質,和/或以激活基材的各個區域。由於改善的溫度控制和摻雜質原子在基材的暴露區中的擴散,連續供給能量的製程使得摻雜質更均勻地分佈在暴露區中。由此少量的能量的供給使得:1)摻雜質原子在基材一部分內的分佈提高了均勻性和很好的控制,2)去除了在先前處理步驟中產生的缺陷,和3)良好地控制了元件的先前激活的區域。
第1A圖示出了本發明的一個實施例的立體圖,其中採用能量源20將一能量投射到基材10的預定區域或退火區12,以優先對退火區12內的某些期望區進行退火。在一個實施例中,如第1A圖所示,僅基材的一個或多個預定區,例如退火區12,在任意給定的時間被暴露到能量源20的輻射。在本發明的一個方面,把基材10的單個區域順序暴露於從能量源20供給的所希望量的能量使得優先對基材的期望區進行退火。在一個實例中,藉由相對於電磁輻射源(例如傳統的X/Y台、精準台)的輸出來轉移基材和/或相對於基材來轉移輻射源的輸出,以相繼地暴露基材表面上的各個區域。一般,使用一個或多個傳統的電致動器17(例如,線性馬達、導螺杆和伺服馬達)來控制基材10的運動和位置,該電自動器17可以是獨立精準台的一部分(未示出)。用於支撐和定位基 材10及熱交換裝置15的傳統精準台可從美國加利福尼亞州、羅納特巴克的Parker Hannifin公司購買。在另一實施例中,同時連續暴露基材10的整個表面(例如,連續暴露全部的退火區12)。
在一個方面中,退火區12和供給到退火區12的輻射大小係合適以匹配晶粒13(例如,第1圖中示出了40個“晶粒(die)”)或形成在基材表面上的半導體元件(例如記憶體晶片)的大小。在一個方面中,對準退火區12的界面並使其大小合適以適於匹配在每個晶粒13的界面的“切口(kerf)”或“劃線(scribe)”線10A內。在一個實施例中,在執行退火製程之前,利用一般在基材表面上找到的對準標記和其它傳統技術將基材對準到能量源20的輸出,以便可以將退火區12充分地對準到晶粒13。順序地放置退火區12使得它們僅在晶粒13之間的自然出現的未使用間隔/邊界(例如劃線或切口線)交疊,減少在元件形成於基材上的區域中重疊能量的需要,並由此降低了該些交疊退火區之間的處理結果的變化。因此,由於由能量源20供給的能量到基材的處理關鍵區之暴露的變化量,處理變化的量被最小化,這是因為可以在該些順序放置的退火區12之間的所供給能量的交疊可以被最小化。在一個實例中,每個順序放置的退火區12都是約22mm×約33mm尺寸的矩形區(例如726平方毫米(mm2)的面積)。在一個方面中,形成在基材表面上的每個順序放置的退火區12的面積在約4mm2(例如2mm×2mm)和約1000mm2(例如25mm×40mm)之間。
通常能量源20適於提供電磁能以優先對基材表面的某些希望區進行退火。典型的電磁能量源包括,但不限於,光輻射源(例如雷射、閃光燈)、電子束源、離子束源和/或微波能量源。在一個方面中,將基材10暴露到在一個或多個合適的波長發出輻射的雷射的多個脈衝能長達所希望的時間段。在一個方面中,調整來自能量源20的能量的多個脈衝,以便最適化在退火區12上供給的能量和/或在脈衝期間提供的能量,而不熔融基材表面上的區域,但供給足夠的能量而可控地允許摻雜質在退火區中的主要部分擴散以及欲一次被去除一個晶格面或小組晶格面之退火區內的損傷量。每個脈衝完成微退火循環會導致摻雜質從高濃度區到低濃度區的一些擴散,並且會導致在無序退火區底部附近的有序晶體的少量晶格面的磊晶生長。在一個方面中,調整能量源20的波長以便輻射的主要部分被設置在基材上的矽層吸收。對於在包含矽的基材上執行的退火製程,輻射的波長可小於約800nm,且可以在深紫外線(UV)、紅外線(IR)或其它所希望的波長供給輻射的波長。在一個實施例中,能量源20是強光源,例如雷射,其適於供給約500nm和約11微米之間波長的輻射。在另一實施例中,能量源20是一閃光燈陣列,其特徵在於具有多個發出輻射燈,例如氙、氬或氪放電燈。在一些實施例中還可使用鎢鹵素燈,但它們通常不普遍,因為由於加熱和冷卻燈絲的需要,它們不能足夠快地照亮和熄滅來產生所需要的短脈衝。因此當使用鎢鹵素燈時,鎢鹵素燈必須與遮光器(shutter)一起使用來管理脈衝。而且,鎢鹵素燈通 常供給低能量密度,所以需要更多鎢鹵素燈。在所有情況下,在退火製程中使用的能量脈衝通常會在較短時間出現,例如約1奈秒至約10毫秒的數量級。
第1B圖是第1A圖的設備的示意性側視圖。電源102耦合至能量源20。能量源20包括能量產生器104和光學組件108,能量產生器104可以是例如如上所述的光源。能量產生器104係用以產生能量並將能量引入光學組件108,其會使得向基材10供給的能量依需要成形。光學組件108通常包括透鏡、濾光片、反射鏡等,其用以以聚焦、極化、去極化、過濾、或調整由能量產生器104產生的能量的相干性(coherency),目的是供給均勻列的能量到退火區12。
為了供給能量脈衝,可提供開關106。開關106可以是在1μsec或更小內打開或閉合的遮光器。可選地,開關106可以是光學開關,例如在閾值強度的光撞擊到它時在小於1μsec時變得明顯的墨晶(opaque crystal)。在一些實施例中,開關可以是普克爾斯盒(Pockels cell)。在一些實施例中,光學開關可在小於1奈秒內改變狀態。光學開關藉由中斷導向基材的電磁能的連續束來產生脈衝。該開關由控制器21操作,且可設置在能量產生器104的外部,例如耦合或固定到能量產生器104的出口區,或者其可設置在能量產生器104的內部。在可選實施例中,可藉由電氣裝置來切換能量產生器。控制器21可如需要的那樣接通和斷開電源102,或者可以提供一電容器110,其中該電容器110係借助由控制器21通電的電路通過電源102充電和放電到能量產生器104中。 由電容器實施的電氣開關是自開關的方式,這是因為能量產生器104在由電容器110提供的電能落入某一功率閾值以下時能停止產生能量。當由電源102再充電電容器110時,電容器110可被放電到能量產生器104中以產生另外的能量脈衝。在一些實施例中,電氣開關可以在小於1奈秒內接通或斷開電源。
在一個實施例中,退火製程包括一激活退火步驟,接著是一連續脈衝退火製程,以提供所希望的元件特性。在一個實施例中,激活步驟可包括將基材加熱到約400℃和約800℃之間的溫度達約1分鐘的期間。在另一實施例中,激活步驟包括預加熱基材。
在退火製程期間基材的溫度控制
在一個實施例中,在熱處理期間通過使基材10的表面與熱交換裝置15的基材支撐表面16熱接觸來控制基材的溫度是所期望的,示於第1圖中。通常在退火製程之前或退火製程期間採用熱交換裝置15加熱和/或冷卻基材。在該結構中,可使用熱交換裝置15,例如可從美國加利福尼亞州聖克拉拉的應用材料公司獲得的傳統基材加熱器,來提高基材的退火區的後處理性質。通常,基材10被放置在包含熱交換裝置15的處理室(未示出)的封閉式處理環境(未示出)內。在處理期間基材存在的處理大氣環境可被抽空或包含適用於所希望製程的氣體。例如,本發明的實施例可被用於需要提供給室某些氣體的沈積或佈植製程中。氣體可以是反應性的(例如用於沈積製程的前驅物)或非反應性的(例如在傳統 熱製程中通常使用的惰性氣體)。
在一個實施例中,可在執行退火製程之前預加熱基材,以使所需的增加的退火能量被減到最小,其可因基材的快速加熱和冷卻而降低引起的應力以及還可將基材的退火區中的缺陷密度減到最小。在一個方面中,熱交換裝置15包含電阻加熱構件15A和溫度控制器15C,用來加熱設置在基材支撐表面16上的基材。溫度控制器15C與控制器21連通(於以下論述)。在一個方面中,希望將基材預加熱到約20℃和約750℃之間的溫度。在一個方面中,當基材由含矽材料形成時,希望將基材預加熱到約20℃和約500℃之間的溫度。
在另一實施例中,希望在處理期間冷卻基材,以減少由於在退火製程期間加到基材上的能量而引起的內擴散。在需要增加基材熔融的製程中,後來的冷卻會增加再生長速度,其在處理期間可以增加各個區域的非晶化,例如結合第8圖描述的。在一種結構中,熱交換裝置15包含一個或多個流體通道15B和低溫冷卻器15D,用來冷卻設置在基材支撐表面16上的基材。在一個方面中,與控制器21連通的傳統低溫冷卻器15D係適於供給冷卻流體通過一個或多個流體通道15B。在一個方面中,希望將基材冷卻到約-240℃和約20℃之間的溫度。
通常,控制器21(第1A圖)係被設計成能控制和自動控制這裏描述的熱處理技術,且一般可包括中央處理單元(CPU)(未示出)、記憶體(未示出)和支援電路(或I/O)(未示出)。CPU可以是用在控制各種製程和硬體(例 如傳統的電磁輻射檢測器、馬達、雷射硬體)的工業設置中的任何形式的電腦處理器中的一種,並且監測這些製程(例如基材溫度、基材支撐溫度、脈衝雷射的能量的量、檢測器信號)。記憶體(未示出)連接至CPU,且可以是一個或多個輕易可獲得的記憶體,例如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟或任何其它形式的本地或遠程之數位儲存器。軟體指令和資料可以被編碼和儲存在記憶體內用於指示CPU。支援電路(未示出)還連接至CPU,用於以傳統形式支持處理器。支援電路可包括傳統的快取、電源供應器、時脈電路、輸入/輸出電路、子系統等。由控制器可讀的程序(或電腦指令)確定在基材上可執行的任務。較佳地,該程序是由控制器可讀的軟體,並且包括監測和控制基材位置、在每個電磁脈衝中提供能量的量、一個或多個電磁脈衝的時間點、作為每個脈衝的時間函數的強度和波長、基材各個區域的溫度及其任一組合的代碼。
選擇性加熱
在試圖最小化形成的元件的各個區域之間的內擴散,去除基材材料中的缺陷,和在基材的各個區域中更均勻地分佈摻雜質時,可在基材的各個區域上執行一個或多個處理步驟以使它們在退火製程期間暴露到從能量源提供的能量時使其較佳地熔融。當在退火製程期間使基材的第一區域與第二區域暴露到約相同量的能量時,改質基材的第一區域的性質的製程使得第一區域優先熔融而不是第二區域,在下文描述為在這兩個區域之間建立熔點對比。通常,可以被改質 而允許優先熔融基材的希望區域的基材性質包括在基材的所希望區內佈植、驅入(driving-in)和/或共沈積一種或多種元素,對基材的所希望區域造成物理損傷,以及最適化形成的元件結構以在基材的所希望區域中建立熔點對比。將依次回顧這些改質製程的每一個。
第2A-2C圖示出了電子元件200在結合本發明一個實施例的元件製造順序的不同階段的截面圖。第2A圖示出了形成在基材10的表面205上的一般電子元件200的側視圖,其具有兩個摻雜區201(例如摻雜區201A-201B)(例如MOS元件的源極和汲極區),一閘極215,和一閘極氧化層216。摻雜區201A-201B通常是通過將希望的摻雜質材料佈植到基材10的表面205中形成的。通常,一般的n型摻雜質(提供型物種)可包括砷(As)、磷(P)和銻(Sb),且一般的p型摻雜質(接受型物種)可包括硼(B)、鋁(Al)和銦(In),它們被引入半導體基材10中以形成摻雜區201A-201B。第3A圖示出了摻雜質材料的濃度作為深度的函數(例如曲線C1)的實例,自表面205沿著延伸過摻雜區201A的路徑203到基材10中。在佈植製程之後摻雜區201A具有接合深度D1,其可定義為摻雜質濃度降低到可忽略量的點。應注意,第2A-2E圖僅是用來顯示出本發明各個方面的一些,且不意圖用來限制可利用這裏描述的本發明各個實施例所形成的元件的類型、結構的類型或元件的區域。在一個實例中,摻雜區201(例如MOS元件中的源極或汲極區)可以相對於閘極215(例如MOS元件中的閘極)的位置升高或降低,而不改變這裏描 述的本發明的範圍。當半導體元件尺寸縮小時,形成在基材10的表面205上的電子元件200的結構構件的位置和幾何形狀可變化以改進元件可製造性或元件性能。還應注意,如第2A-2E圖所示,僅單個摻雜區201A的改質不意圖用來限制這裏描述的本發明的範圍,而僅用來顯示可以如何使用本發明的實施例來製造半導體元件。
第2B圖示出了如第2A圖所示的電子元件200在用來選擇性地改質基材10的分立區(例如改質區210)的性質的製程步驟期間的側視圖,在該情況下該區域是包含單個摻雜區201A的區域,以建立熔點對比。在執行改質製程之後,將在改質區210和未改質區211之間產生熔點對比。在一個實施例中,改質製程包括將材料加到一層上的步驟,就好像被沈積在基材的表面上一樣,其中加入的材料係與基材材料形成合金以降低改質區210內的區域202的熔點。在一個方面中,在磊晶層沈積製程期間將加入的材料加到沈積層。
在另一實施例中,改質製程包括佈植(參見第2B圖中的“A”)材料的步驟,該材料用來與基材材料形成合金以降低改質區210內的區域202的熔點。在一個方面中,採用該改質製程將合金材料佈植到深度D2,如第2B圖所示。第3B圖示出了摻雜質材料的濃度(例如曲線C1)和佈植的合金材料(例如曲線C2)作為深度的函數的實例,自表面205沿著路徑203穿過基材10。在一個方面中,基材10由含矽材料形成且可使用的佈植合金材料例如包括鍺(Ge)、砷(As)、鎵(Ga)、碳(C)、錫(Sn)和銻(Sb)。通常,合金材料 可以是當在存在基材基底材料加熱時會導致改質區210中的區域202的熔點相對於未改質區211降低的任何材料。在一個方面中,矽基材的區域是通過添加約1%和約20%之間的鍺來改質以降低改質的和未改質的區域之間的熔點。相信,添加這些濃度的鍺將會使改質區相對未改質區的熔點降低約300℃。在一個方面中,形成在矽基材中的區域202包括鍺(Ge)和碳(C),以便形成SixGeyCz合金以相對於未改質區211降低區域202的熔點。在另一方面中,矽基材的區域是通過添加約1%或更少的砷來改質的,以降低改質區和未改質區之間的熔點。其它重要的合金包括,但不限於,矽化鈷(CoxSiy,其中y通常大於約.3x且小於約3x)、矽化鎳(NixSiy,其中y通常大於約.3x且小於約3x)、和矽化鎳-鍺(NixGeySiz,其中y和z通常大於約.3x且小於約3x)以及其它矽化物和類似的材料。
在另一實施例中,改質製程包括對各個改質區(例如改質區210)中的基材10材料引起一些損傷的步驟以損傷基材的晶體結構,由此使這些區域更加非晶化。引起對基材的晶體結構的損傷,例如損傷單晶矽基材,將會由於基材中的原子鍵結結構的變化而降低該區域相對於未受損區域的熔點,由此引起在兩個區域之間的熱力學性質差異。在一個方面中,對第2B圖中的改質區210的損傷是通過用可以對基材表面造成損傷的抛射體轟擊基材10的表面25(見第2B圖中的“A”)來進行的。在一個方面中,抛射體是矽(Si)原子,其被佈植到含矽基材中以引起對改質區210內的損傷。在另 一方面中,對基材材料的損傷是通過利用佈植製程、離子束或偏壓電漿用氣體原子(例如氬(Ar)、氪(Kr)、氙(Xe)或甚至氮(N2))來轟擊該表面引起對改質區210的區域202的損傷來造成的。在一個方面中,改質製程係適於建立引起損傷至深度D2的區域202,如第2B圖所示。吾人相信,約5×1014和約1×1016/cm2之間的錯位(dislocation)或空位密度(vacancy density)有助於建立改質區210與未改質區211之間的熔點對比。在一個方面中,第3B圖示出了摻雜質材料的濃度(例如曲線C1)和缺陷密度(例如曲線C2)作為深度的函數的實例,自表面205沿著路徑203穿過基材10。
應注意,雖然第2A-2B圖示出了在摻雜製程之後執行改質製程的製程順序,但該製程順序不意圖用來限制這裏描述的發明的範圍。例如,在一個實施例中,希望在執行第2A圖中描述的摻雜製程之前執行第2B圖中描述的改質製程。
第2C圖示出了第2B圖中所示的電子元件200被暴露到來自能量源發出的輻射“B”,例如來自雷射的光輻射,的側視圖。在該步驟期間,在施加輻射脈衝“B”之後,將設置在整個基材10上的改質區(例如改質區210)和未改質區(例如211)暴露於一能量,其會造成改質區210中的區域202選擇性地熔融和再固化,同時未改質區211保持固態。通過知道區域202的所需深度、建立區域202所用的材料、形成電子元件200所用的其它材料和形成的電子元件200內的部件的熱傳送特性,可以將施加輻射“B”的能量的數值、能量密度和持續時間設定為優先熔融區域202。如第2C和3C 圖所示,一旦曝露給輻射“B”,區域202的再熔融和固化就會使得摻雜質原子(例如曲線C1)和合金原子(例如曲線C2)的濃度更均勻地分佈在區域202中。而且,區域202和基材體材料221之間的摻雜濃度有清晰邊界(即“超陡(hyper-abrupt)”接合),由此最小化了基材體材料221中不希望的擴散。在上述實施例中,其中在基材10中引起損傷以提高熔點對比,缺陷濃度(例如曲線C2)在再固化之後將較佳地下降到可忽略水平。
表面性質的改質
在一個實施例中,改變基材10的各個區域202之上的表面的性質以建立一個或多個所希望區域之間的熱對比。在一個方面中,更改所希望區域中基材表面的發射率(emissivity),以改變在處理期間被基材表面吸收的能量的量。在該情況下,具有較高發射率的區域可以吸收從能量源20接收的更多能量。當執行包含基材表面的熔融的退火製程時,在基材表面獲得的處理溫度可以很高(例如對於矽為~1414℃),因為輻射傳熱是主要的熱損失機制,改變發射率可以對熱對比有顯著作用。因此,基材表面不同區域的發射率的變化會對由基材的不同區域達到的最終溫度有顯著影響。在退火製程期間低發射率的區域可以例如升高到熔點以上,而吸收了相同量的能量的高發射率的區域會保持基本上在熔點以下。由此,基材表面可具有在源波長的每一熱質量的發射率接近相同但總發射率不同的區域。改變各個表面的發射率,或發射率對比,可經由低或高發射率塗層選擇性沈 積到基材表面上和/或改質基材的表面(例如表面氧化、表面粗糙化)來完成。
在一個實施例中,更改一個或多個區域中基材表面的反射率(reflectivity),以改變在基材10暴露到能量源的能量時所吸收的能量的量。通過改變基材表面的反射率,在基材表面及下面的區域中被基材吸收的能量的量和獲得的最大溫度將基於反射率而不同。在該情況下,具有低反射率的表面將會獲得比具有高反射率的另一區域更高的溫度。可經由低或高反射塗層選擇性沈積到基材表面上和/或改質基材表面(例如表面氧化、表面粗糙化)來實現改變基材表面的反射率。可選擇性地將高吸收的(非反射的)塗層塗覆到在退火製程期間將要更迅速地加熱的區域。
第2D圖示出了一個實施例,其中將塗層225選擇性地沈積或均勻地沈積且然後選擇性地移除,以留下與基材10的表面205上的其它區域相比具有不同反射率和/或反射率的層。在該情況下,可以基於塗層225的性質與基材10的其它區域中吸收的能量(Q2)來調整在塗層225下面的摻雜區201A中的熱流(Q1)。以該方式,可以相對其它區域的熱損失(Q4)改變由塗層225損失或反射的熱量(Q3)。在一個方面中,將含碳塗層通過使用CVD、PVD或其它沈積製程沈積在基材表面上。
第2E圖示出了一個實施例,其中改變基材表面的光學性質(例如發射率、反射率)的塗層226被沈積在基材表面上方,例如第2A圖中所示的元件上方,然後移除一材料量 以建立具有不同光學性質的區域。例如,如第2E圖所示,塗層226已從閘極215的表面去除,由此使塗層226的表面和閘極215的表面暴露給入射的輻射“B”。在該情況下,塗層226和閘極215的表面具有不同的光學性質,例如不同的發射率和/或不同的反射率。可通過使用傳統的材料去除製程(例如濕法蝕刻或化學機械抛光(CMP)製程)來進行暴露或產生具有不同光學性質所使用的去除製程。在該情況下,可以基於塗層226的性質與基材的閘極215區中的吸收和熱流(Q2)來調整在塗層226下面的摻雜區201A-201B中的吸收和熱流(Q1)。以該方式,可以相對於從閘極215區損失或反射的熱量(Q4)來改變從塗層226損失或反射的熱量(Q3)。
在一個實施例中,塗層226包含所希望厚度的一個或多個沈積層,通過它們本身或其組合來改質暴露給一種或多種波長的入射輻射的基材各個區域的光學性質(例如發射率、吸收率、反射率)。在一個方面中,塗層226包含通過它們本身或組合而優先吸收或反射一種或多種波長的入射輻射“B”的層。在一個實施例中,塗層226包含介電質材料,例如氟矽酸鹽玻璃(FSG)、無定形碳、二氧化矽、碳化矽、矽碳鍺合金(SiCGe)、含氮的碳化矽(SiCN)、由從美國加利福尼亞州聖克拉拉的應用材料公司商業可得到的製程製作的BLOKTM介電質材料、或通過使用化學氣相沈積(CVD)製程或原子層沈積(ALD)製程沈積在基材表面上的含碳塗層。在一個方面中,塗層226包含金屬,例如,但不限於,鈦(Ti)、氮化鈦(TiN)、鉭(Ta)、鈷(Co)或釕(Ru)。
應注意,這裏論述的各個實施例可相互結合使用,以進一步增加製程窗(process window)。例如,選擇性沈積的光吸收塗層可結合某些定義區的摻雜來使用,以加寬退火製程的製程窗。
調整能量源輸出以獲得優先加熱
如上所述,通常採用能量源20來供給電磁能以優先熔融基材10的某些所希望區。一般的電磁能量源包括,但不限於,光輻射源(例如雷射(UV、IR等波長))、電子束源、離子束源和/或微波能量源。在本發明的一個實施例中,採用能量源20來提供光輻射,例如雷射,以將基材的所希望區選擇性地加熱到熔點。
在一個方面中,將基材10暴露給在一種或多種合適波長發出輻射的雷射的能量脈衝,且發出的輻射具有所希望的能量密度(W/cm2)和/或脈衝持續時間以增強某些所希望區域的優先熔融。對於在含矽基材上執行的雷射退火製程,輻射的波長一般小於約800nm。在任一情況下,雷射製程通常在基材的給定區發生較短時間,例如約1秒或更小的數量級。可根據基材的材料性質基於雷射退火製程的光學和熱學模型來確定在退火製程中使用的所希望波長和脈衝分佈。
第4A-4D圖示出了各個實施例,其中調整從能量源20供給退火區12的能量脈衝的各種屬性(第1圖)作為時間的函數,以獲得改進的熱對比和退火製程結果。在一個實施例中,希望改變雷射脈衝的形狀作為時間的函數,和/或改變提供的能量的波長,以增強輸入到將被熔融的基材的區域中 的熱量並且最小化輸入到其它區域中的熱量。在一個方面中,還希望改變向基材供給的能量。
第4A圖用圖表示出了提供的能量圖與可從能量源20供給到基材10的(見第1圖)電磁輻射的單個脈衝(例如脈衝401)的時間的關係。第4A圖中所示的脈衝通常是矩形脈衝,其供給恒量能量(E1)長達一完整脈衝持續時間(t1)。
在一個方面中,當脈衝401被供給給基材10時,可改變脈衝401的形狀作為時間的函數。第4B圖用圖表示出了可從一個能量源20向具有不同形狀的基材10供給的電磁輻射的兩個脈衝401A、401B的圖。在該實例中,每個脈衝可包含相同的總能量輸出,如由每個曲線下的面積表示的,但暴露基材10的區域給一個脈衝與另一脈衝的效果可提高在退火製程期間經歷的熔點對比。因此,通過修整在每個脈衝中提供的能量的形狀、峰值功率水平和/或量,可改善退火製程。在一個方面中,該脈衝是高斯形狀的。
第4C圖用圖表示出了形狀為梯形的電磁輻射脈衝(例如脈衝401)。在該情況下,在脈衝401的兩個不同段(例如402和404)中,改變提供的能量作為時間的函數。雖然第4C圖示出了脈衝401的分佈或形狀,其中能量與時間以線性形式變化,但這不意圖要限制本發明的範圍,這是因為在脈衝中提供的能量的時間變化例如可具有二度、三度或四度形狀的曲線。在另一方面中,在脈衝中提供的能量的分佈或形狀作為時間的函數可以是二階、三階或指數狀曲線。在另一實施例中,在處理期間使用具有不同形狀(例如,矩形和三 角形調製脈衝、正弦曲線和矩形調製脈衝、矩形、三角形和正弦曲線調製脈衝等)的脈衝來獲得所希望的退火結果是有利的。
根據元件的各個區域的性質,可修整提供的電磁輻射脈衝的形狀以改善退火製程結果。參考第4B圖,例如,在某些情況下,其中在退火製程期間將被加熱的基材的各個區域通過具有低熱導電性的區域與元件的其它區域熱隔離,使用具有與脈衝401B相似形狀的脈衝是有利的。具有較長持續時間的脈衝是有利的,因為基材的更多熱導電性材料區將具有更多的時間來通過傳導散逸熱量,而將被退火的區域是熱隔離的,導致在那些區域中的更高溫度。在該情況下,可以適當地選擇脈衝的持續時間、峰值功率水平和總能量輸出,所以不打算被退火的區域將保持冷卻。當使用改變發射率的表面來建立熔點對比時,修整脈衝形狀的製程也是有利的。
參考第4C圖,在一個實施例中,調整段402的斜率、脈衝401的形狀、段403的形狀、在一功率級的時間(例如在能量級E1的段403)、段404的斜率和/或段404的形狀來控制退火製程。應注意,由於涉及顆粒和製程結果變化性,通常不希望使退火區內的材料在處理期間蒸發。因此希望調整能量脈衝的形狀以使退火區的溫度快速達到目標溫度,而不使該區域過熱和導致材料蒸發。在一個實施例中,如第4G圖所示,可調整脈衝401的形狀使得具有多個段(即段402、403A、403B、403C和404),用於使退火區快速達到目標溫度且將該材料保持在那個溫度長達所希望的時間段(例如 t1),同時防止退火區內的材料蒸發。時間長度、段的形狀和每個脈衝段的持續時間可隨著尺寸、熔融深度和包含在退火區內的材料的變化而變化。
在另一方面中,可組合多個波長的輻射能量來提高傳送到基材所希望區域的能量以獲得提高的熱對比和/或改善退火製程結果。在一個方面中,改變由組合的波長的每個波長估計的能量的量來改善熱對比和改善退火製程結果。第4D圖示出了一個實例,其中脈衝401包含兩種波長,其可供給每單位時間不同量的能量以改善熱對比和/或改善退火製程結果。在該實例中,在脈衝的整個期間以恒定水平將頻率F1施加到基材,且在除了脈衝的期間達到最高點花費的一段時間的部分之外的大部分期間以恒定水平將頻率F2施加到基材10。
第4E圖用圖表示出了脈衝401的圖,該脈衝401具有在兩個不同頻率F3和F4供給能量的兩個連續段。因此,由於基材的各個區域可用不同的速率吸收不同波長的能量,所以使用包含可以供給可變量能量的多個段波長的脈衝是有利的,如第4D和4E圖所示,以獲得所希望的退火製程結果。
在一個實施例中,在不同的時間供給兩種或多種電磁輻射脈衝到基材的區域,以便可以容易地控制基板表面上的區域的溫度。第4F圖用圖表示出了兩個脈衝401A和401B的圖,該兩個脈衝401A和401B係以時間分開供給變化距離,或期間(t),以選擇性地加熱基板表面上的某些區域。在該結構中,通過調整隨後的脈衝之間的期間(t),可以容易地 控制由基材表面上的區域達到的峰值溫度。例如,通過減小脈衝之間的期間(t),或頻率,在第一脈衝401A中供給的熱量具有更少的時間來在供給第二脈衝401B之前散逸,其會導致基材中獲得的峰值溫度比脈衝之間的期間增加時的高。通過以該方式調整該期間,可以容易地控制能量和溫度。在一個方面中,希望確保每個脈衝本身不包含足夠的能量使基材達到目標溫度,但脈衝的組合會使區域202達到目標溫度。供給多個脈衝(例如兩個或多個脈衝)的這個製程,將易於降低由基材材料與供給單脈衝能量所經歷的熱衝擊(thermal shock)。熱衝擊會造成對基材的損傷,且會產生在基材上執行的隨後處理步驟中引起缺陷的顆粒。
參考第4F圖,在一個實施例中,順序操作兩個或多個能量源,例如雷射器,以實現基材表面的熱分佈作為時間的函數。例如,一個雷射器或雷射器陣列可供給脈衝401A,其將基材表面升高到溫度T0需時間t1。在t1結束時或之前,從第二雷射器或串聯工作的多個雷射器供給第二脈衝401B,使基材溫度達到溫度T1需時間t2。由此可以通過控制從多個雷射器供給的連續脈衝能量來成形熱分佈。該製程可具有熱處理好處,例如,但不限於,控制摻雜質擴散和摻雜質擴散的方向的應用。
電磁輻射脈衝
為了供給足夠的電磁輻射給含矽基材的表面,或由需要熱處理的另外材料組成的基材,可使用以下的製程控制。
在一個實施例中,順序操作兩個或多個電磁能量 源,例如雷射器,以成形被熱處理的表面的熱分佈,且以操作雷射器的這種方式來校正脈衝至脈衝(pulse-to-pulse)的能量變化。在一個方面中,示意性地示於第1A圖中的能量源20,包含兩個或多個電磁能量源,例如,但不限於,光輻射源(例如雷射器或閃光燈)、電子束源、離子束源、和/或微波能量源。來自元件(例如脈衝雷射器)的脈衝至脈衝(pulse-to-pulse)的能量可具有每個脈衝的百分比變化。脈衝能量的變化對於基材熱處理來說是不能接受的。為了校正該脈衝變化,一個或多個雷射器供給升高基材溫度的脈衝。然後使用電子控制器(例如第1圖中的控制器21)來計算“修整(trim)”所需的能量的量或調整熱分佈(例如基材的區域的溫度作為時間的函數),使得其位於製程目標之內並命令第二小雷射器或一系列小雷射器供給最終的能量以完成熱處理,其中採用該電子控制器來監測供給的脈衝和正在供給的脈衝的能量、或上升時間。電子控制器通常使用一個或多個傳統輻射檢測器來監測向基材供給的脈衝的能量和/或波長。小雷射器還可具有在脈衝輸出能量的峰至峰(peak-to-peak)變化,但因為它們供給每個脈衝基本比在表面處理開始的初始脈衝(或多個脈衝)更少的能量,所以該誤差通常會在製程限制之內。由此採用電子控制器來補償由脈衝供給的能量的變化,由此確保在熱處理期間供給所希望的能量水平。
在一個方面中,還可利用具有彩色頻率的頻寬、多個波長、單個或多個時間和空間雷射模型以及極化狀態的單色(波長)雷射來實現以上論述的兩個或多個能量源。
一個或多個雷射器的輸出將很可能沒有被供給到基材表面的校正空間和時間能量分佈。因此,使用利用微透鏡來成形雷射器輸出的系統,在基材表面建立均勻的空間能量分佈。微透鏡的玻璃類型和幾何形狀的選擇可補償在用於估計脈衝雷射能量給基材表面所需的光序列中的熱聚焦效應。
在基材表面的脈衝能量的高頻變化,稱為光斑(speckle),是通過入射能量的建設性的和破壞性的相位干涉的相鄰區域來產生的。光斑補償可包括以下:表面聲波元件,用於快速改變基材的相位使得該快速變化基本上比雷射脈衝的熱處理時間更快;雷射脈衝的脈衝添加;例如改變雷射脈衝的極化,供給線性極化的多個同時的或延遲的脈衝但在非平行條件下具有它們的極化狀態(e-向量)。
供給電磁輻射
第5圖是示出一個實施例的處理室的區域的截面圖,其中採用能量源20將一能量之量從背側表面501供給到基材10的退火區12以優先熔融退火區12內的某些所希望區域。在一個方面中,在任何給定的時間將基材的一個或多個定義區,例如退火區12,暴露給能量源20的輻射。在一個方面中,將基材10的多個區域順序暴露給通過背側表面501從能量源20供給的所希望量的能量,以使得優先熔融基材的所希望區域。在一個方面中,使退火區12的大小適合以匹配晶粒(例如第1A圖中的部件#13),或形成在基材10的頂表面502上的半導體元件的大小。在一個方面中,對準退火區12的界面並使其大小合適,以適於匹配在每個晶粒的界面的 “切口”或“劃線”線內。因此,使由於暴露給來自能量源20的能量的變化量而引起的製程變化的量最小化,因為可以最小化順序放置的退火區12之間的交疊量。在一個實例中,退火區12是矩形區,其尺寸為約22mm×約33mm。
在一個實施例中,基材10被設置在形成於具有開口512的基材支撐件510上的基材支撐區511中,允許基材10的背側表面501接收來自能量源20的能量。需要輻射指向基材10的背側使得支撐件510中的開口是必要的。本發明的其它實施例不需要環形基材支撐件。參考第5圖,從能量源20發出的輻射“B”加熱區域503用於吸收所發出能量的一部分。可採用能量源20來供給電磁能以優先熔融基材表面的某些所希望區域。對於該實施例,典型的電磁能量源包括,但不限於,光輻射源(例如雷射器)和/或微波、紅外線或近紅外線或UV能量源。在一個方面中,將基材10暴露給來自在一個或多個合適的波長發出輻射的雷射器的能量脈衝長達所希望的時間段。在一個方面中,修整來自能量源20的能量脈衝以便最適化在整個退火區12上供給的能量的量和/或在脈衝期間供給的能量的量,以獲得某些區域的所希望的熱處理。在一個方面中,調整雷射器的波長以便輻射的主要部分被設置在基材10上的矽層吸收。對於在含矽基材上執行的雷射退火製程,輻射的波長一般大於約900nm,但可供給深紫外線(UV)、紅外線(IR)或其它希望的波長。在任一情況下,通常在基材的給定區進行退火製程較短的時間,例如約1秒或更小的數量級。
在一個方面中,選擇從能量源20發出輻射的波長,以便形成基材的體材料,與將要通過入射發出的輻射曝光加熱的頂表面502附近的區域相比,對於入射輻射是更透明的。在一個方面中,將要被加熱的區域包含吸收通過基材背側提供能量的材料,例如摻雜質材料或具有在佈植製程期間產生的晶體損傷(例如晶體缺陷、弗倫克爾缺陷、空位)的材料。通常摻雜質材料可以是硼、磷或用在半導體處理中的其它常用的摻雜質材料。在一個實施例中,形成基材的體材料是含矽的材料,且發出輻射的波長大於約1微米。在另一方面中,能量源20包含CO2雷射器,其用於發射中心在9.4和10.6微米左右的基波帶。在又一方面中,能量源20用於供給在紅外線區中的波長,其通常在約750nm和約1mm之間。
在一個實施例中,吸收塗層(未示出)被設置在基材10上的退火區12之上,使得通過基材背面供給的入射輻射可以在穿過基材之前被吸收。在一個方面中,吸收塗層是金屬,例如鈦、氮化鈦、鉭或其它適合的金屬材料。在另一方面中,吸收層是碳化矽材料、含碳材料(例如無定形碳材料或摻雜的類鑽石碳)、或用在半導體元件製造中常用的其它適合的材料。
在一個實施例中,將兩種波長的光供給給基材的所希望區域,以便使用第一波長的光在基材中從摻雜質產生自由載子(例如電子或電洞)或在所希望的退火區中發現的其它離子化晶體損傷,以便產生的自由載子將在第二波長吸收通過基材背面供給的能量。在一個方面中,第一波長是“綠 光”的波長(例如約490nm至570nm)和/或較短波長。在一個實施例中,從來自能量源20的基材相對側上的第二源520將第一波長以所希望的功率密度(W/cm2)供給到基材的所希望區域,示於第5圖中。在另一實施例中,穿過基材背側從能量源20供給兩種波長(例如第一和第二波長)。在另一實施例中,從兩個獨立的電磁能量源(未示出)通過基材背側供給所希望功率密度(W/cm2)的兩種波長(例如第一和第二波長)。
脈衝序列退火(pulse train annealing)
為了解決下一代元件製造問題的挑戰,使用多個電磁輻射脈衝的退火製程或脈衝序列退火在一些製程中是有用的。將多個同樣的電磁輻射脈衝供給到基材,每個脈衝完成將幾個原子層基材表面加熱到亞熔融溫度(submelt temperature)的單一微退火製程,例如對於矽基材約1300℃,1毫秒(msec)以下,然後使給予的能量在晶格內完全散逸以便受影響的晶格層的溫度回到受控預熱溫度附近的較低溫度。預熱溫度是在供給第一脈衝之前恰好保持基材的溫度,且可以在約400℃和約800℃之間。在每個微退火循環中,沒有束縛到晶格的矽和摻雜質原子移動原子半徑的一部分。固定到晶格的那些原子通常不會移動,因為它們沒有從供給的脈衝接收到足夠多的能量。以該方式,每個微退火週期移動獨立的填隙原子(interstitial atoms)和摻雜質原子到所希望的晶格位置。當填隙原子或摻雜質填充晶格位置時,不是這樣定位的其它填隙原子或摻雜質會擴散穿過基材直至它們在 晶格內找到所希望的位置。以該方式,可以使用脈衝序列退火(Pulse Train Annealing)(下文中“PTA”)來控制填隙原子和摻雜質在晶格內的原子位置,且可控地修補在現有的處理步驟期間(例如佈植製程)形成的晶格缺陷,而不產生過擴散。由此PTA是可以用於控制原子於原子長度尺度在半導體元件內移動的製程。
第6A圖是示出根據本發明一個實施例的製程的流程圖。第6B-6D圖示出了在製程600各個階段的目標基材的性質。在一個實施例中,基材可通過將多個電磁能量脈衝供給給基材表面來退火,將每個脈衝配置成在基材的至少一部分上執行微退火製程。可通過前述的源,包括雷射、閃光燈和UV和微波源的收集來產生該能量發射。在一些實施例中,能量發射採取如上所述的短持續時間脈衝的形式,每個脈衝持續時間範圍從約1奈秒到約10毫秒。每個脈衝通常以至少10毫瓦(mW)(例如在約10mW和10W之間)的功率水平提供約0.2J/cm2至約100J/cm2的能量密度。在一個實施例中,例如,由每個脈衝提供的能量密度為約0.5J/cm2。選擇脈衝所使用的光的波長以使原子在基材的晶格中的運動最適化。在本發明的一些實施例中,在紅外線光譜內的波長供給能量脈衝。其它實施例使用在UV光譜內或組合不同光譜的波長的光的脈衝。
不受理論限制,相信通過供給多個電磁輻射脈衝,PTA能實現原子級控制原子在基材內的運動,其中每個脈衝執行完整的微退火循環。供給到基材的表面的或被基材的表 面吸收的電磁輻射的每個脈衝將能量提供給在基材表面或附近的原子。供給的能量會引起原子運動,它們中的一些會改變在晶格內的位置。不管是否會使原子重新安置,在所有的方向上通過基材材料傳輸入射能量,例如橫向跨過基材的表面,且垂直進入基材。在每個脈衝中供給的能量通常會產生聲波,其可以被檢測器偵測到,例如聲波(例如聲音)檢測器或光聲波檢測器,其被配置以偵測能量波傳播過基材的性質。偵測的性質可包括幅度、頻率和相位。傅立葉分析信號會產生與用於反饋控制的高溫計類似的監測處理。可將原材料提供給控制器,例如第1A和1B圖的控制器21,其可配置以產生控制信號來調整向基材供給的能量。控制器可調整輸入到每個脈衝的功率,或脈衝的頻率或持續時間。
本發明的實施例提供了通過將電磁輻射脈衝提供給基材的表面,用於優先使獨立原子在晶格內略微移動的方法。如上所述,可將輻射供給給基材表面的區域,或一次供給給基材的整個表面。可選擇輻射的波長和強度把晶格內的獨立原子作為靶。例如,摻雜的單晶矽基材將具有大部分矽原子與一些摻雜質原子位於填隙位置或晶格位置中的晶格。在一些情況下,摻雜質的濃度以及由佈植摻雜質的製程引起的晶體損傷的濃度可以是過量的。在一個實施例中,可設計電磁輻射的脈衝使摻雜質原子從晶格的一個晶面逐漸增長移動到另一個晶面,以校正摻雜質的局部濃度變化和晶體損傷。可根據所希望的摻雜質原子的深度和移動的量,來調整強度和波長。所使用的能量的波長範圍通常可從微波(例如 約3cm),經過可見波長,到深紫外線(例如約150奈米(nm))。在雷射器應用中可使用範圍例如從約300nm到約1100nm的波長,例如小於約800nm的波長。可通過提供包括照射基材表面的綠光的載子輻射來增強較長波長的效果。還可設計電磁輻射脈衝來使矽原子以類似的方式在形成於基材表面上的矽晶格內逐漸增長移動。供給多個這種輻射的脈衝會引起原子可控的移動到一定程度,這取決於供給的脈衝的數量。由此,能夠選擇性地修補由佈植製程引起的晶格損傷,例如表面損傷和有效範圍(end-of-range)損傷,並選擇性地調整摻雜質原子在晶格內的濃度和分佈。
在步驟602中,可使用電磁輻射的脈衝,例如雷射或閃光燈發射來照射基材。脈衝可具有在10奈秒和約20毫秒之間的持續時間。撞擊基材表面的每個脈衝將會在傳播過基材的晶格中產生變化。如果脈衝之間的間隔足夠長,則振動能在晶格內散逸且以熱的形式輻射出去。通過脈衝供給在約0.2J/cm2和約100J/cm2之間的能量到基材的表面,給予晶格的振動能會以熱的形式散逸和在脈衝末端之後約1微秒(μsec)內輻射出去。如果脈衝之間的間隔比所需的時間更短以散逸由獨立脈衝提供的熱量,則會在晶格中產生熱量,且晶格溫度會升高。該條件接近標準的快速熱退火或尖峰脈衝退火,其中基材被加熱到其熔點以下的溫度但足夠高以使得晶格原子擴散和重新佈置。當所希望的擴散長度很小,例如僅幾奈米時,傳統的熱退火製程會盡力控制原子的平均擴散長度。當前的傳統快速熱退火(RTA)系統使用可以在大於約 0.25秒的期間僅供給能量的燈和支援電路。熱連通時間,或使熱量從基材的正面擴散到背面的時間,約為20毫秒。因此,對於45nm或32nm及更小尺寸的節點元件,傳統的RTA室不能充分地控制擴散製程,因為供給的能量會加熱整個基材,導致摻雜質和其它原子在基材的全部區域內的不希望的擴散。而且,相信如果供給的脈衝之間的間隔足夠長,則每個脈衝的相加效應將不會造成在基材中的溫度升高,由此將使每個脈衝的熱效應局部化到恰在基材表面以下的區域,例如在表面下面直至約100埃或更多,這取決於脈衝持續時間和強度。在一些實施例中,儘管對於提供相同能量的每個脈衝較佳,供給具有根據預定方法的能量的脈衝(例如以所希望的圖形傾斜向上或向下)是有利的。
在一些實施例中,10奈秒脈衝之後可以是1毫秒或更大的間隔,該間隔中沒有給基材表面供給能量(例如“休息”時間)。如第10圖所示,在一個實施例中,希望供給一系列脈衝1000,其中具有幅度E1和持續時間t1的單個電磁能脈衝,或脈衝1001,被供給到基材表面,之後是具有持續時間t2的“休息”期間1002,其中在收到下一脈衝1001之前沒有將能量供給到基材的表面。在一個實施例中,持續時間t1在約1毫秒和約10毫秒之間,且持續時間t2在約1ms至20ms之間。在一個實施例中,在退火製程期間供給的每個脈衝1001在同一脈衝持續時間供給相同量的總能量。參考第10圖,雖然示出了單能量脈衝1001為方波脈衝,但該形狀不是指限制於這裏描述的發明的範圍,因為供給的能量的形狀可以是三 角形形狀、高斯形狀、或任何其它的所希望的形狀。
應注意,由於少量的晶面或原子會受到短能量脈衝影響,溫度或溫度梯度的傳統定義,對於45nm和32nm元件節點在所希望的退火深度處失去了它們的意義。相信,根據本發明受到電磁輻射脈衝影響的基材表面附近的局部溫度可以瞬間升高到300-1400℃,具體的是晶格中的少量原子的振動。在其它實施例中,可使用閃光燈的光的脈衝,其中可在約10奈秒和約10毫秒之間的期間供給能量在約0.2J/cm2和約100J/cm2之間的脈衝。
第6B圖示出了具有摻雜區113的基材。摻雜區113,直接在佈植之後和退火之前,具有摻雜質原子或離子650的佈植層。該層是通過佈植離子的製程製造的,其通常會在晶格內產生原子的分佈,最高濃度的原子在基材表面附近,而較低濃度在基材深處。層650表示最高摻雜濃度在區域113內的位置。如果區域113在佈植之前是非晶化的,則直接在佈植層650上方和下面的區域113的層仍是非晶的。如果區域113在佈植之前不是非晶化的,則直接在佈植層650下面的區域113的層將是基本有序的晶格,而直接在佈植層650上方的區域113的層將顯示出由摻雜質原子強制通過晶格結構產生的衆多晶體缺陷。在任一情況下,退火的目的是重新排序區域113的晶體結構,在晶格中以規則的位置在整個區域113分佈摻雜質原子,以及再結晶或排序區域113的晶格結構。這樣的退火會激活摻雜質原子,如適當地用電子或電洞提供區域113,以及降低晶格缺陷的區域113的電阻率。
在一些實施例中,使用多個脈衝來實現在晶格內的希望的效應。可使用數量從10到100,000的多個脈衝來產生範圍從約單個晶面、或約一個原子距離、到多個晶面、或多個原子距離的原子移動。在一個實施例中,使用至少30個脈衝,例如在約30和約100,000個脈衝之間,來對基材進行退火。在另一實施例中,使用至少50個脈衝,例如在約50和約100,000個脈衝之間,來對基材進行退火。在另一實施例中,使用至少70個脈衝,例如在約70和約100,000個脈衝之間,來對基材進行退火。在另一實施例中,使用至少100個脈衝,例如在約100和約100,000個脈衝之間,來對基材進行退火。在另一實施例中,使用在約10,000和約70,000個脈衝之間,例如約50,000個脈衝,來對基材進行退火。脈衝的數量通常會小於約100,000,因為退火製程將達到終點,超過這個終點就不能完成進一步的退火。如上所述,每個脈衝都實現整個微退火循環。每個脈衝可以僅是足夠多能量的以使一些摻雜質或矽原子移動小於單獨晶面的分離距離的距離,導致略微逐漸增加激活或晶體修補。使脈衝能量在基材內完全散逸,這會在施加下一脈衝之前使移動停止。以該方式調整脈衝的數量能控制擴散和使原子在晶格內重新排列。
入射的電磁輻射對基材表面的影響是將動能給予晶格中的原子,其被傳輸通過基材。本發明的另一實施例提供了通過偵測晶格振動的聲波結果來監測輻射對基材的影響。第6C圖和第6A圖中的步驟604示出了監測基材的聲波響應,由來自基材100的輻射的聲波652表示。該聲波響應表 示在基材中吸收振動能的程度,其提供了關於摻雜質和填隙位置原子的移動。當晶格序增加、晶格缺陷降低且原子的重新分佈降低時,基材的聲波響應會從傾向於吸收入射能量改變到發出更多的能量。以該方式,如同步驟606一樣,可偵測終點,超過這個終點就會出現少量退火。在一個實施例中,聲波檢測器654被設置在處理室內,以當電磁輻射脈衝在晶格中產生聲波時,測量基材的聲波響應的聲音。在該情況下,可設置聲波檢測器654與基材表面相鄰,使得可以偵測由提供的電磁能量脈衝所產生的聲波。
在另一實施例中,光聲波檢測器可被設置在該室內以測量由在來自基材表面的反射光束上的入射電磁脈衝引起的聲波,如第6E圖中示意性示出的。在一些實施例中,可從向其供給脈衝的基材的同一表面測量聲波響應,且在一些實施例中,如果基材是晶圓的話,則可在基材的不同表面上測量,例如相反側。第6E圖示出了當根據一個實施例將電磁能脈衝供給給基材表面時,用於偵測聲波響應的光聲波檢測器。源656使低功率電磁能660A被導向基材100的元件一側,並且檢測器658接收反射的輻射660B。由基材收到的電磁脈衝將會導致基材100的表面的短持續時間偏移,其隨之又會影響反射能660B。由檢測器658偵測該反射光,且當退火繼續時,可分析該反射光以監測基材100響應接收能量的變化量。當晶體結構改變時,將會改變基材的聲波響應,且可偵測終點,如同在第6A圖的步驟606一樣。第6F圖示出了監測來自基材背側的聲波效應的光聲波檢測器的可選實施 例。可類似地配置檢測器以偵測來自任一表面或側面和任何傳統角度的基材的反射率、透射率或吸收率。
在其它實施例中,可在預處理製程步驟使用低能脈衝,以幫助確定需要多大的能量來完成所希望的晶格修補和摻雜質再配置。在第7A-7E圖中示出了該製程順序。在步驟702中,將低能量脈衝引導到基材的表面上,如第7B圖所示。脈衝750可以是正好在需要退火基材100的摻雜區113下面的強度。脈衝750在可監測和記錄的基材中生成聲波響應,如同在步驟704中一樣。可設置聲波檢測器752以記錄來自基材的聲波響應,如第7圖所示。聲波響應的分析,步驟706,可通過分析器來進行,在第7C圖示意性地示出為部件754。分析器754可包括配置的電腦以接收聲波信號,回顧和分析該信號(即信號中高亮的有意義的圖案),並且如果收到的能量不在所希望的範圍內,則提供一些輸出,例如控制未來脈衝的能量或警告操作員。儘管脈衝750不對基材100進行退火,但聲波響應將具有能偵測的特徵,表示退火所需要的能量脈衝的實際特徵。如上所述,具有更多晶體無序的基材,或更深的無序區,將吸收和散逸更多入射能,且具有更多晶序的基材將發出更多入射能,產生不同的聲波響應。分析可以揭示出最佳的強度和將要在步驟708中供給的脈衝756的數量(第7D圖)以獲得所希望的結果。可監測第二組脈衝的供給,710,且可任選地可通過終點偵測712來完成。在第6A和7A圖中達到終點之後,將最最適化地退火區域113,且當摻雜質被結合到晶格中時,佈植層650會消失。
閃光燈設備
第8A圖示出了根據本發明的一個實施例的設備。主體部分800提供有八角形外壁。主體部分800的第一端810耦合到基材固持件804。基材固持件804可配備鉸接蓋,其配置得允許裝載和卸載基材,或配備用於交換基材的側開口,它們兩個都沒有示於第8A或8B圖中。可利用基材固持件804將基材保持在適當位置,其可通過靜電裝置、真空裝置、夾具、貝努裏夾具(Bernoulli chucking)、空氣漂浮、針狀支承或聲波裝置來操作,它們一個也沒有示出。參考第8B圖,反射襯墊806可被設置在主體部分800的外壁802的內表面上。較佳地配置基材固持件804以將基材808保持在與主體部分800基本徑向對準的位置,以促進基材808的最均勻的照射。可配置基材固持件804以將基材808保持在任一方位或狀態下,包括基本平面方位或變形方位,例如凸曲度或凹曲度。還可配置基材固持件804以在處理期間將熱能供給給基材808,目的是控制基材808的體溫度。可通過加熱或冷卻基材固持件804接觸基材背側的表面來供給這種熱能。可根據本領域公知的方式來完成加熱或冷卻,例如循環加熱或冷卻通過基材固持件的流體。還可通過任何傳統的非接觸方式來供給背景或體熱能,例如熱燈、冷卻氣體等。例如,可通過靜電力或氣體壓力或真空將基材808保持在適當位置,冷卻氣體提供用於基材808的襯墊,使得在基材808和基材固持件804之間不接觸。基材808,單獨地或與基材固持件804組合,可受到轉動能,例如通過磁耦合或機械旋轉。
再次參考第8A圖,輻射組件812耦合到主體部分800的第二端814。配置輻射組件812以一種方式容納多個閃光燈,以將來自閃光燈的寬光譜退火電磁能引入主體部分800中,其接著將能量引到基材808上。參考第8C圖,在側視圖示出了輻射組件812,示出了容納在槽式反射器中的多個閃光燈816。沿著輻射組件812的背面820佈置槽式反射器818。配置背面820接近中心在點822的圓的弧,其中滿足從輻射組件812的側壁824延伸的線。輻射組件812可具有覆蓋側壁824的反射襯墊826、背面820和槽式反射器818。輻射組件812還可具有設置在透鏡開口830中的透鏡828,以通過主體部分800將來自輻射組件812的電磁能引到基材808上。透鏡828可以是簡單的或複雜的,具有平面、凸面或凹面。透鏡828還可以是菲涅耳透鏡(Fresnel lens),且可以是網狀的、小型的(stipled)或有琢面的。透鏡828佔用輻射組件812的透鏡開口830和主體部分800的第二端814之間的接合。在一些實施例中,可使用一個以上的透鏡。在其它實施例中,輻射組件812可以是閃光盒。
第8D圖示出了通過透鏡開口830看到的輻射組件812(第8C圖)。可以在輻射組件812的背面820上看到閃光燈816和槽式反射器818。該透視圖還示出了背面820的圓弧形狀。第8E圖是根據本發明一個實施例的一個槽式反射器和閃光燈的立體圖。閃光燈816的形狀可以是圓柱形的,且可設置在槽式反射器818內。槽式反射器818的截面可以是抛物線的,以最小化通過散射的能量損失。閃光燈816通過 電極832供電,且通過支撐件850與槽式反射器間隔開。每個閃光燈可通過獨立的電源供電,或一組閃光燈可以分組且通過單一電源供電。反射襯墊826促使發出到槽式反射器818中的光朝著透鏡828反射回到輻射組件812中。第8F圖是根據本發明另一實施例的槽式反射器852的立體圖。槽式反射器852的特徵在於通常是與第8E圖的槽式反射器818相同的部件,除了脊854向下在槽的中心之外。該脊用於發送從閃光燈816發出的光反射離開燈,以便反射光不會行進返回穿過燈816。在一個實施例中,脊854形成漸伸線(involute),導致槽式反射器852具有複雜的抛物線型分佈。在其它實施例中,槽852可具有漸開的不規則外形,配置其以特定的方式指引反射光。
再次參考第8C圖,示出了電力系統耦合到輻射組件812用於給閃光燈816供電。示出了電容器834耦合到充電電路836和啟動電路838。由此可以利用開關840給電容器充電和放電。示出了電源842用於給電容器834充電,且示出了控制器844用於操作開關。開關840可通過控制器844操作以給電容器834充電和放電。閃光燈816通過啟動導線848通電。因為不同長度的啟動導線848會導致非均勻的功率輸出給閃光燈816和非最適化的閃光定時,所以通過功率分配器846給電容834放電是有利的。如果希望的話,功率分配器846使通過啟動導線848提供給閃光燈816的功率相等。為了簡單起見,如上所述,儘管示出了單組充電和啟動電路,但可使用多個這樣的電路來給一個或多個閃光燈816放電。 利用更多電路促使用於閃光燈816的啟動圖案最適化,且通過允許操作設備來延長閃光燈的使用壽命,而不需每次啟動每個燈。同樣,可平行地使用多個電容器,以允許充電和放電較大的電荷,另外可採用多個電路以利用閃光燈產生脈衝序列。最後,在啟動電路中還可選擇性地包括電感器(未示出),以調整通過閃光燈816放電的功率脈衝的形狀。可使用在低電流用於預離子化閃光燈的電路(未示出),以使輻射組件中的閃光燈的輸出同步。
在一個實施例中,多個閃光燈被設置在輻射組件(例如輻射組件812)中。在一些實施例中,多個閃光燈包括兩組閃光燈,配置每個閃光燈與第8D圖中所示的實施例相似。在一個實施例中,多個閃光燈包括兩組閃光燈,其中每組閃光燈包括18個閃光燈。在一些實施例中,以交叉結構成組佈置多個閃光燈,以便從一個燈到第8C圖的透鏡828的線不撞擊另一個燈。在其它實施例中,該些閃光燈可包括密集的平面狀線性陣列。閃光燈可設置在抛物線的反射體槽、漸開抛物線的反射體槽、漸開規則的反射體槽或它們的任一組合中。在其它實施例中,可使用兩組以上的閃光燈。
第9A圖示出了閃光燈設備900的可選實施例。主體部分902在一端提供有基材固持件904,另一端提供輻射區。輻射區906的特徵是閃光燈908設置在主體部分902的內部區域上。配置每個閃光燈908以穿過主體部分902的至少一側(例如示出了兩側)。主體部分902的截面可以是六角形的、八角形的、正方形的或任一有利的形狀。對於主體 部分902的每對側面可設置一個閃光燈,或對於每對側面設置一個以上的閃光燈。閃光燈908可以沿著主體部分902的長度縱向隔開,以避免在主體部分內間隔衝突。可選地,可配置閃光燈908以僅橫越輻射區906的一部分,從而避免間隔衝突。背板910和基材固持件904可密封地耦合到主體部分902,以防止在暴露到來自閃光燈908的能量時會引起與基材或設備材料起弧(arcing)或不希望的反應的大氣氣體進入。相似的電源電路和基材固持件可提供有如第8A-8F圖中所示的該可選實施例。第9B圖示出了設備900的透視圖。移除基材固持件904的密封部分以示出閃光燈908的內部佈置。如同上述的實施例一樣,主體部分902的內表面、背板910和基材固持件904的露出表面襯有反射材料。應注意,可使用閃光燈908的任一佈置將能量提供給主體部分902。
第8A-9B圖中所示的閃光燈設備可由適合反射襯墊的任何有利的材料構成。例如,主體部分800和902的外表面,及輻射組件812(包括槽式反射器818)和背板910的外表面可由金屬(例如鎳)構成。設置在那些構件的內表面上的反射性襯墊可以是反射材料,例如銀,或反射聚合物,例如含氯氟烴聚合物或類似材料。壁可以用的流體來冷卻,其具有強迫流動或自然對流,並且具有或沒有冷卻鰭片。此外,閃光燈也可以藉由穿過護套和閃光燈之間的環形區域的強迫流動以流體來冷卻。可摻雜閃光燈管以去除由燈輻射的不希望部分的光譜。例如,管可摻雜鈰離子,例如Ce3+或Ce4+以去除來自發射的輻射的UV成分。
操作時,控制空間組成是有利的,其中電磁能穿過該空間組成行進。高真空是有利的,但保持困難,且會導致大氣氣體泄漏進設備中。在特徵為銀內部襯墊的實施例中,大氣氣體中硫磺化合物的微量將會使反射銀襯墊退化。可選地,該設備可填充有非反應性氣體,例如氮或氬。必須選擇這種氣體以盡可能的避免吸收光源的能量。另外,該氣體不應與基材上的材料反應,且不應該容易地離子化,以使設備內部電弧放電的可能性最小化。在特徵為氣體輸出給設備的實施例中,提供氣體供給系統,但在圖中未示出。
在一些實施例中,有利的是提供不同波長的光來激發晶格中或多或少數量的原子。來自兩個雷射器的電磁脈衝可以交織成任何圖案,這對實現具體調整基材晶格是有利的。例如,脈衝可以是交替的,或組中是交替的。來自兩個不同雷射器的脈衝還可以同時施加到基材的不同區域上。在任何有利的佈置中,雷射器還可以與閃光燈結合。可使用從微波經過紅外和可見光進入UV的輻射的波長。
在一些實施例中,有利的是利用多組的源提供電磁輻射。在一個實施例中,可以使用兩組閃光燈。多組的源可以同時被激勵,以從所有源同時產生單一脈衝,或者它們可以以有利的圖案被激勵。例如,特徵是兩個源或兩組源的實施例,可包括以交互的圖案給兩個源或兩組源施加電壓。這種結構可以簡化為充電和放電電源輸出電路。
實例
PTA處理200埃的接合層,期望產生有用的結果。 在250eV的能量下佈植了1015劑量的摻雜質原子之後,可以以一序列脈衝提供1000個脈衝的532nm雷射。每個脈衝提供.3J/cm2的能量密度,持續期間約1毫秒,且以30毫秒的剩餘持續時間分開,退火後接合區的薄膜電阻率期望為小於約400Ω/cm2。對於佈植能量為500eV的相同情況,期望實現退後薄膜電阻率通常小於200Ω/cm2
例如,在250eV的能量下,從十八硼烷前體佈植2×1015劑量的硼原子之後,用30個20-奈秒脈衝的532nm雷射,以每秒5個脈衝供給到基材上,每個脈衝攜帶150毫焦耳(mJ)的能量,在.234J/cm2的密度下,進行PTA處理,PTA處理之後導致537Ω/cm2的電阻率。在1,000個脈衝之後,電阻率降到428Ω/cm2,且在38,100個脈衝之後,電阻率為401Ω/cm2。利用.258J/cm2的密度下每個提供約165mJ的能量的脈衝的相似退火處理,在30個脈衝之後實現了461Ω/cm2的電阻率,1,000個脈衝後391Ω/cm2的電阻率,和100,000個脈衝之後333Ω/cm2的電阻率。
雖然前文涉及本發明的實施例,但是在沒有偏離本發明的基本範圍的前體下,可以設計本發明的其它和另外的實施例。例如,雖然前文的描述通常涉及半導體基材,但是利用這些設備和方法可以處理其它類型的基材,如光子基材。
602‧‧‧步驟
604‧‧‧步驟
606‧‧‧步驟

Claims (28)

  1. 一種用於處理一基材的設備,包括:多個雷射;一第一開關,該第一開關連接至該多個雷射的一第一雷射以使該第一雷射產生脈衝;一第二開關,該第二開關連接至該多個雷射的一第二雷射以使該第二雷射產生脈衝;一基材支撐件,該基材支撐件可操作移動置於其上之一基材至相對於該多個雷射的選定位置;以及一控制器,該控制器連接到該第一開關及該第二開關,其中該控制器被配置以改變來自該多個雷射之雷射輻射脈衝的形狀成為時間的函數。
  2. 如申請專利範圍第1項所述之設備,進一步包括一光學組件,該光學組件設置以接收來自該多個雷射之雷射輻射脈衝並設置以輸出退火能量至該基材支撐件。
  3. 如申請專利範圍第1項所述之設備,其中該控制器被配置以一線性、二度、三度、四度、正弦、指數、或高斯形式改變該雷射輻射脈衝的形狀成為時間的函數。
  4. 如申請專利範圍第1項所述之設備,其中該第一雷射及該第二雷射在不同波長下操作。
  5. 一種用於處理一基材的設備,包括:一雷射輻射源;一開關,該開關光學連接至該雷射輻射源;一基材支撐件,該基材支撐件可操作移動置於其上之一基材至相對於該雷射輻射源的選定位置;一控制器,該控制器連接到該開關及該基材支撐件,其中該控制器被配置以操作該開關以產生雷射輻射脈衝,該等雷射輻射脈衝之間具有在約1毫秒與約20毫秒之間之間隔;以及一光學組件,該光學組件包括一微透鏡陣列,該微透鏡陣列沿該雷射輻射源及該基材支撐件之間的該雷射輻射的光徑配置。
  6. 如申請專利範圍第5項所述之設備,其中該控制器被配置以操作該開關以產生脈衝,在該等脈衝之間具有間隔,使得毎次脈衝於一基材內的能量可在下一次脈衝打到該基材之前消散。
  7. 如申請專利範圍第5項所述之設備,其中該控制器被配置以操作該開關以產生雷射輻射脈衝序列。
  8. 一種用於處理一基材的設備,包括:多個雷射;一第一開關,該第一開關連接至該多個雷射的一第一雷射以使該第一雷射產生脈衝; 一第二開關,該第二開關連接至該多個雷射的一第二雷射以使該第二雷射產生脈衝;一基材支撐件,該基材支撐件可操作移動置於其上之一基材至相對於該多個雷射的選定位置;以及一控制器,該控制器連接到該第一開關及該第二開關,其中該控制器被配置以:引導來自該第一雷射之具有一目標量能量之一第一能量脈衝;監測由該第一能量脈衝供給的能量的量,及計算由該第一脈衝供給的能量的量與目標量能量之間的差別;引導來自該第二雷射之一第二能量脈衝;其中由該第二能量脈衝供給的能量的量等於該計算差別,且其中由該第二能量脈衝供給的能量的量小於由該第一能量脈衝供給的能量的量。
  9. 如申請專利範圍第8項所述之設備,其中該控制器被配置以改變來自該多個雷射之雷射輻射脈衝的形狀成為時間的函數。
  10. 如申請專利範圍第8項所述之設備,其中該第一雷射被配置以供給至少0.2J/cm2之一能量脈衝。
  11. 如申請專利範圍第8項所述之設備,其中該第一雷射及該第二雷射在不同波長下操作。
  12. 一種用於處理一基材的設備,包括:多個雷射;一第一開關,該第一開關連接至該多個雷射的一第一雷射以使該第一雷射產生脈衝;一第二開關,該第二開關連接至該多個雷射的一第二雷射以使該第二雷射產生脈衝;一第三開關,該第三開關連接至該多個雷射的一第三雷射以使該第三雷射產生脈衝;以及一基材支撐件,該基材支撐件可操作移動置於其上之一基材至相對於該多個雷射的選定位置。
  13. 一種用於處理一基材的設備,包括:多個雷射;一第一開關,該第一開關連接至該多個雷射的一第一雷射以使該第一雷射產生脈衝,其中該第一雷射被配置以一第一角度撞擊該基材;一第二開關,該第二開關連接至該多個雷射的一第二雷射以使該第二雷射產生脈衝,其中該第二雷射被配置以一第二角度撞擊該基材,且其中該第一角度不等於該第二角度;一基材支撐件,該基材支撐件可操作移動置於其上之一基材至相對於該多個雷射的選定位置。
  14. 一種用於處理一基材的設備,包括: 多個雷射;一第一開關,該第一開關連接至該多個雷射的一第一雷射以使該第一雷射產生脈衝;一第二開關,該第二開關連接至該多個雷射的一第二雷射以使該第二雷射產生脈衝;一檢測器,該檢測器被配置以檢測一基材的反射率、透射率或吸收率之變化;以及一基材支撐件,該基材支撐件可操作移動置於其上之一基材至相對於該多個雷射的選定位置。
  15. 如申請專利範圍第14項所述之設備,其中該檢測器被配置以檢測該基材的反射率之變化。
  16. 如申請專利範圍第14項所述之設備,其中該檢測器被配置以檢測該基材的透射率之變化。
  17. 一種用於處理一基材的設備,包括:多個雷射;一第一開關,該第一開關連接至該多個雷射的一第一雷射以使該第一雷射產生脈衝;一第二開關,該第二開關連接至該多個雷射的一第二雷射以使該第二雷射產生脈衝;一光聲波檢測器,該光聲波檢測器被配置以檢測一基材的反射率、透射率或吸收率之變化;以及 一基材支撐件,該基材支撐件可操作移動置於其上之一基材至相對於該多個雷射的選定位置。
  18. 一種對一基材進行熱處理的方法,包括:提供一第一多個能量脈衝至該基材之一第一部份;提供一第二多個能量脈衝至該基材之一第二部份;及改變該第一多個能量脈衝之至少一脈衝與該第二多個能量脈衝之至少一脈衝的形狀成為時間的函數。
  19. 如申請專利範圍第18項所述之方法,其中該第一多個能量脈衝包含至少30個脈衝。
  20. 如申請專利範圍第18項所述之方法,其中該第一多個能量脈衝之能量脈衝及該第二多個能量脈衝之每個脈衝含有實質相同能量。
  21. 如申請專利範圍第20項所述之方法,其中該第一多個能量脈衝之每個脈衝及該第二多個能量脈衝之每個脈衝的持續期間在約1奈秒和約10毫秒之間。
  22. 如申請專利範圍第21項所述之方法,其中該第一多個脈衝之每個脈衝之間具有在約1毫秒與約20毫秒之間之間隔。
  23. 如申請專利範圍第18項所述之方法,其中該成形脈衝之 能量對時間之分布以一線性、二度、三度、四度、正弦、指數、或高斯形式改變
  24. 如申請專利範圍第18項所述之方法,其中將該第二多個脈衝之一第一脈衝導向該基材之一第一面,且將該第二多個脈衝之一第二脈衝導向該基材之一第二面,該第二面為該第一面之反面。
  25. 一種對一基材進行熱處理的方法,包括:操作一控制器以從多個附有開關的雷射將一第一多個能量脈衝導向一基材之一第一部份,其中該操作包含:a)操作該控制器以從該多個附有開關的雷射之一第一的一或多個雷射將一第一能量脈衝導向該基材之該第一部份,其中該控制器引導該第一的一或多個雷射以供給一目標量能量;b)操作該控制器以監測藉由該第一能量脈衝供給之能量的量,並計算該目標量能量與藉由該第一脈衝供給之能量的量之間的差別;c)操作該控制器以從該多個附有開關的雷射之一第二的一或多個雷射將一第二能量脈衝導向該基材之該第一部份,其中藉由該第二能量脈衝供給之能量的量等於該計算差別,且其中藉由該第二脈衝供給之能量的量小於藉由該第一脈衝供給之能量的量;以及操作該控制器以從該多個附有開關的雷射將一第二多個雷射能量脈衝導向該基材之一第二部份。
  26. 如申請專利範圍第25項所述之方法,其中該第一多個能量脈衝之第一脈衝具有至少0.2J/cm2之能量含量。
  27. 如申請專利範圍第25項所述之方法,其中該第一多個能量脈衝之每個脈衝與該第二多個能量脈衝之每個脈衝分別具有小於使該基材之該第一部份與該第二部分熔融所需之能量。
  28. 如申請專利範圍第25項所述之方法,其中將該第二多個脈衝之一第一脈衝導向該基材之一第一面,且將該第二多個脈衝之一第二脈衝導向該基材之一第二面,該第二面為該第一面之反面。
TW103113256A 2007-11-08 2008-11-07 脈衝序列退火方法及其設備 TWI569347B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US98655007P 2007-11-08 2007-11-08
US12/203,696 US20090120924A1 (en) 2007-11-08 2008-09-03 Pulse train annealing method and apparatus

Publications (2)

Publication Number Publication Date
TW201428874A true TW201428874A (zh) 2014-07-16
TWI569347B TWI569347B (zh) 2017-02-01

Family

ID=40170149

Family Applications (5)

Application Number Title Priority Date Filing Date
TW105139857A TWI616972B (zh) 2007-11-08 2008-11-07 脈衝序列退火方法及其設備
TW106145242A TWI661488B (zh) 2007-11-08 2008-11-07 脈衝序列退火方法及其設備
TW100143417A TWI440117B (zh) 2007-11-08 2008-11-07 脈衝序列退火方法及其設備
TW103113256A TWI569347B (zh) 2007-11-08 2008-11-07 脈衝序列退火方法及其設備
TW097143156A TWI426578B (zh) 2007-11-08 2008-11-07 脈衝序列退火方法及其設備

Family Applications Before (3)

Application Number Title Priority Date Filing Date
TW105139857A TWI616972B (zh) 2007-11-08 2008-11-07 脈衝序列退火方法及其設備
TW106145242A TWI661488B (zh) 2007-11-08 2008-11-07 脈衝序列退火方法及其設備
TW100143417A TWI440117B (zh) 2007-11-08 2008-11-07 脈衝序列退火方法及其設備

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW097143156A TWI426578B (zh) 2007-11-08 2008-11-07 脈衝序列退火方法及其設備

Country Status (7)

Country Link
US (2) US20090120924A1 (zh)
EP (1) EP2058842A3 (zh)
JP (4) JP2009188378A (zh)
KR (6) KR101176696B1 (zh)
CN (2) CN103219264B (zh)
SG (2) SG152215A1 (zh)
TW (5) TWI616972B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10658510B2 (en) 2018-06-27 2020-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure
TWI749226B (zh) * 2017-05-26 2021-12-11 美商應用材料股份有限公司 熱處理腔室

Families Citing this family (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9498845B2 (en) 2007-11-08 2016-11-22 Applied Materials, Inc. Pulse train annealing method and apparatus
US20090120924A1 (en) * 2007-11-08 2009-05-14 Stephen Moffatt Pulse train annealing method and apparatus
US20100140768A1 (en) * 2008-12-10 2010-06-10 Zafiropoulo Arthur W Systems and processes for forming three-dimensional circuits
JP5668270B2 (ja) * 2008-12-11 2015-02-12 富士電機株式会社 半導体素子の製造方法
US8232114B2 (en) * 2009-01-27 2012-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. RTP spike annealing for semiconductor substrate dopant activation
US8828835B2 (en) 2009-03-06 2014-09-09 Texas Instruments Incorporated Ultrashallow emitter formation using ALD and high temperature short time annealing
JP5620114B2 (ja) * 2010-01-29 2014-11-05 大日本スクリーン製造株式会社 熱処理方法および熱処理装置
US8129284B2 (en) 2009-04-28 2012-03-06 Dainippon Screen Mfg. Co., Ltd. Heat treatment method and heat treatment apparatus for heating substrate by light irradiation
WO2011011764A2 (en) * 2009-07-23 2011-01-27 Gigasi Solar, Inc. Systems, methods and materials involving crystallization of substrates using a seed layer, as well as products produced by such processes
US8361890B2 (en) * 2009-07-28 2013-01-29 Gigasi Solar, Inc. Systems, methods and materials including crystallization of substrates via sub-melt laser anneal, as well as products produced by such processes
US8629436B2 (en) * 2009-08-14 2014-01-14 Gigasi Solar, Inc. Backside only contact thin-film solar cells and devices, systems and methods of fabricating same, and products produced by processes thereof
DE102009029374A1 (de) * 2009-09-11 2011-04-07 Carl Zeiss Smt Gmbh Beschichtungsverfahren für die Mikrolithographie
US8247317B2 (en) * 2009-09-16 2012-08-21 Applied Materials, Inc. Methods of solid phase recrystallization of thin film using pulse train annealing method
US20110165721A1 (en) * 2009-11-25 2011-07-07 Venkatraman Prabhakar Systems, methods and products including features of laser irradiation and/or cleaving of silicon with other substrates or layers
DE102009059193B4 (de) 2009-12-17 2024-02-15 Innolas Solutions Gmbh Verfahren zur Dotierung von Halbleitermaterialien
JP2013519224A (ja) * 2010-02-03 2013-05-23 リモ パテントフェルヴァルトゥング ゲーエムベーハー ウント コー.カーゲー 太陽電池セル、特に結晶または多結晶シリコン太陽電池セルのディスク状基板材料を熱処理するための方法および装置
JP2011243836A (ja) * 2010-05-20 2011-12-01 Sumitomo Heavy Ind Ltd レーザアニール方法及びレーザアニール装置
US9536762B2 (en) * 2010-05-28 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for thermal mapping and thermal process control
JP5552373B2 (ja) * 2010-06-02 2014-07-16 浜松ホトニクス株式会社 レーザ加工方法
JP2012156390A (ja) * 2011-01-27 2012-08-16 Sumitomo Heavy Ind Ltd レーザアニール方法及びレーザアニール装置
US8569187B2 (en) 2011-06-24 2013-10-29 Applied Materials, Inc. Thermal processing apparatus
US20130023111A1 (en) * 2011-06-29 2013-01-24 Purtell Robert J Low temperature methods and apparatus for microwave crystal regrowth
US20130023097A1 (en) * 2011-07-14 2013-01-24 Purtell Robert J U-mos trench profile optimization and etch damage removal using microwaves
KR20130023069A (ko) * 2011-08-24 2013-03-07 울트라테크 인크. GaN LED 및 이것의 고속 열 어닐링 방법
DE102011086889A1 (de) * 2011-11-22 2013-05-23 Mtu Aero Engines Gmbh Generatives Herstellen eines Bauteils
JP5951241B2 (ja) * 2011-12-07 2016-07-13 株式会社Screenホールディングス 熱処理方法および熱処理装置
KR102108939B1 (ko) * 2012-04-18 2020-05-12 어플라이드 머티어리얼스, 인코포레이티드 발전된 어닐링 프로세스에서 입자를 감소시키기 위한 장치 및 방법
JP6425368B2 (ja) * 2012-04-27 2018-11-21 株式会社ディスコ レーザー加工装置及びレーザー加工方法
US9232630B1 (en) 2012-05-18 2016-01-05 Flextronics Ap, Llc Method of making an inlay PCB with embedded coin
TWI624862B (zh) * 2012-06-11 2018-05-21 應用材料股份有限公司 在脈衝式雷射退火中使用紅外線干涉技術之熔化深度測定
WO2014022681A1 (en) 2012-08-01 2014-02-06 Gentex Corporation Assembly with laser induced channel edge and method thereof
JP2014090045A (ja) * 2012-10-30 2014-05-15 Sanken Electric Co Ltd イオン導入層の活性化方法、および、半導体装置の製造方法
KR101432153B1 (ko) * 2012-11-13 2014-08-22 삼성디스플레이 주식회사 광 투과 장치 및 이를 구비하는 어닐링 장치
US10622244B2 (en) 2013-02-18 2020-04-14 Orbotech Ltd. Pulsed-mode direct-write laser metallization
FR3002687B1 (fr) * 2013-02-26 2015-03-06 Soitec Silicon On Insulator Procede de traitement d une structure
EP2784798B1 (en) * 2013-03-27 2016-03-23 Max-Planck-Gesellschaft zur Förderung der Wissenschaften e.V. Determining an electromagnetic response of a sample
EP2986397A4 (en) * 2013-04-18 2016-12-21 Dm3D Tech Llc LASER ASSISTED INTERSTITIAL ALLOY FOR INCREASED WEAR RESISTANCE
US9768016B2 (en) 2013-07-02 2017-09-19 Ultratech, Inc. Formation of heteroepitaxial layers with rapid thermal processing to remove lattice dislocations
US10537027B2 (en) 2013-08-02 2020-01-14 Orbotech Ltd. Method producing a conductive path on a substrate
US9958709B2 (en) * 2013-08-16 2018-05-01 Applied Materials, Inc. Dynamic optical valve for mitigating non-uniform heating in laser processing
US9521754B1 (en) 2013-08-19 2016-12-13 Multek Technologies Limited Embedded components in a substrate
TW201517133A (zh) * 2013-10-07 2015-05-01 Applied Materials Inc 使用熱佈植與奈秒退火致使銦鋁鎵氮化物材料系統中摻雜劑的高活化
CN103633188A (zh) * 2013-11-13 2014-03-12 江西弘宇太阳能热水器有限公司 形成太阳电池掺杂区的方法
TW201528379A (zh) * 2013-12-20 2015-07-16 Applied Materials Inc 雙波長退火方法與設備
US20150187656A1 (en) * 2013-12-29 2015-07-02 Texas Instruments Incorporated Laser anneals for reduced diode leakage
DE102014105300A1 (de) * 2014-03-12 2015-09-17 Von Ardenne Gmbh Prozessieranordnung und Verfahren zum Betreiben einer Prozessieranordnung
US9180539B1 (en) * 2014-03-18 2015-11-10 Flextronics Ap, Llc Method of and system for dressing RF shield pads
JP6635313B2 (ja) * 2014-04-10 2020-01-22 オーボテック リミテッド パルスモードのレーザ直接描画によるメタライゼーション
JP6292104B2 (ja) * 2014-11-17 2018-03-14 三菱電機株式会社 窒化物半導体装置の製造方法
KR20160127286A (ko) 2015-04-24 2016-11-03 홍익대학교 산학협력단 플래시 램프를 이용한 실리콘 박막의 활성화 방법
KR20160127284A (ko) 2015-04-24 2016-11-03 홍익대학교 산학협력단 플래시 램프를 이용한 실리콘 박막의 활성화 방법
JP6624876B2 (ja) * 2015-10-15 2019-12-25 ルネサスエレクトロニクス株式会社 監視方法および半導体装置の製造方法
US10622268B2 (en) * 2015-12-08 2020-04-14 Infineon Technologies Ag Apparatus and method for ion implantation
JP6731766B2 (ja) * 2016-03-30 2020-07-29 株式会社ディスコ レーザー加工方法
JP6910742B2 (ja) * 2016-04-27 2021-07-28 住友重機械工業株式会社 レーザアニール方法及びレーザアニール装置
US10892356B2 (en) 2016-06-24 2021-01-12 Cree, Inc. Group III-nitride high-electron mobility transistors with buried p-type layers and process for making the same
US11430882B2 (en) * 2016-06-24 2022-08-30 Wolfspeed, Inc. Gallium nitride high-electron mobility transistors with p-type layers and process for making the same
US10840334B2 (en) 2016-06-24 2020-11-17 Cree, Inc. Gallium nitride high-electron mobility transistors with deep implanted p-type layers in silicon carbide substrates for power switching and radio frequency applications and process for making the same
US10192980B2 (en) 2016-06-24 2019-01-29 Cree, Inc. Gallium nitride high-electron mobility transistors with deep implanted p-type layers in silicon carbide substrates for power switching and radio frequency applications and process for making the same
EP3276655A1 (en) * 2016-07-26 2018-01-31 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Method and system for bonding a chip to a substrate
CN106653781B (zh) * 2016-09-20 2020-03-20 上海集成电路研发中心有限公司 半导体器件的制造方法
KR101898073B1 (ko) * 2016-11-29 2018-09-17 주식회사 이오테크닉스 레이저 마킹 장치 및 이에 사용되는 관절 구조물 보관 장치
DE102017103908B4 (de) 2017-02-24 2023-05-17 Infineon Technologies Ag Verfahren zum Anbringen einer Halbleiterschicht auf einem Träger
EP3629784A1 (en) 2017-06-02 2020-04-08 Fontem Holdings 1 B.V. Electronic cigarette wick
US10270032B2 (en) 2017-09-13 2019-04-23 Int Tech Co., Ltd. Light source and a manufacturing method therewith
JP7058907B2 (ja) * 2017-10-24 2022-04-25 住友重機械工業株式会社 加熱処理装置、アニール装置及び加熱処理方法
US11011394B2 (en) * 2017-11-21 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for annealing die and wafer
DE102018124675A1 (de) 2017-11-30 2019-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Glühen von Film bei unterschiedlichen Temperaturen und dadurch ausgebildete Strukturen
US10748760B2 (en) * 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Varying temperature anneal for film and structures formed thereby
JP7184525B2 (ja) * 2018-03-08 2022-12-06 株式会社ディスコ チャックテーブルおよびチャックテーブルを備えた加工装置
JP7336465B2 (ja) * 2018-05-08 2023-08-31 ラム リサーチ コーポレーション テレセントリックレンズ、光ビーム折り畳みアセンブリ、またはポリゴンスキャナを有するレンズ回路を含む原子層エッチングおよび原子層堆積の処理システム
US10573532B2 (en) * 2018-06-15 2020-02-25 Mattson Technology, Inc. Method for processing a workpiece using a multi-cycle thermal treatment process
FR3086097B1 (fr) * 2018-09-18 2020-12-04 Commissariat Energie Atomique Procede de fabrication d'un dispositif electroluminescent
CN109262376B (zh) * 2018-10-19 2024-02-27 四川联合晶体新材料有限公司 一种用于降低薄板形材料离子束抛光时热应力的装置和方法
JP7244256B2 (ja) * 2018-11-08 2023-03-22 住友重機械工業株式会社 レーザアニール装置、ウエハ保持装置及びレーザアニール方法
JP7478146B2 (ja) 2018-11-15 2024-05-02 ラム リサーチ コーポレーション ハロゲン系化合物を用いて選択的にエッチングするための原子層エッチングシステム
US11554445B2 (en) * 2018-12-17 2023-01-17 Applied Materials, Inc. Methods for controlling etch depth by localized heating
JP7202907B2 (ja) * 2019-01-28 2023-01-12 Jswアクティナシステム株式会社 レーザ処理装置および表示装置の製造方法
CN110181165B (zh) * 2019-05-27 2021-03-26 北京华卓精科科技股份有限公司 激光预热退火系统和方法
US20210066593A1 (en) * 2019-08-28 2021-03-04 Cerfe Labs, Inc. Dopant activation anneal for correlated electron device
US11605536B2 (en) 2020-09-19 2023-03-14 Tokyo Electron Limited Cyclic low temperature film growth processes
TW202236550A (zh) * 2020-11-25 2022-09-16 美商應用材料股份有限公司 用於低溫處理的補充能量
US11929428B2 (en) 2021-05-17 2024-03-12 Wolfspeed, Inc. Circuits and group III-nitride high-electron mobility transistors with buried p-type layers improving overload recovery and process for implementing the same
WO2023032450A1 (ja) * 2021-09-02 2023-03-09 パナソニックIpマネジメント株式会社 レーザアニール装置及びレーザアニール方法

Family Cites Families (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4151008A (en) * 1974-11-15 1979-04-24 Spire Corporation Method involving pulsed light processing of semiconductor devices
US4370175A (en) * 1979-12-03 1983-01-25 Bernard B. Katz Method of annealing implanted semiconductors by lasers
JPS57180120A (en) * 1981-04-30 1982-11-06 Agency Of Ind Science & Technol Monitoring device for beam annealing
US4476150A (en) * 1983-05-20 1984-10-09 The United States Of America As Represented By The Secretary Of The Army Process of and apparatus for laser annealing of film-like surface layers of chemical vapor deposited silicon carbide and silicon nitride
JPS6271218A (ja) * 1985-09-25 1987-04-01 Hitachi Ltd 薄膜形成装置
JPH0783151B2 (ja) * 1987-09-30 1995-09-06 オリジン電気株式会社 レーザ電源装置
US5424244A (en) * 1992-03-26 1995-06-13 Semiconductor Energy Laboratory Co., Ltd. Process for laser processing and apparatus for use in the same
JP3105488B2 (ja) * 1992-10-21 2000-10-30 株式会社半導体エネルギー研究所 レーザー処理方法
JP3065825B2 (ja) * 1992-10-21 2000-07-17 株式会社半導体エネルギー研究所 レーザー処理方法
KR100299292B1 (ko) * 1993-11-02 2001-12-01 이데이 노부유끼 다결정실리콘박막형성방법및그표면처리장치
US5756364A (en) * 1994-11-29 1998-05-26 Semiconductor Energy Laboratory Co., Ltd. Laser processing method of semiconductor device using a catalyst
JP3469337B2 (ja) * 1994-12-16 2003-11-25 株式会社半導体エネルギー研究所 半導体装置の作製方法
TW305063B (zh) * 1995-02-02 1997-05-11 Handotai Energy Kenkyusho Kk
JP2003100652A (ja) * 1995-07-25 2003-04-04 Semiconductor Energy Lab Co Ltd 線状パルスレーザー光照射装置及び照射方法
US5817550A (en) * 1996-03-05 1998-10-06 Regents Of The University Of California Method for formation of thin film transistors on plastic substrates
JPH11204800A (ja) * 1997-11-14 1999-07-30 Matsushita Electric Ind Co Ltd 薄膜トランジスタ、およびその製造方法、並びに不純物導入装置
JP2000046715A (ja) * 1998-07-31 2000-02-18 Rikagaku Kenkyusho 非発光過程走査プローブ顕微鏡
JP2000277448A (ja) * 1999-03-26 2000-10-06 Ion Kogaku Kenkyusho:Kk 結晶材料の製造方法および半導体素子
US6326219B2 (en) * 1999-04-05 2001-12-04 Ultratech Stepper, Inc. Methods for determining wavelength and pulse length of radiant energy used for annealing
TW490770B (en) * 1999-06-28 2002-06-11 Hitachi Ltd Poly crystal semiconductor thin film substrate, its manufacture method, semiconductor apparatus and electronic apparatus
US6573531B1 (en) * 1999-09-03 2003-06-03 The Trustees Of Columbia University In The City Of New York Systems and methods using sequential lateral solidification for producing single or polycrystalline silicon thin films at low temperatures
JP2001185504A (ja) * 1999-12-22 2001-07-06 Sanyo Electric Co Ltd レーザアニール方法及び装置
JP2001044132A (ja) * 2000-01-01 2001-02-16 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
CN1222016C (zh) * 2000-03-17 2005-10-05 瓦里安半导体设备联合公司 通过激光退火和快速加温退火形成超浅结的方法
JP2001319891A (ja) * 2000-05-10 2001-11-16 Nec Corp 薄膜処理方法及び薄膜処理装置
TWI313059B (zh) * 2000-12-08 2009-08-01 Sony Corporatio
JP2002217125A (ja) * 2001-01-23 2002-08-02 Sumitomo Heavy Ind Ltd 表面処理装置及び方法
JP2005502185A (ja) * 2001-02-12 2005-01-20 株式会社日立国際電気 超急速熱処理チャンバおよび使用方法
CN1443364A (zh) * 2001-04-19 2003-09-17 纽约市哥伦比亚大学托管会 用于提供单扫描、连续移动连续横向凝固的方法和系统
JP3896395B2 (ja) * 2001-06-20 2007-03-22 大日本スクリーン製造株式会社 熱処理装置
JP3810349B2 (ja) * 2001-07-18 2006-08-16 松下電器産業株式会社 半導体記憶装置及びその製造方法
JP3860444B2 (ja) * 2001-08-28 2006-12-20 住友重機械工業株式会社 シリコン結晶化方法とレーザアニール装置
JP2003109912A (ja) * 2001-10-01 2003-04-11 Matsushita Electric Ind Co Ltd レーザアニール装置
US20040097103A1 (en) * 2001-11-12 2004-05-20 Yutaka Imai Laser annealing device and thin-film transistor manufacturing method
JP2003209912A (ja) * 2002-01-16 2003-07-25 Mitsubishi Cable Ind Ltd ハンガー付きちょう架用線の布設方法
US6908535B2 (en) * 2002-03-06 2005-06-21 Medtronic, Inc. Current-to-voltage-converter for a biosensor
US6998580B2 (en) * 2002-03-28 2006-02-14 Dainippon Screen Mfg. Co., Ltd. Thermal processing apparatus and thermal processing method
US6849831B2 (en) * 2002-03-29 2005-02-01 Mattson Technology, Inc. Pulsed processing semiconductor heating methods using combinations of heating sources
US7135423B2 (en) * 2002-05-09 2006-11-14 Varian Semiconductor Equipment Associates, Inc Methods for forming low resistivity, ultrashallow junctions with low damage
JP2004063924A (ja) * 2002-07-31 2004-02-26 Mitsubishi Heavy Ind Ltd レーザアニール方法及び装置
JP4474108B2 (ja) * 2002-09-02 2010-06-02 株式会社 日立ディスプレイズ 表示装置とその製造方法および製造装置
US6835914B2 (en) * 2002-11-05 2004-12-28 Mattson Technology, Inc. Apparatus and method for reducing stray light in substrate processing chambers
KR20050070109A (ko) * 2002-11-05 2005-07-05 소니 가부시끼 가이샤 광조사장치 및 광조사방법
JP2004311906A (ja) * 2003-04-10 2004-11-04 Phoeton Corp レーザ処理装置及びレーザ処理方法
JP4225121B2 (ja) * 2003-05-30 2009-02-18 三菱電機株式会社 レーザアニーリング方法および装置
DE102004030268B4 (de) * 2003-06-24 2013-02-21 Fuji Electric Co., Ltd Verfahren zum Herstellen eines Halbleiterelements
JP3929939B2 (ja) * 2003-06-25 2007-06-13 株式会社東芝 処理装置、製造装置、処理方法及び電子装置の製造方法
US7364952B2 (en) * 2003-09-16 2008-04-29 The Trustees Of Columbia University In The City Of New York Systems and methods for processing thin films
US6911376B2 (en) * 2003-10-01 2005-06-28 Wafermasters Selective heating using flash anneal
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
JP4171399B2 (ja) * 2003-10-30 2008-10-22 住友重機械工業株式会社 レーザ照射装置
JP5630935B2 (ja) * 2003-12-19 2014-11-26 マトソン テクノロジー、インコーポレイテッド 工作物の熱誘起運動を抑制する機器及び装置
JP4838982B2 (ja) * 2004-01-30 2011-12-14 株式会社 日立ディスプレイズ レーザアニール方法およびレーザアニール装置
US7078302B2 (en) * 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US7109443B2 (en) * 2004-03-26 2006-09-19 Intel Corporation Multi-zone reflecting device for use in flash lamp processes
US7282666B2 (en) * 2004-05-07 2007-10-16 Micron Technology, Inc. Method and apparatus to increase throughput of processing using pulsed radiation sources
JP2005347694A (ja) * 2004-06-07 2005-12-15 Sharp Corp 半導体薄膜の製造方法および半導体薄膜製造装置
US20080124816A1 (en) * 2004-06-18 2008-05-29 Electro Scientific Industries, Inc. Systems and methods for semiconductor structure processing using multiple laser beam spots
US8148211B2 (en) * 2004-06-18 2012-04-03 Electro Scientific Industries, Inc. Semiconductor structure processing using multiple laser beam spots spaced on-axis delivered simultaneously
US20090011614A1 (en) * 2004-06-18 2009-01-08 Electro Scientific Industries, Inc. Reconfigurable semiconductor structure processing using multiple laser beam spots
US7687740B2 (en) * 2004-06-18 2010-03-30 Electro Scientific Industries, Inc. Semiconductor structure processing using multiple laterally spaced laser beam spots delivering multiple blows
US7148155B1 (en) * 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
JP4674092B2 (ja) * 2005-01-21 2011-04-20 株式会社 日立ディスプレイズ 表示装置の製造方法
JP2006344909A (ja) * 2005-06-10 2006-12-21 Sumitomo Heavy Ind Ltd レーザ照射装置及び半導体装置の製造方法
JP4632886B2 (ja) * 2005-07-14 2011-02-16 シャープ株式会社 点字翻訳装置、点字翻訳方法、点字翻訳プログラムおよびこれを記録したコンピュータ読取り可能な記録媒体
JP2007059458A (ja) * 2005-08-22 2007-03-08 Fuji Electric Holdings Co Ltd レーザーアニールにおけるレーザービームのモニタリング方法
JP5103728B2 (ja) * 2005-11-24 2012-12-19 ウシオ電機株式会社 放電ランプ点灯装置
US20070212859A1 (en) * 2006-03-08 2007-09-13 Paul Carey Method of thermal processing structures formed on a substrate
KR101113533B1 (ko) * 2006-03-08 2012-02-29 어플라이드 머티어리얼스, 인코포레이티드 기판상에 형성되는 구조체의 열적 처리를 위한 장치 및 방법
JP2007251015A (ja) * 2006-03-17 2007-09-27 Sumitomo Heavy Ind Ltd レーザアニール装置及びレーザアニール方法
JP4916802B2 (ja) * 2006-07-20 2012-04-18 大日本スクリーン製造株式会社 熱処理装置
JP5036248B2 (ja) * 2006-08-10 2012-09-26 大日本スクリーン製造株式会社 熱処理装置および熱処理用サセプタ
JP5036274B2 (ja) * 2006-10-30 2012-09-26 大日本スクリーン製造株式会社 熱処理装置および熱処理方法
CN101702950B (zh) * 2007-05-01 2012-05-30 加拿大马特森技术有限公司 辐照脉冲热处理方法和设备
JP5465373B2 (ja) * 2007-09-12 2014-04-09 大日本スクリーン製造株式会社 熱処理装置
US20090120924A1 (en) * 2007-11-08 2009-05-14 Stephen Moffatt Pulse train annealing method and apparatus

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI749226B (zh) * 2017-05-26 2021-12-11 美商應用材料股份有限公司 熱處理腔室
US10658510B2 (en) 2018-06-27 2020-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure
TWI698918B (zh) * 2018-06-27 2020-07-11 台灣積體電路製造股份有限公司 半導體結構及其製造方法
US11257952B2 (en) 2018-06-27 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure
US11594636B2 (en) 2018-06-27 2023-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure
US11955553B2 (en) 2018-06-27 2024-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure

Also Published As

Publication number Publication date
KR20110084143A (ko) 2011-07-21
TW201711125A (zh) 2017-03-16
JP2017212450A (ja) 2017-11-30
US20140073145A1 (en) 2014-03-13
KR101442819B1 (ko) 2014-09-19
EP2058842A3 (en) 2009-12-23
CN103219264B (zh) 2016-11-23
EP2058842A2 (en) 2009-05-13
TW200933793A (en) 2009-08-01
CN103219264A (zh) 2013-07-24
SG152215A1 (en) 2009-05-29
KR101449733B1 (ko) 2014-10-15
JP2012169632A (ja) 2012-09-06
KR20110084141A (ko) 2011-07-21
CN102403206A (zh) 2012-04-04
KR101442817B1 (ko) 2014-09-19
TWI616972B (zh) 2018-03-01
JP6525919B2 (ja) 2019-06-05
KR20110084139A (ko) 2011-07-21
KR20110084140A (ko) 2011-07-21
JP6672222B2 (ja) 2020-03-25
TWI440117B (zh) 2014-06-01
TWI661488B (zh) 2019-06-01
CN102403206B (zh) 2016-12-07
TWI569347B (zh) 2017-02-01
JP6525919B6 (ja) 2019-06-26
KR101176696B1 (ko) 2012-08-23
TWI426578B (zh) 2014-02-11
US20090120924A1 (en) 2009-05-14
KR101449734B1 (ko) 2014-10-15
JP2016149573A (ja) 2016-08-18
TW201216399A (en) 2012-04-16
KR101442821B1 (ko) 2014-09-19
KR20090048376A (ko) 2009-05-13
JP2009188378A (ja) 2009-08-20
SG185953A1 (en) 2012-12-28
TW201812921A (zh) 2018-04-01
KR20110084142A (ko) 2011-07-21

Similar Documents

Publication Publication Date Title
TWI569347B (zh) 脈衝序列退火方法及其設備
CN107123597B (zh) 脉冲序列退火方法和设备
US20210220949A1 (en) Pulse train annealing method and apparatus
WO2011034641A1 (en) Methods of solid phase recrystallization of thin film using pulse train annealing method
KR20170130616A (ko) 기판의 어닐링시 열량 관리