KR101121303B1 - 상부 계면이 두 블록에 동등한 선호도로 습윤성을 나타내도록 구속되는 블록 공중합체 필름의 열 어닐링 - Google Patents

상부 계면이 두 블록에 동등한 선호도로 습윤성을 나타내도록 구속되는 블록 공중합체 필름의 열 어닐링 Download PDF

Info

Publication number
KR101121303B1
KR101121303B1 KR1020107023487A KR20107023487A KR101121303B1 KR 101121303 B1 KR101121303 B1 KR 101121303B1 KR 1020107023487 A KR1020107023487 A KR 1020107023487A KR 20107023487 A KR20107023487 A KR 20107023487A KR 101121303 B1 KR101121303 B1 KR 101121303B1
Authority
KR
South Korea
Prior art keywords
block copolymer
block
copolymer material
substrate
trench
Prior art date
Application number
KR1020107023487A
Other languages
English (en)
Other versions
KR20100128334A (ko
Inventor
댄 비. 밀워드
티모시 퀵
Original Assignee
마이크론 테크놀로지, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크론 테크놀로지, 인크. filed Critical 마이크론 테크놀로지, 인크.
Publication of KR20100128334A publication Critical patent/KR20100128334A/ko
Application granted granted Critical
Publication of KR101121303B1 publication Critical patent/KR101121303B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F299/00Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers
    • C08F299/02Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers from unsaturated polycondensates
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F299/00Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers
    • C08F299/02Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers from unsaturated polycondensates
    • C08F299/04Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers from unsaturated polycondensates from polyesters
    • C08F299/0485Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers from unsaturated polycondensates from polyesters from polyesters with side or terminal unsaturations
    • C08F299/0492Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers from unsaturated polycondensates from polyesters from polyesters with side or terminal unsaturations the unsaturation being in acrylic or methacrylic groups
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0128Processes for removing material
    • B81C2201/013Etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0198Manufacture or treatment of microstructural devices or systems in or on a substrate for making a masking layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Nanotechnology (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Composite Materials (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Treatments Of Macromolecular Shaped Articles (AREA)
  • Formation Of Insulating Films (AREA)
  • Manufacture Of Macromolecular Shaped Articles (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)

Abstract

자기조립형 블록 공중합체를 이용하여 리소그래피 이하의 나노규모 미세구조를 제작하는 방법, 이 방법으로부터 생성된 필름 및 장치가 제공된다.

Description

상부 계면이 두 블록에 동등한 선호도로 습윤성을 나타내도록 구속되는 블록 공중합체 필름의 열 어닐링{THERMAL ANNEAL OF A BLOCK COPOLYMER FILMS WITH TOP INTERFACE CONSTRAINED TO WET BOTH BLOCKS WITH EQUAL PREFERENCE}
본 발명의 실시예들은 자기조립형 블록 공중합체들(self-assembling block copolymers)의 얇은 필름 제작 방법 및 이 방법으로부터 생성된 디바이스들에 관한 것이다.
나노스케일의 기계적, 전기적, 화학적 및 생물학적 장치 및 시스템의 개발이 증가함에 따라, 나노스케일의 장치 및 컴포넌트를 제작하기 위한 새로운 프로세스들 및 물질이 필요하다. 반도체 피처들(features)의 치수(dimension)가 통상의 리소그래피에 의해서는 쉽게 접근할 수 없는 크기로 감소함에 따라 전도성 라인들에 전기적 콘택트를 형성하는 것이 중요한 도전 과제가 되었다. 광학 리소그래피 처리 방법은 60 나노미터 아래에서의 구조들 및 피처들을 제조하는 데 어려움이 있다. 자기조립형 디블록(diblock) 공중합체를 이용하면 나노스케일 치수에서 패터닝하는 다른 경로를 제시한다. 디블록 공중합체 필름은 예를 들어 중합체의 유리 전이 온도보다 높은 열적 어닐링에 의해 또는 용매 어닐링에 의해 어닐링한 후 구성 중합체 블록들의 미세상 분리(microphase separation)에 의해 주기적 구조로 자발적으로 조립하여 나노미터 스케일 치수의 된 규칙화된 도메인을 생성한다.
미세상 분리된 도메인의 크기 및 모양을 포함하는 필름 모르폴로지(film morphology)를 그 중에서도 디블록 공중합체의 AB 블록들의 분자량 및 부피 비율에 의해 제어하여 라멜라형, 실린더형 또는 구형 모르폴로지를 생성할 수 있다. 예를 들어, 디블록 중합체의 두 블록(AB)의 부피 비율이 약 80:20보다 큰 경우에는, 블록 공중합체 필름이 미세상 분리되어 중합체 B의 구체들이 기질인 중합체 A에 의해 둘러싸인 주기적 구형 도메인으로 자기조립할 것이다. 두 블록의 비가 약 60:40 및 80:20의 경우에는, 디블록 공중합체가 기질인 중합체 A 내에 중합체 B의 실린더의 주기적 육각 밀집형 또는 벌집형 배열로 조립된다. 약 50:50 내지 60:40의 비의 경우에는, 블록들의 라멜라 도메인들 또는 교번하는 스트라이프들이 생성된다. 도메인 크기는 통상적으로 5 - 50 ㎚의 범위이다.
리소그래피에 대한 블록 공중합체(BCP)들의 자기조립의 다수의 응용들은, 두 도메인이 공기 계면을 습윤시키고(wet) 공기 계면에 노출되는 자기조립된 도메인들이 기판에 대해 수직으로 배향하는 것을 필요로 한다. 중합체 블록 중 하나를 선택적으로 제거하여 에칭 마스크를 형성하고, 수직 배향된 빈 구조들(void structures)을 아래에 놓인 기판을 에칭하기 위해 이용할 수 있다.
공기 또는 진공 중에서 대부분의 BCP들(예를 들어, PS-b-PVP 등)의 통상적인 열적 어닐링은 전형적으로 하나의 블록이 공기 기체(vapor) 계면을 우선적으로 습윤시키는 결과를 야기할 것이다. 대역(zone) 어닐링이라고 불리는 열적 어닐링의 변형은 신속한 자기조립(예를 들어, 수 분 정도)을 제공할 수 있지만, 공기 기체 계면을 동등하게 습윤시키는 중합체 도메인을 갖는 적은 수의 BCP들(예를 들어, PS-b-PMMA, PS-b-PLA)에 대해서만 효과적이다. 기판에 대한 자기조립된 도메인의 수직 배향을 생성하는 데에 BCP들의 용매 어닐링이 이용되어 왔지만, 일반적으로 매우 느린 공정이고, 통상적으로 수 일 정도 걸리고, 많은 양의 용매를 필요로 할 수 있다. 통상적인 용매 어닐링은 25℃에서 적어도 12 시간 동안(종종 더 오래 동안) BCP 필름을 포화 용매 분위기에 노출시킴으로써 수행된다.
이러한 문제를 극복하는 규칙화된 나노 구조의 배열의 필름을 제작하는 방법을 제공하는 것이 유용할 것이다.
본 발명의 실시예들이 예시적 목적만을 위한 이하의 첨부 도면들을 참조하여 아래에서 설명된다. 이하의 도면 전반에 걸쳐서, 참조 번호들이 도면에 이용될 것이고, 동일하거나 유사한 부분을 나타내는 여러 개의 도면 및 명세서 전반에 걸쳐서 동일한 참조 번호가 이용될 것이다.
도 1은, 그 위에 중립적 습윤성 물질을 갖는 기판을 나타내는, 본 발명의 일 실시예에 따른 예비적 처리 스테이지에서의 기판의 일부의 개략적 상면도이다. 도 1A -도 1B는 각각 라인 1A-1A 및 라인 1B-1B를 따라 취한 도 1에 도시된 기판의 단면의 입면도(elevational, cross-sectional view)를 예시한다.
도 2는 중립적 습윤성 물질 상에 생성된 물질 층에 트렌치들의 생성을 나타내는 후속 스테이지에서의 도 1의 기판의 개략적 상면도를 예시한다. 도 2A - 도 2B는 각각 라인 2A-2A 및 라인 2B-2B를 따라 취한 도 2에 도시된 기판의 일부의 단면의 입면도를 예시한다.
도 3은 기판 상에 생성된 물질 층에 트렌치를 갖는 기판을 나타내는, 본 발명의 다른 실시예에 따른 예비적 처리 스테이지에서의 기판의 일부의 측면의 입면도를 예시한다. 도 4는 트렌치 내에 중립적 습윤성 물질의 생성을 나타내는 후속 스테이지에서의 도 3의 기판의 측면의 입면도를 예시한다.
도 5는 트렌치 내의 블록 공중합체 물질을 나타내는 후속 스테이지에서의 도 2의 기판의 개략적 상면도이다. 도 5A - 도 5B는 각각 라인 5A-5A 및 라인 5B-5B를 따라 취한 도 5에 도시된 기판의 일부의 단면의 입면도를 예시한다.
도 6 - 도 8은 대역화된 어닐링 기술에 의해 본 발명의 실시예에 따른 필름의 일부를 어닐링하는 것을 나타내는 후속 스테이지들에서의 도 5의 기판의 개략적 상면도이다. 도 6A - 도 8A는 각각 필름을 대역 어닐링하기 위한 가열 장치의 일 실시예를 나타내는, 라인 6A-6A, 7A-7A 및 8A-8A를 따라 취한 도 6 - 도 8에 도시된 기판의 단면의 입면도를 예시한다. 도 6B는 라인 6B-6B를 따라 취한 도 6에 도시된 기판의 단면의 입면도이다.
도 9는 어닐링 동안 블록 공중합체 물질 위에 비우선적 습윤성 물질의 배치를 도시하는, 본 발명의 방법의 다른 실시예에 따른 후속 스테이지에서의 도 5의 기판의 상면도이다. 도 9A - 도 9B는 각각 라인 9A-9A 및 라인 9B-9B를 따라 취한 도 9에 도시된 기판의 단면의 입면도이다. 도 10A - 도 10B는 각각 어닐링된 자기조립된 블록 공중합체 물질, 및 어닐링 후 비우선적 습윤성 물질의 제거를 나타내는 후속 스테이지에서의 도 9A - 9B에 도시된 기판의 단면도이다.
도 11 - 도 13은 중합체 블록들 중 하나를 제거한 후 자기조립된 블록 공중합체 필름을 기판을 에칭하기위한 마스크로 사용하고, 에칭된 개구를 채우는 실시예를 도시하는 후속 스테이지들에서의 도 8의 기판의 상면도이다. 도 11A - 도 13A는 각각 라인 11A-11A 내지 선 13A-13A를 따라 취한 도 11 - 도 13에 도시된 기판의 일부의 단면의 입면도를 예시한다. 도 11B - 도 13B는 각각 라인 11B-11B 내지 라인 13B-13B를 따라 취한 도 11 - 도 13에 도시된 기판의 단면도이다.
이하의 설명은 도면들을 참조하여 본 발명의 실시예들에 따른 장치들 및 방법들의 예시적 예들을 제공한다. 이러한 설명은 예시하는 것만을 목적으로 하고, 본 발명을 제한하는 것을 목적으로 하지 않는다.
본원의 맥락에서, "반도체 기판(semiconductor substrate)" 또는 "반도전성 기판(semiconductive substrate)" 또는 "반도전성 웨이퍼 단편(semiconductive wafer fragment)" 또는 "웨이퍼 단편" 또는 "웨이퍼"라는 용어는 반도체 웨이퍼(단독으로 또는 다른 물질을 위에 포함하는 조립체로) 및 반도전성 물질 층(단독으로 또는 다른 물질을 포함하는 조립체로)과 같은 벌크 반도체 물질들을 포함하지만 이것으로 제한되지 않는 반도체 물질을 포함하는 임의의 구조물을 의미하는 것으로 이해될 것이다. "기판"이라는 용어는 전술한 반도전성 기판들, 웨이퍼 단편들 또는 웨이퍼들을 포함하지만 이것으로 제한되지 않는 임의의 지지 구조를 지칭한다.
본원에서 사용되는 "Lo"는 어닐링시 자기조립형(SA) 블록 공중합체로부터 자기조립한 구조들의 고유 주기성 또는 피치 값(벌크 주기 또는 반복 단위)이다. 본원에서 사용되는 "LB"는 블록 공중합체와 그것의 구성 단일중합체(homopolymer)들 중 하나 이상과의 블렌드(blend)의 주기성 또는 피치 값이다. 본원에서 "L"은 블록 공중합체 또는 혼합물의 중심간 실린더 피치 또는 실린더들의 간격을 가리키는 데 이용되고, 순수 블록 공중합체의 경우에는 "Lo"와 동등하고, 공중합체 블렌드의 경우에는 "LB"와 동등하다.
본 발명의 실시예들에서, 중합체 물질(예를 들어, 필름, 층)은 블록 공중합체들의 유도된 자기조립(guided self-assembly)에 의해 제조되고, 공기 계면에서 두 중합체 도메인을 갖는다. 블록 공중합체 물질은 어닐링 후 구성 중합체 블록들의 미세상 분리에 의해 자발적으로 주기적 구조들로 조립되어, 트렌치 내에 나노미터 스케일 치수의 수직 배향된 실린더의 규칙화된 도메인을 생성한다.
본 발명의 실시예에 따른 나노미터 스케일의 수직 배향된 실린더의 1차원(1-D) 배열을 생성하는 자기조립된 블록 공중합체 물질의 제작 방법이 도 1 - 도 8을 참조하여 도시된다.
기술된 실시예는 용매 분위기 하에서 실린더상(cylindrical-phase) 블록 공중합체의 열적 어닐링을 수반한다. 어닐링은 리소그래피에 의해 정의된 트렌치를 두 중합체 블록들에 대해 중립적 습윤성인 물질로 이루어진 바닥 및 하나의 중합체 블록에 대해 우선적 습윤성을 나타내어 구속 조건으로서 기능을 하는 측벽들 및 단부들과 함께 가이드로서 이용하는 그래포에피택시(graphoepitaxy) 기술과 함께 수행되어 블록 공중합체가 트렌치 바닥에 대해 수직 배향되고 트렌치 측벽에 정합되는 중합체 기질에서의 단일 열(row)의 실린더의 규칙화된 1-D 배열로 자기조립하도록 유도한다. 일부 실시예들에서, 2 이상의 열들의 수직 배향된 실린더들이 각 트렌치에 생성될 수 있다.
도 1 - 도 1B에 도시된 바와 같이, 기판(10)이 제공되며, 기판은, 다른 물질 중에서도 특히, 실리콘, 실리콘 산화물(silicon oxide), 실리콘 질화물(silicon nitride), 실리콘 산질화물(silicon oxynitride), 실리콘 산탄화물(silicon oxycarbide)일 수 있다. 추가로 도시된 바와 같이, 전도성 라인(12)(또는 다른 활성 영역, 예를 들어, 반도전성 영역들)이 기판(10) 내에 위치된다.
임의의 설명된 실시예에서, 단일의 트렌치 또는 다수의 트렌치가 기판에 생성될 수 있고, 라인들(또는 다른 활성 영역)의 배열의 전체 폭에 걸칠 수 있다. 본 발명의 실시예들에서, 기판(10)에 피치 L로 전도성 선들(12)(또는 다른 활성 영역)의 배열이 제공된다. 활성 영역(12)(예: 선) 위에 트렌치 또는 트렌치들이 생성되어, 블록 공중합체 물질이 어닐링될 때, 각각의 실린더가 단일 활성 영역(12)(예를 들어, 전도성 라인) 위에 위치할 것이다. 일부 실시예들에서, 각각의 인접하는 트렌치(18)의 단부들(24)이 서로 정렬되거나 또는 L의 5% 미만으로 약간 오프셋 되도록 다수의 트렌치를 생성함으로써, 인접 트렌치들의 실린더들이 정렬되고 동일한 라인(12) 위에 위치된다.
도시된 실시예에서, 중립적 습윤성 물질(14)(예를 들어, 랜덤 공중합체)을 기판(10) 위에 생성한다. 이어서, 물질 층(16)(또는 하나 이상의 물질 층들)을 중립적 습윤성 물질 위에 형성하고 에칭하여 도 2 - 2B에 나타낸 바와 같이 전도성 라인(12)의 배열에 대해 수직 배향된 트렌치(18)를 생성한다. 물질 층(16)의 부분들은 트렌치들 밖에 및 트렌치들 사이에 스페이서(20)를 생성한다. 트렌치들(18)은 대향 측벽들(22), 대향 단부들(24), 바닥(26), 폭(wt), 길이(lt) 및 깊이(Dt)를 가지도록 구조화된다.
다른 실시예에서, 도 3에 도시된 바와 같이 물질 층(16')을 기판(10') 상에 형성하고, 에칭하여 트렌치들(18')을 생성할 수 있고, 이어서, 도 4에 도시된 바와 같이 트렌치 바닥 (26') 상에 중립적 습윤성 물질(14')을 생성할 수 있다. 예를 들어, 트렌치(18')에 랜덤 공중합체 물질이 성막되고, 가교(crosslink)시켜 중립적 습윤성 물질 층을 생성할 수 있다. 이어서, 스페이서들(20')과 같은 트렌치 밖의 표면 위의 물질(예를 들어, 가교되지 않은 랜덤 공중합체)이 후속하여 제거될 수 있다.
스케일 L(10 - 100 ㎚)로 패터닝할 수 있는 노광 시스템을 갖는 리소그래피 도구를 이용하여 단일의 또는 다수의 트렌치들(18)(도시됨)을 생성할 수 있다. 이러한 노광 시스템들은 예를 들어 당업계에 공지되고 이용되는 극자외선(EUV) 리소그래피, 근접 X선 및 전자빔(e-빔) 리소그래피를 포함한다. 통상의 포토리소그래피는 (최소) 약 58 ㎚의 피처들을 달성할 수 있다.
예를 들어, 미국 특허 번호 제5,328,810(Lowrey 등), 미국 특허 번호 제7,115,525(Abatchev 등), US 2006/0281266(Wells) 및 US 2007/0023805(Wells)에 기술된 바와 같이, 포토리소그래피 기술의 성능을 그 최소 피치를 넘어서도록 확장하기 위해 "피치 이중화" 또는 "피치 다중화"라고 불리는 방법이 또한 이용될 수 있다. 간략하게 말하면, 기판 위에 놓인 소모성 물질 층 위에 놓인 포토레지스트 물질에 포토리소그래피로 라인들의 패턴이 생성되고, 소모성 물질 층이 에칭되어 플레이스홀더(placeholder) 또는 주축(mandrel)이 생성되고, 포토레지스트가 벗겨지고, 주축의 측면들 상에 스페이서들이 생성되고, 이어서 기판을 패터닝하기 위한 마스크로서 스페이서들을 남긴채 주축들이 제거된다. 따라서, 초기 포토리소그래피가 하나의 피처 및 하나의 공간을 정의하는 패턴을 생성한 경우, 이제는 동일 폭이 두 개의 피처들 및 두 개의 공간들을 생성하고, 공간들은 스페이서들에 의해 정의된다. 결과로서, 포토리소그래피 기술로 가능한 최소 피처 크기는 약 30 ㎚ 이하로 효과적으로 감소한다.
트렌치 내에 수직 배향 나노실린더의 단일의 (1-D) 배열 또는 층을 생성함에 있어서의 인자들은 트렌치의 폭(wt) 및 깊이(Dt), 원해지는 피치(L)를 달성하는 블록 공중합체 또는 블렌드의 제제(formulation), 및 트렌치 내의 블록 공중합체 물질의 두께(t)를 포함한다.
예를 들어, 두개의 구성 단일중합체의 첨가에 의해 삼원 블렌드를 생성함으로써 트렌치의 폭(wt)이 감소하고/하거나 블록 공중합체의 주기성(L 값)이 증가하기 때문에, 트렌치의 중심 내의 수직 실린더의 2개의 열들이 1개의 열로 변경된다. x축 및 y축 양축에서의 트렌치 측벽들(22)의 경계 조건들은 각각의 트렌치가 "n" 개의 피처들(예를 들어, 실린더들)을 포함하는 구조를 부여한다. 예를 들어, 중립적 습윤성 바닥을 가지는 75 ㎚ 폭 트렌치 내로 성막된 35 ㎚의 피치 또는 L 값을 가지는 블록 공중합체 또는 블렌드는 어닐링시 트렌치의 중심 아래에 측벽들과 정렬되는 단일 열의 수직 실린더들을 이라기보다는 오히려 트렌치의 길이(lt)에 대해 피치 거리의 약 1/2(약 0.5*L)로 오프셋되는 지그재그 패턴의 17.5 ㎚ 직경(
Figure 112010067870384-pct00001
0.5*L)의 수직 실린더들을 생성한다.
도시된 실시예에서, 블록 공중합체의 약 1.5 - 2*L(또는 1.5 - 2 X 피치 값)의 폭(wt)을 갖도록 트렌치(18)가 구성되어, 약 L의 캐스팅된 블록 공중합체 물질(또는 블렌드)이 어닐링시 L 또는 약 L의 인접하는 실린더들의 중심간 피치 거리(p)를 갖는 단일 열의 수직 배향 실린더들(직경
Figure 112010067870384-pct00002
0.5*L)로 자기조립할 것이다. 예를 들어, 약 50 ㎚의 피치 값 또는 L을 가지는 실린더상 블록 공중합체를 이용하는데 있어서, 트렌치들(18)의 폭(wt)은 약 1.5 - 2 * 50 ㎚ 또는 약 75 - 100 ㎚일 수 있다. 트렌치들의 길이(lt)는 n*L 또는 약 n*L 또는 L의 정수 배수이고, 통상적으로 약 n*10 내지 약 n*100 ㎚(n은 피처들 또는 구조들, 예를 들어 실린더들의 수임)의 범위이다. 트렌치들(18)의 깊이(Dt)는 L과 같거나 또는 그보다 크다(Dt > L). 인접하는 트렌치들 사이의 스페이서들(20)의 폭은 변화할 수 있고, 일반적으로 약 L 내지 약 n*L이다. 일부 실시예들에서, 트렌치 치수는 폭(wt)이 약 20 - 100 ㎚이고, 길이(lt)가 약 100 - 25000 ㎚이고, 깊이(Dt)가 약 10 - 100 ㎚이다.
Lo 또는 약 Lo의 고유 피치를 갖는 자기조립형 실린더상 블록 공중합체 물질 (28)(또는 LB 또는 약 LB의 피치를 가지도록 블렌딩된 블록 공중합체 및 단일중합체의 삼원 블렌드)이 트렌치들(18) 내에 통상적으로 필름으로서 성막된다(도 5 - 5B).
블록 공중합체(또는 블렌드)는 모든 중합체 블록들이 트렌치 바닥 상의 중립적 습윤성 물질에 대해 동등한 선호도를 갖도록 구성된다. 블록 공중합체 물질은 결함 허용치 및 현상의 용이성 및/또는 블록 중 하나의 제거와 같은 원해지는 특성들을 제공하도록 구성될 수 있다. 본 발명의 일부 실시예들에서, 블록 공중합체 또는 블렌드는 부 도메인(minor domain)이 선택적으로 제거될 수 있도록 구성된다.
그 중에서도, 디블록 공중합체들의 예들은, 폴리(스티렌)-b-폴리(비닐피리딘)(PS-b-PVP), 폴리(스티렌)-b-폴리(메틸메타크릴레이트)(PS-b-PMMA) 또는 다른 PS-b-폴리(아크릴레이트) 또는 PS-b-폴리(메타크릴레이트), 폴리(스티렌)-b-폴리(락티드)(PS-b-PLA), 폴리(스티렌)-b-폴리(tert-부틸 아크릴레이트)(PS-b-PtBA) 및 폴리(스티렌)-b-폴리(에틸렌-코-부틸렌)(PS-b-(PS-co-PB)), 폴리(스티렌)-b-폴리(에틸렌 옥시드)(PS-b-PEO), 폴리(이소프렌)-b-폴리(에틸렌옥시드)(PI-b-PEO), 폴리(이소프렌)-b-폴리(메틸메타크릴레이트)(PI-b-PMMA), 폴리(부타디엔)-b-폴리(에틸렌옥시드)(PBD-b-PEO), PS 블록과 PEO 블록 사이에 트리페닐메틸(트리틸) 에테르 결합과 같은 분해성 접합(cleavable junction)을 갖는 PS-b-PEO 블록 공중합체(선택적으로, KCl, KI, LiCl, LiI, CsCl 또는 CsI과 같은 염(salt)의 묽은 농도(예: 약 1 중량%)로 착화됨(complexed)(Zhang 등, Adv. Mater. 2007,19,1571-1576)), 자기조립된 실린더의 직경보다 작은 크기의 PEO 코팅된 금 나노입자들로 도핑된 PS-b-PMMA 블록 공중합체(Park 등, Macromolecules, 2007,40(11),8119-8124), 및 디티올 기를 갖는 분해성 접합을 갖는 폴리(스티렌)-b-폴리(2-비닐피리딘)(PS-b-P2VP) 블록 공중합체를 포함하며, 예시된 실시예에서는 PS-b-PVP가 이용된다. 다른 유형의 블록 공중합체(즉, 트리블록(triblock) 또는 멀티블록 공중합체)가 이용될 수 있다. 그 중에서도, 트리블록 공중합체들의 예들은, 폴리(스티렌-b-메틸 메타크릴레이트-b-에틸렌 옥시드)(PS-b-PMMA-b-PEO), 및 ABA 공중합체, 예를 들어 PS-PMMA-PS, PMMA-PS-PMMA 및 PS-b-PI-b-PS와 같은 ABC 공중합체를 포함한다.
미세상 분리된 도메인의 도메인 크기 및 주기들(Lo)을 포함하는 필름 모르폴로지는 블록 공중합체의 사슬 길이(분자량 MW) 및 디블록 공중합체의 AB 블록들의 부피 비율에 의해 제어되어 (그 중에서도 특히) 실린더형 모르폴로지를 생성할 수 있다. 예를 들어, 두 블록들의 비가 일반적으로 약 60:40 내지 80:20(A:B)인 부피 비율의 경우, 디블록 공중합체는 미세상 분리되고 중합체 A 기질 내에 중합체 B의 주기적 실린더 도메인으로 자기조립할 것이다. PS 기질에 약 14 ㎚ 직경의 실린더형 PVP 도메인들을 형성하는 실린더 생성 PS-b-PVP 공중합체 물질((Lo ~ 28 ㎚)의 예는 약 70 wt-% PS 및 30 wt-% PVP로 이루어지고, 44.5 ㎏/mol의 총 분자량(Mn)을 갖는다. PS 기질에 약 20 ㎚ 직경의 실린더형 PMMA 도메인을 생성하는 실린더 생성 PS-b-PMMA 공중합체 물질(Lo = 35 ㎚)의 예는 약 70 wt-% PS 및 30 wt-% PMMA로 이루어지고, 67 ㎏/mol의 총 분자량(Mn)을 갖는다. 다른 예로서, PS 기질에 약 27 ㎚ 직경의 실린더형 PLA 도메인을 생성하는 PS-b-PLA 공중합체 물질(L = 49 ㎚)은 약 71 wt-% PS 및 29 wt-% PLA로 이루어질 수 있고, 약 60.5 ㎏/mol의 총 분자량(Mn)을 갖는다.
블록 공중합체의 L 값은 예를 들어 블록 공중합체의 분자량을 조정함으로써 변경될 수 있다. 블록 공중합체 물질은 블록 공중합체, 및 블록 공중합체의 중합체 블록들과 동일한 유형의 중합체들의 하나 이상의 단일중합체들(HPs)을 포함하는 이원 또는 삼원 블렌드로서 제제화되어 중합체 도메인들의 크기를 팽창시키고 L 값을 증가시키는 블렌드를 생성할 수 있다. 블렌드 내의 단일중합체의 농도는 0 내지 약 60 wt-%의 범위일 수 있다.
삼원 디블록 공중합체 블렌드의 예는 PS-b-P2VP/PS/P2VP 블렌드, 예를 들어 60 wt-%의 32.5K/12K PS-b-P2VP, 20 wt-%의 10K PS 및 20 wt-%의 10K P2VP이다. 삼원 이블록 공중합체의 다른 예는 PS-b-PMMA/PS/PMMA 블렌드, 예를 들어 60 wt-%의 46K/21K PS-b-PMMA, 20 wt-%의 20K 폴리스티렌 및 20 wt-%의 20K 폴리(메틸 메타크릴레이트)이다. 또 다른 예는 60:20:20(wt-%)의 PS-b-PEO/PS/PEO의 블렌드, 또는 약 85-90 wt-%의 PS-b-PEO 및 10-15 wt-% 까지의 PEO의 블렌드이고; 첨가된 PEO 단일중합체가 PEO 도메인들의 표면 에너지를 PS의 표면에너지까지 낮추는 기능을 적어도 부분적으로 할 수 있다.
본 실시예에서, 트렌치 바닥들(26)은 중립적 습윤성(공중합체의 두 블록들에 대해 동등한 친화성)을 나타내도록 구조화되어 트렌치 바닥들에 대해 수직으로 배향되는 실린더형 중합체 도메인들의 생성을 유도하고, 트렌치 측벽들(22) 및 단부들(24)은 블록 공중합체의 하나의 블록에 의해 우선적 습윤성을 나타내도록 구조화되어 중합체 블록이 자기조립할 때 측벽들에 대해 실린더의 정합을 유도한다. 트렌치 표면들의 습윤성 성질에 반응하여, 어닐링시, 실린더상 블록 공중합체의 우선되는 또는 부 블록(minority block)이 자기조립하여 트렌치 길이에 대해 중합체 기질의 중심에 1 열의 실린더형 도메인을 생성하고 트렌치의 측벽들 및 에지들(edges)로 분리되어 얇은 계면 브러쉬 또는 습윤성 층(예를 들어, 도 6 - 6B에서처럼)을 생성할 것이다. 엔트로피적 힘(entropic force)은 두 블록에 의한 중립적 습윤성 표면의 습윤을 추진하고, 엔탈피적(enthalpic force) 힘은 우선되는 블록(예를 들어, 부 블록)에 의한 우선적 습윤성 표면의 습윤을 추진한다.
우선적 습윤성 표면들을 제공하기 위해, 예를 들어, PS-b-PVP(또는 PS-b-PMMA 등) 블록 공중합체를 이용하면, 물질 층(16)은, 다른 물질 중에서도 특히, 실리콘(천연 산화물을 가짐), 산화물(예를 들어, 실리콘 산화물, SiOx), 실리콘 질화물, 실리콘 탄산화물, 인듐 주석 산화물(ITO), 실리콘 산질화물, 및 메타크릴레이트 기반 레지스트 및 폴리디메틸 글루타르이미드 레지스트와 같은 레지스트 물질로 이루어질 수 있고, PVP(또는 PMMA 등) 블록을 향하여 우선적 습윤성을 나타낸다. PS-b-PVP 실린더상 블록 공중합체 물질을 이용하는 경우, 예를 들어, 블록 공중합체 물질이 자기조립하여 PS 기질에 얇은 계면층 및 PVP의 실린더들을 생성할 것이다.
다른 실시예들에서, -OH 함유 모이어티(예를 들어, 히드록시에틸메타크릴레이트)로 개질된 폴리메틸메타크릴레이트(PMMA) 중합체와 같은 우선적 습윤성 물질이 트렌치들의 표면들 상에, 예를 들어, 스핀 코팅 및 이어서 가열(예를 들어, 약 170 ℃로 가열)에 의해 도포되어 말단 OH 기가 트렌치들의 산화물 측벽들(22) 및 단부들(24)에 말단 그래프팅할 수 있게 한다. 그래프팅되지 않은 물질은 적당한 용매(예를 들어, 톨루엔)로 헹굼으로써 제거할 수 있다. 예를 들어, Mansky 등의 Science,1997,275,1458-1460] 및 In 등, Langmuir,2006,22,7855-7860을 참조한다.)
중립적 습윤성 트렌치 바닥(26)은 공중합체 물질의 두 블록이 트렌치의 바닥을 습윤시키는 것을 허용한다. 도 2 - 도 2B에 도시된 바와 같이, 중립적 습윤성 중합체(예를 들어, 중립적 습윤성 랜덤 공중합체)를 기판(10) 상에 도포함으로써 중립적 습윤성 물질(14)을 제공할 수 있고, 물질 층 (16)을 생성한 후 트렌치들을 에칭하여 아래에 놓인 중립적 습윤성 물질을 노출시킬 수 있다.
도 3 - 도 4에 도시된 다른 실시예에서, 도 4에 도시된 바와 같이, 트렌치 (18')을 생성한 후 트렌치 안에 예를 들어 캐스팅 또는 스핀 코팅에 의해 블랭킷 코트로서 중립적 습윤성 랜덤 공중합체 물질을 적용할 수 있다. 이어서, 랜덤 공중합체 물질이 열적으로 처리되어 모세관 작용에 의해 물질을 트렌치들의 하부로 흐르게 할 수 있고, 가교된 중립적 습윤성 랜덤 공중합체로 이루어진 층(매트)(14')이 얻어진다. 다른 실시예에서는, 트렌치들 내의 랜덤 공중합체 물질이 노광(예를 들어, 마스크 또는 레티클을 통해)되어 트렌치들 내의 랜덤 공중합체를 가교하여 중립적 습윤성 물질(14')을 생성할 수 있다. 이어서, 트렌치 밖의(예를 들어, 스페이서(20') 상의) 가교되지 않은 랜덤 공중합체 물질이 후속하여 제거될 수 있다.
특히, 중립적 습윤성 표면들은 블록 공중합체의 단량체들과 동일한 단량체로 이루어지고 각각의 단량체의 몰 비율을 중립적 습윤성 표면을 생성하기에 적당하도록 맞춘 랜덤 공중합체를 적용함으로써 구체적으로 제조될 수 있다. 예를 들어, PS-b-PVP 블록 공중합체를 사용하는 경우, 중립적 습윤성 물질(14)은 기판(10) 위에 캐스팅될 수 있는(예를 들어, 스핀 코팅에 의해) PS 및 PVP에 대하여 비우선적 또는 중립적 습윤성을 나타내는 광가교성 랜덤 PS-r-PVP의 얇은 필름으로부터 생성될 수 있다. 랜덤 공중합체 물질은 화학적 그래프팅에 의해(산화물 기판 상에) 또는 열 또는 광분해 가교에 의해(어떠한 표면이든) 제자리에 고정되어, 그 위에 블록 공중합체 물질이 캐스팅될 때 가교 때문에 PS 및 PVP에 대해 중립적 습윤성을 나타내고 불용성인 매트를 생성할 수 있다. 다른 예에서, PS-b-PMMA를 사용하면, 광가교성 PS-r-PMMA 랜덤 공중합체(예를 들어, 약 0.6 몰 비율의 스티렌을 함유함)가 이용될 수 있다.
기판 (10)이 실리콘(천연 산화물을 갖는)인 실시예들에서, PS-b-PMMA에 대한 다른 중립적 습윤성 표면이 수소로 말단 처리된 실리콘(hydrogen-terminated silicon)에 의해 제공될 수 있다. 트렌치들(18)의 바닥들(26)을, 예를 들어, 수소 플라즈마로 에칭하여 산화물 물질을 제거하고 수소로 말단 처리된 실리콘을 생성할 수 있으며, 이것은 블록 공중합체 물질의 두 블록에 대해 동등한 친화성을 갖는 중립적 습윤성을 나타낸다. H로 말단 처리된 실리콘은 통상의 공정에 의해, 예를 들어 불화수소(HF) 및 완충된 HF(buffered HF) 또는 불화암모늄(NH4F)의 수용액에 노출함으로써 실리콘 기판(천연 산화물이 존재함, 약 12 - 15 Å)의 플루오라이드 이온 에칭에 의해, HF 증기 처리에 의해, 또는 수소 플라즈마 처리(예: 원자 수소)에 의해 제조될 수 있다.
수소로 말단 처리된 실리콘 기판은 PS-r-PVP, PS-r-PMMA 등과 같은 랜덤 공중합체를 기판 상에 선택적으로 그래프팅함으로써 더 처리될 수 있고, 그 결과, 대응하는 블록 공중합체(예를 들어, PS-b-PVP, PS-b-PMMA 등)에 대한 중립적 습윤성 표면을 생성한다. 예를 들어, PS-r-PMMA 랜덤 공중합체의 중립적 습윤성 층은 중합체를 표면에 연결하여 약 10 - 15 ㎚ 두께 필름을 생성하는 디비닐 벤젠과 같은 디올레핀(di-olefinic) 연결기를 이용하여 스티렌 및 메틸 메타크릴레이트의 인 시튜 프리 라디칼 중합화(in situ free radical polymerization)에 의해 제공될 수 있다.
다시, 도 3 - 도 4를 보면, 다른 실시예에서, 물질 층(16') 및 트렌치들(18')의 생성 후, 트렌치 측벽들(22') 또는 단부들(24')(물질 층(16')으로 이루어짐)과는 반응하지 않고 트렌치 바닥(26')(기판(10') 물질로 이루어짐)과 선택적으로 반응하는 중립적 습윤성 랜덤 공중합체 물질(14')을 적용할 수 있다. 예를 들어, 에폭시드기를 함유하는 랜덤 공중합체(또는 단일중합체와 블록 공중합체 계면활성제의 적절한 블렌드)는 실리콘 산화물 또는 실리콘에 비해 실리콘 질화물 및 실리콘 산질화물 표면들 상의 말단 아민 관능기(예를 들어, -NH- 및 -NH2)에 대해 선택적으로 반응할 것이다. 트렌치 바닥(26')이 실리콘 또는 폴리실리콘이고, 측벽들(22')이 산화물(예를 들어, SiOx) 같은 물질인 다른 예에서, 바닥이 처리되어 H로 말단 처리된 실리콘을 생성할 수 있고, 랜덤 공중합체 물질(14')(예를 들어, PS-r-PVP, PS-r-PMMA 등)이 바닥 표면에서 인시튜로만 생성될 수 있다.
다른 실시예에서, 중립적 습윤성 표면(예를 들어, PS-b-PMMA 및 PS-b-PEO)은 예를 들어,D.H. Park, Nanotechnology 18(2007), p 355304에 기술된 바와 같이 산화물(예를 들어, SiO2)에 그래프팅된 3-(파라-메톡시페닐)프로필트리클로로실란과 같은 트리클로로실란 기반 SAM의 자기조립된 단분자막(SAM)을 그래프팅함으로써 제공될 수 있다.
추가의 실시예에서, 히드록실기(들)을 갖는 폴리메타크릴레이트(PMMA), 폴리스티렌(PS)(예를 들어, 2-히드록시에틸 메타크릴레이트)의 중립적 습윤성 랜덤 공중합체(P(S-r-MMA-r-HEMA))(예를 들어, 약 58 wt-% PS)를 약 160 ℃에서 약 48 시간 동안 가열함으로써 기판(10)(예를 들어, 산화물)에 약 5 - 10 ㎚ 두께의 중립적 습윤성 층(14)으로서 선택적으로 그래프팅할 수 있다. 예를 들어, In 등의 Langmuir,2006,22, 7855-7860을 참조한다.
또 다른 실시예에서, 다른 블록 공중합체 중에서도 특히, 히드록실로 말단 처리된 단일중합체들 및 상응하는 저분자량 블록 공중합체의 블렌드를 기판에 그래프팅(공유결합으로 결속)하여 PS-b-PMMA 및 PS-b-P2VP에 대한 중립적 습윤성 계면 층(예를 들어, 약 4 - 5 ㎚)을 생성할 수 있다. 블록 공중합체는 그래프팅 전에 단일중합체 블렌드를 유화하는 기능을 할 수 있다. 예를 들어, 약 20 - 50 wt-%(또는 약 30 - 40 wt-%)의 OH로 말단 처리된 단일중합체(예를 들어, Mn = 6K) 및 약 80 - 50 wt-%(또는 약 70 - 60 wt-%)의 저분자량 블록 공중합체(예를 들어, 5K-5K)의 블렌드의 약 1 wt-% 용액(예를 들어, 톨루엔 중의 용액)을 기판(10)(예를 들어, SiO2) 상에 스핀 코팅하여 가열하고(소성하고)(예를 들어, 160 ℃에서), 그래프팅되지 않은(결속되지 않은) 중합체 물질을 예를 들어 용매(예를 들어, 톨루엔)로 헹구어서 제거할 수 있다. 예를 들어, 중립적 습윤성 물질은 약 30 wt-%의 PS-OH(Mn = 6K) 및 PMMA-OH(Mn = 6K)(중량비 4:6) 및 약 70 wt-%의 PS-b-PMMA(5K-5K)의 블렌드, 또는 PS-OH(6K), P2VP-OH(6K) 및 PS-b-2PVP(8K-8K)의 삼원 블렌드 등으로부터 제조할 수 있다.
또, PS-b-PMMA에 대한 중립적 습윤성 표면은 광가교성 또는 열가교성 랜덤 공중합체, 예를 들어 스티렌 및 메틸 메타크릴레이트의 벤조시클로부텐 또는 아지도메틸스티렌으로 관능화된 랜덤 공중합체(예를 들어, 폴리(스티렌-r-벤조시클로부텐-r-메틸 메타크릴레이트(PS-r-PMMA-r-BCB))의 블랭킷 층을 스핀 코팅함으로써 제조될 수 있다. 예를 들어, 이러한 랜덤 공중합체는 약 42 wt-%의 PMMA, 약 (58-x) wt-%의 PS 및 x wt-%(예를 들어, 약 2 - 3 wt-%)의 폴리벤조시클로부텐 또는 폴리(파라-아지도메틸스티렌)을 포함할 수 있다. 아지도메틸스티렌으로 관능화된 랜덤 공중합체를 UV 광가교하거나(예를 들어, 약 15초 내지 약 30분 동안 1 - 5 MW/㎠ 노광) 또는 열 가교하여(예를 들어, 약 170 ℃에서 약 4 시간 동안) 가교된 중합체 매트를 중립적 습윤성 층(14)으로서 생성할 수 있다. 벤조시클로부텐으로 관능화된 랜덤 공중합체는 열에 의해 가교될 수 있다(예를 들어, 약 200 ℃에서 약 4 시간 동안 또는 약 250 ℃에서 약 10 분 동안).
이제, 도 5 - 도 5B를 예시된 바와 같이, Lo 또는 약 Lo의 고유 피치를 갖는 자기조립형 실린더상 블록 공중합체 물질(28)(또는 LB 또는 약 LB의 피치를 가지도록 블렌딩된 블록 공중합체 및 단일중합체의 삼원 블렌드)을 트렌치(18) 내에 블록 공중합체 물질의 L 또는 약 L 값의 두께(t1)(예를 들어, L의 약 ±20%)로 캐스팅하거나 또는 성막시켜서, 어닐링 후(예를 들어, 도 6A), 두께(t2)는 L 값 또는 약 L 값일 것이고, 블록 공중합체 물질이 자기조립하여 각 트렌치(18) 내에서 단일 열로 중합체 기질 내에 약 0.5*L(예를 들어, 5 - 50 ㎚ 또는 약 20 ㎚)의 직경을 갖는 단일 층의 실린더를 생성할 것이다. 블록 공중합체 물질(28)의 두께는 예를 들어 엘립소메트리(ellipsometry) 기술에 의해 측정할 수 있다.
블록 공중합체 물질은 예를 들어 디클로로에탄(CH2Cl2) 또는 톨루엔과 같은 유기 용매 중의 공중합체의 묽은 용액(예를 들어, 약 0.25 - 2 wt-% 용액)으로부터 스핀 캐스팅(스핀 코팅)에 의해 성막될 수 있다. 모세관력이 과도한(예를 들어, 단분자막보다 더 큰) 블록 공중합체 물질(28)을 트렌치들(18) 내로 끌어당긴다. 도시된 바와 같이, 블록 공중합체 물질의 얇은 층 또는 필름(28a)이 트렌치들 밖의, 예를 들어 스페이서들(20) 상의 물질 층(16) 상에 성막될 수 있다. 어닐링시, 하향적 견지에서 얇은 필름(28a)이 트렌치들 내로 흘러들어가 물질 층(16) 상에 무구조 브러쉬(structureless brush) 층을 남긴다.
이어서, 도 6 - 도 6B에 도시된 바와 같이, 블록 공중합체(BCP) 물질(28)을 부분 포화 농도의 유기 용매를 함유하는 증기상 하에서 유리 전이 온도보다 높은 온도로 가열하여 중합체 블록들이 상분리되어 트렌치 표면들의 우선적 습윤성 및 중립적 습윤성에 따라서 자기 조립하여 자기조립된 중합체 물질(30)을 생성하게 한다. 특정 온도에서 중립적 습윤성 증기 계면을 달성하는 용매 증기의 적절한 부분 압력은 사용되는 블록 공중합체에 적어도 부분적으로 의존하고, 실험적으로 결정할 수 있다.
블록 공중합체는 그의 유리 전이 온도(Tg)보다 높지만 블록 공중합체 물질의 분해 또는 열화 온도(Td)보다는 낮은 열 어닐링 온도에서 가열된다. 예를 들어, PS-b-PVP 블록 공중합체 물질은 용매 증기 분위기에서 약 1 - 24 시간 동안 약 150 - 275 ℃의 온도에서 어닐링되어 자기조립된 모르폴로지를 달성할 수 있다. PS-b-PMMA 블록 공중합체 물질은 용매 증기 분위기에서 약 1 - 24 시간 동안 약 150 - 275 ℃의 온도에서 어닐링되어 자기조립된 모르폴로지를 달성할 수 있다.
진공에서의 열 어닐링의 대부분의 응용에서, 공기 계면이 중합체 도메인 중 하나에 대해 우선적 습윤성을 나타내고, BCP 물질은 수직 구조들로 배향하지 않는다. 본 발명의 실시예에서, 가열 동안, BCP 물질(28)은 두 블록들에 대해 "좋은" 용매, 즉 두 구성 블록들을 잘 용매화하는 중립적 유기 용매의 용매 증기에 노출된다.
일반적으로, 용매 어닐링은 두개의 상들로 이루어진다. 제1 상에서, BCP 물질은, 필름을 가소화하여 사슬 이동도를 증가시키는 작용을 하는 용매 증기에 노출시킴으로써 도메인들이 섞이게 하고 중합체 물질 캐스팅으로부터의 고유한 규칙을 상실하게 한다. 이용되는 유기 용매는 충분한 용매 분자가 블록 공중합체 물질에 들어가서 중합체 도메인들의 규칙-불규칙 전이를 촉진하여 요구되는 분자 재배열을 가능하게 하도록 블록 공중합체 물질에서의 용해도에 적어도 부분적으로 기반을 둔다. 용매들의 예는 그 중에서도 특히, 벤젠, 톨루엔, 크실렌, 디메톡시에탄, 에틸 아세테이트, 시클로헥사논 등과 같은 방향족 용매, 및 클로로포름, 염화메틸렌, 클로로포름/옥탄 혼합물 등과 같은 염소화된 용매를 포함한다. 제2 상에서, 기판은 용매 증기로부터 제거되고, 용매 및 용매 증기가 중합체 물질로부터 밖으로 서서히 확산하여 증발하도록 둔다. 용매가 물질로부터 증발할 때 블록 공중합체 물질이 "건조"하기 시작한다. 용매의 증발은 고도로 방향성을 가지고, BCP 물질의 "상부"(표면)으로부터 트렌치 바닥의 BCP 물질의 "하부"까지 이르는 용매 구배를 생성하고, 이것은 계면에서 용매의 부분 압력 때문에 중립적 습윤성을 나타내는 공기-표면 계면에서 시작하여 트렌치의 바닥까지 아래쪽으로 추진되는 구조들의 배향 및 자기조립을 유발하여, 트렌치 측벽들에 의해 유도되고 공기 계면(46)으로부터 기판 표면(트렌치 바닥)까지 완전히 연장되는 수직 배향 실린더형 도메인(34)을 생성한다.
본 발명의 실시예에서, 기판(10) 및 BCP 물질(28)은 용매의 비점보다 높은 온도로 가열되고, 이렇게 함으로써, 용매에 의한 BCP 물질의 팽윤이 허용되지 않는다.
블록 공중합체 물질 위에서 부분 포화된 용매 증기상의 이용은 용매 어닐링의 제2 상과 유사한 중립적 습윤성 계면을 제공한다. BCP 물질과 증기의 계면에 바로 인접한 공기 중의 용매 농도가 포화 또는 그 아래로 유지되어 중립적 습윤성 계면을 유지함으로써 양(또는 모든) 중합체 블록들이 증기 계면을 동등하게 습윤시킨다. 공기 및 트렌치 바닥 모두 중립적 습윤성을 나타내기 때문에, 도메인들은 필름 층 전반에 걸쳐서 수직 배향될 것이고, 우선적 습윤성 측벽들이 횡방향 규칙을 유도한다.
이렇게 하여 얻은 어닐링된 공중합체 물질(30)의 모르폴로지(예를 들어, 수직 배향 실린더들)는 예를 들어, 그 중에서도 특히, 원자력 현미경(AFM), 투과 전자 현미경(TEM), 주사 전자 현미경(SEM)을 이용해서 검사할 수 있다.
본 발명의 실시예들에서, 용매 분위기에서 트렌치들 내의 블록 공중합체를 전체적으로 가열함으로써 어닐링을 수행한다.
다른 실시예들에서, 대역 어닐링을 수행하여 열적 에너지(예를 들어, 가열)의 국소적 적용에 의해 기판(10) 상의 트렌치들 내의 블록 공중합체 물질(28)의 부분들 또는 구역들을 어닐링한다. 대역 어닐링은 블록 공중합체 물질의 신속한 자기조립(예를 들어, 수 분 정도)을 제공할 수 있다.
예를 들어, 도 6 - 도 8에 순차적으로 도시한 바와 같이, 기판(10)(증기 분위기 중에 있음) 및 열원 또는 가열원(32)(또는 조합된 가열-냉각원)을 서로에 대해(예를 들어, 화살표 ←) 이동시킬 수 있고, 이렇게 함으로써, 기판(10) 위(또는 아래)에서 열을 인가한다. 초기에 BCP 물질의 일부만 유리 전이 온도보다 높은 온도에서 가열하고, 이어서, 가열된 대역을 기판을 가로질러서 "당긴다"(또는 그 역도 일어남). 예를 들어, 열원(32)은 모터가 달린 병진 이동 스테이지(motorized translation stage)(도시되지 않음) 같은 메카니즘을 이용해서 병진 이동 설정 속도(예를 들어, 약 0.05 - 10 ㎛/초)로 기판(10)을 가로질러서 이동할 수 있다. 가열된 대역을 기판을 가로질러서 "당기는" 것은 전체적인 열 어닐링에 비해 더 빠른 처리 및 더 잘 규칙화된 구조들을 얻을 수 있게 한다.
일부 실시예들에서, 고온에서부터 냉온까지의 온도 구배가 기판 상(또는 아래)에서 제공되어 기판의 특정 부분이 가열된 후 냉각되며, 이것은 조절된 레이트일 수 있다. 다른 실시예들에서, 기판을 냉온에서부터 고온까지의 온도 구배에 노출하여 BCP 물질을 어닐링한 후 냉각시킨다.
다른 실시예들에서, 예를 들어, 규칙-불규칙 온도(To-d)가 블록 공중합체 물질의 분해 온도(Td)보다 낮으면, 결함을 제거(용융 제거)하고 물질이 재결정하도록 두기 위해 BCP 물질을 규칙-불규칙 온도보다 높은 온도에서 가열한 후 규칙-불규칙 온도보다 낮은 온도(하지만, 유리 전이 온도보다 높은 온도)에서 냉각할 수 있다. 규칙-불규칙 온도는 블록 공중합체의 온도 의존도, Chi 값, 사슬 당 총 단량체 수, 및 단량체 조성에 의해 한정된다.
성분 중합체 블록의 유리 전이 온도(Tg)보다 높은 온도에서 가열되는 블록 공중합체 물질의 부분들만 자기조립할 것이고, 충분히 가열되지 않은 물질의 영역은 규칙화되지 않은재 남고 조립되지 않는다. 예를 들어, 도 6 - 도 6B에 도시된 바와 같이, 처음에, 트렌치(18a) 내의 블록 공중합체 물질은 가열되고 어닐링되어 자기조립된 물질(30)을 생성하지만, 트렌치들(18b, 18c) 내의 어닐링되지 않은 블록 공중합체 물질(28)은 규칙화되지 않은 채로 남는다. 유리 전이 온도(Tg)보다 높은 온도에서 가열되는 블록 공중합체 물질(28)의 부분들만 자기조립할 것이다. 이어서, 도 7 - 7A에 도시된 바와 같이, 기판의 다음 부분이 선택적으로 가열될 수 있고, 그 결과, 트렌치(18b) 내의 블록 공중합체 물질이 자기조립한다. 이어서, 도 8 - 도 8A에 도시된 바와 같이, 기판의 나머지 영역들의 후속 가열을 수행할 수 있다.
어닐링시, 블록 공중합체 조성물의 특성(예를 들어, L 또는 약 L의 고유 피치를 갖는 PS-b-PVP), 및 트렌치 (18)의 폭(wt)에 의해 제공되는 제약들을 포함하는 경계 조건들, 및 두 중합체 블록(예를 들어, 랜덤 그래프트 공중합체)에 대해 중립적 또는 비우선적 습윤성을 나타내는 트렌치 바닥(26), 블록 공중합체의 부(우선되는) 블록(예를 들어, PVP 블록)에 대해 우선적 습윤성을 나타내는 측벽들(22)을 포함하는 트렌치 표면들의 습윤성 성질, 및 트렌치들 내의 블록 공중합체 물질(26)의 표면과 접촉하는 중립적 또는 비우선적 용매(또는, 일부 실시예들에서, 중립적 또는 비우선적 습윤성을 나타내는 필름 또는 물질)의 존재에 반응하여, 실린더상 블록 공중합체 물질 (28)이 중합체 물질 (30)(예를 들어, 필름)으로 자기조립할 것이다. 어닐링 결과로, 주(majority) 중합체 블록(예를 들어, PS)의 기질(36) 내에 부 중합체(우선되는) 블록(예를 들어, PVP)의 수직 배향 실린더들(34)의 열(또는 열들)이 얻어지고, 실린더는 트렌치 측벽들(22)에 정합되고 평행하다. 실린더들(34)의 직경은 일반적으로 0.5*L 또는 약 0.5*L(예를 들어, 실린더들 사이의 중심간 거리의 약 1/2)일 것이다. 추가로, 부(우선되는) 블록(예를 들어, PVP)은 트렌치들(18)의 우선적 습윤성 측벽들(22) 및 단부들(24)로 분리되어 그들을 적시고 일반적으로 인접 실린더 (34) 사이의 중심간 거리의 약 1/4인 두께를 갖는 얇은 계면 또는 습윤성 브러쉬 층(34a)을 생성할 것이다. 예를 들어, PVP 블록의 층은 산화물 물질로부터 바깥쪽을 향하여 지향된 부착된 PS 도메인들과의 산화물 계면들을 적실 것이다.
일부 실시예들에서, 자기조립된 블록 공중합체 물질(30)은 각 실린더가 0.5*L 또는 약 0.5*L(예를 들어, 실린더들 사이의 중심간 거리의 약 1/2)의 직경을 갖는 단일 층의 실린더형 도메인들(실린더)(34)의 배열에 의해 정의되고, 그 열의 실린더들의 수(n)은 트렌치의 길이(lt)에 따르고, 각 실린더 사이의 중심간 거리(피치 거리, p)는 L 또는 약 L이다.
선택적으로, 블록 공중합체 물질을 어닐링하고 규칙화한 후, 공중합체 물질은 중합체 세그먼트들(예를 들어, PS 세그먼트)를 가교하여 자기조립된 중합체 블록들을 고정시켜 중합체 블록의 강도를 증진시키도록 처리될 수 있다. 중합체는 고유하게 가교하도록(예를 들어, 심자외선(DUV)을 포함하여 자외선(UV)에 노출될 때) 구조화될 수 있거나, 또는 공중합체 물질의 중합체 블록들 중 하나를 가교제를 함유하도록 제제화할 수 있다.
일반적으로, 트렌치 밖의(예를 들어, 스페이서들(18) 상의) 필름(28a)은 자기조립을 초래할 정도로 충분히 두껍지 않을 것이다. 선택적으로, 구조화되지 않은 얇은 필름(28a)은 도 8 - 도 8A에 도시된 바와 같이, 예를 들어 에칭 기술 또는 평탄화 공정에 의해, 제거되어 대략 균일하게 편평한 표면을 제공할 수 있다. 예를 들어, 트렌치 영역들을 레티클(나타내지 않음)을 통해 선택적으로 노광하여 트렌치(18) 내의 어닐링되고 자기조립된 중합체 물질(30)만을 가교할 수 있고, 이어서 적당한 용매(예를 들어, 톨루엔)를 이용해서 세척을 적용하여 블록 공중합체 물질(28a)의 가교되지 않은 부분(예를 들어, 스페이서들(20) 위)을 제거할 수 있고, 정합된 자기조립된 중합체 물질을 트렌치 내에 남기고, 트렌치들 위/밖의 물질 층(16)의 표면을 노출시킨다. 다른 실시예에서, 어닐링된 중합체 물질(30)은 전체적으로 가교될 수 있고, 포토레지스트 물질을 도포하여 트렌치 영역들 밖의 중합체 물질(28a)의 영역들을 패터닝하고 노출시킬 수 있고, 중합체 물질(26a)의 노출된 부분들을 예를 들어 산소(O2) 플라즈마 처리로 제거할 수 있다.
도 9를 보면, 본 발명의 방법의 다른 실시예에서, 트렌치들 내의 블록 공중합체(BCP) 물질(28')의 표면에 비우선적 습윤성 물질(37')을 적용하는 동안에 열적 어닐링을 수행한다. 일부 실시예들에서, 비우선적 습윤성 물질(37')은 BCP 물질(28') 상에 물리적으로 배치될 수 있는 고체 물질, 예를 들어, 가교된 폴리(디메틸실록산)(PDMS) 엘라스토머(예를 들어, 다우-코닝(Dow-Corning)에 의한 실가드(Sylgard)-184) 또는 다른 엘라스토머성 중합체 물질(예를 들어, 실리콘들, 폴리우레탄들 등)과 같은 부드럽고, 유연하거나 또는 고무질인 고체 물질로 이루어지고, 이것은 중립적 습윤성을 나타내는 외부 표면을 제공한다. 고체 물질은 그것이 중립적 습윤성 표면을 제공하도록 유도체화될 수 있다(예를 들어, 랜덤 공중합체의 그래프팅에 의해).
비우선적 습윤성 물질(37')이 블록 공중합체 물질(38')의 표면과 접촉하는 상태에서, 열 어닐링 공정을 수행하여(화살표 ↓, 도 9A - 도 9B) 중합체 블록들이 트렌치 표면들의 우선적 습윤성 및 중립적 습윤성, 및 위에 놓인 물질(37')의 비우선적(중립적) 습윤성에 반응하여 상 분리하게 하고 도 10A - 도 10B에 도시된 바와 같이 자기조립된 중합체 물질(30')을 생성하게 한다.
어닐링 후, 도 10A에 도시된 바와 같이, 비우선적 습윤성 물질(37')을 어닐링된 중합체 물질(30')과의 접촉으로부터 제거할 수 있다(화살표 ↑). PDMS 또는 다른 엘라스토머성 물질 층(37')은 예를 들어 그 물질을 어닐링된 중합체 물질의 표면으로부터 들어올리거나 또는 박리함으로써 제거할 수 있다. 추가로, 블록 공중합체 물질(30')과 상용성이 있고 그것을 용해하지 않는 물, 알콜 등과 같은 용매을 적용해서(예를 들어, 침지) 엘라스토머성 물질(예를 들어, PDMS)에 침투해서 팽윤시켜 물리적 제거를 향상시킬 수 있다. 또, 묽은 플루오라이드 용액(예를 들어, NH4F, HF, NaF 등)을 적용해서 PDMS 물질을 에칭하고 용해하여 그것을 어닐링된 중합체 물질로부터 제거할 수 있다.
자기조립 후, 기판 상에 생성된 수직 배향 실린더들의 패턴은 원해지는 대로 더 처리될 수 있고, 예를 들어 자기조립된 블록 공중합체의 하나의 블록의 선택적 제거를 통해 밑에 놓인 기판(10) 내에 나노 크기 피처들을 패터닝하기 위한 에칭 마스크를 생성할 수 있다. 이 방법에 관련된 도메인 크기들 및 주기들(L)이 블록 공중합체의 사슬 길이(MW)에 의해 결정되기 때문에, 해상도가, 종래의 포토리소그래피와 같은 다른 기술을 능가할 수 있다. 이 기술을 이용하는 처리 비용은 대등한 해상도를 가지는 극자외선(EUV) 포토리소그래피보다 상당히 적다.
예를 들어, 도 11 - 도 11B에 도시된 바와 같이, 일 실시예서는, 자기조립된 중합체 물질(30)의 실린더형 중합체 도메인들(34)을 선택적으로 제거하여 중합체 기질(36)(예를 들어, PS)에 개구들(40)을 생성하여 트렌치 바닥들(26)의 아래에 놓인 기판(10)을 노출시킴으로써 에칭 마스크(38)를 생성할 수 있다. 예를 들어, 실린더형 도메인들(34)은 선택적 습식 에칭(예를 들어, PMMA 및 PLA는 UV 노출/아세트산 현상에 의해, PLA는 수산화나트륨을 함유하는 수성 메탄올 혼합물에 의해, PEO는 수성 요오드화수소산 또는 물에 의해, 등) 또는 선택적 반응성 이온 에칭(RIE) 공정에 의해 제거할 수 있다. 블록 공중합체 물질이 분해성 연결기를 포함하는 실시예에서, 부 도메인을 제거하기(구멍을 내기) 위한 세정제를 함유하는, 예를 들어, PVP에 대해서는 알콜, PEO 또는 PLA에 대해서는 물, 및 PMMA에 대해서는 아세트산과 같은 부 도메인에 대해 선택적인 용매에 필름을 노출 시킬 수 있다. 이어서, 도 12 - 도 12B에 도시된 바와 같이, 남은 다공성 중합체(예를 들어, PS) 기질(36)을 리소그래피 템플레이트 또는 마스크로 사용하여 아래에 놓인 기판(10)(또는 하층) 내의 전도성 라인들(12) 또는 다른 활성 영역(예를 들어, 반도전성 영역 등)에 대한 나노미터 크기 범위(예를 들어, 약 10 - 100 ㎚)의 일련의 실린더 모양 개구들 또는 콘택트 홀들(42)을 에칭할 수 있다(화살표 ↓↓). 개구들(42)은 예를 들어 선택적 반응성 이온 에칭(RIE) 공정을 이용해서 생성될 수 있다.
이어서, 원해지는 대로 추가의 처리를 수행할 수 있다. 예를 들어, 도 13 - 도 13B에 도시된 바와 같이, 잔류 중합체 기질(36)을 제거할 수 있고(예를 들어, 플라즈마 O2 에칭과 같은 산화 공정에 의한 PS 제거), 기판 개구들(42)을 물질 (44), 금속 또는 특히, Cu, Al, W, Si 및 Ti3N4과 같은 금속 합금으로 충전하여 전도성 라인들(12)에 대한 실린더 콘택트의 배열을 생성할 수 있다. 또, 기판의 실린더형 개구들(42)을 금속-절연체-금속 스택으로 충전하여 SiO2, Al2O3, HfO2, ZrO2, SrTiO2 등과 같은 절연성 물질을 갖는 커패시터를 생성할 수 있다.
본 발명의 실시예들은 용매 어닐링과 함께 열 어닐링 공정을 이용하고, 이것은 용매 어닐링을 단독으로 이용하는 경우보다 더 신속한 처리를 제공할 수 있고, 처리될 수 있는 블록 공중합체(BCP)의 유형들을 실질적으로 모든 BCP들로 확장한다. 유기 용매 분위기와 함께 대역 어닐링을 이용하는 실시예에서, 수직 배향 나노구조들(예를 들어, 실린더들)을 빠른 속도로 생성하기 위해 넓은 범위의 블록 공중합체를 처리할 수 있다.
추가로, 본 개시내용의 방법은 중합체 기질 중의 수직 배향 실린더들로 이루어진 자기조립된 디블록 공중합체 필름을 생성하는 수단을 제공한다. 이 방법은 전자빔 리소그래피, EUV 포토리소그래피 또는 통상의 포토리소그래피를 이용하는 것보다 더 저렴하게 제조될 수 있는 나노미터 스케일의 규칙화된 정합된 소자들을 제공한다. 본 발명에 의해 제조되고 접근가능한 피처들 크기는 통상의 포토리소그래피에 의해서는 쉽게 제조될 수 없다. 기술된 방법들 및 시스템들은 쉽게 이용될 수 있고 현존하는 반도체 제조 공정 흐름에 포함될 수 있고, 작은 구조들을 제작하기 위한 저비용 고처리량 기술을 제공한다.
본 명세서에서 특정 실시예들을 도시하고 기술하였지만, 본 기술분야의 당업자는 동일 목적을 달성하기 위해 계산된 임의의 구성이 도시된 특정 실시예들을 대체할 수 있음을 이해할 것이다. 본 출원은 기술된 본 발명의 원리들에 따라서 동작하는 임의의 변경 또는 변형을 포함하도록 의도된다. 따라서, 본 발명은 특허청구범위 및 그의 균등물에 의해서만 제한되도록 의도된다. 본원에 인용된 특허, 참고 문헌 및 공개 문헌들의 개시 내용들은 본 명세서에서 참조로서 포함된다.

Claims (32)

  1. 기판 상에 나노구조화된 중합체 물질(nanostructured polymer material)을 생성하는 방법으로서,
    상기 기판 상의 물질 층의 트렌치 내에 실린더상 블록 공중합체 물질(cylindrical-phase block copolymer material)을 생성하는 단계 - 상기 트렌치는 중립적 습윤성 바닥, 상기 블록 공중합체의 부 블록(minority block)에 대해 우선적 습윤성을 나타내는 대향 측벽들 및 단부들을 가짐 - ; 및
    임의의 블록에 대해서 비우선적인 용매 증기 중에서, 상기 블록 공중합체 물질의 유리 전이 온도(Tg)보다 높은 온도에서, 상기 블록 공중합체 물질을 어닐링하는 단계
    를 포함하고,
    상기 블록 공중합체 물질은 블록 공중합체의 제2 블록의 기질(matrix) 내의 상기 블록 공중합체의 제1 블록의 실린더형 도메인들로 자기조립하고, 상기 자기조립된 블록 공중합체 물질은 두께를 갖고, 상기 실린더형 중합체 도메인들은 트렌치 바닥에 대해 수직 배향되고 상기 트렌치의 길이에 대해 단일의 배열로 상기 자기조립된 블록 공중합체 물질의 상기 두께를 관통하여 연장되는, 방법.
  2. 제1항에 있어서, 상기 블록 공중합체 물질을 어닐링하는 단계는 부분 포화 농도의 유기 용매 중에서 수행되는 방법.
  3. 제1항에 있어서, 상기 블록 공중합체 물질을 어닐링하는 단계는 상기 블록 공중합체 물질을 용매의 비점(boiling point)까지 가열하는 것을 포함하고, 상기 용매에 의한 블록 공중합체 물질의 팽윤(swelling)이 일어나지 않는 방법.
  4. 제1항에 있어서, 상기 블록 공중합체 물질이 전체적으로 가열되는 방법.
  5. 제1항에 있어서, 상기 블록 공중합체 물질이 대역 어닐링(zone annealed)되는 방법.
  6. 제1항에 있어서, 상기 어닐링하는 단계는 상기 블록 공중합체 물질의 일부를 가열함으로써 상기 블록 공중합체 물질의 상기 가열된 부분에만 자기조립된 실린더형 도메인들이 생성되도록 하는 것을 포함하는 방법.
  7. 제6항에 있어서, 상기 블록 공중합체 물질의 제2 부분에 열을 적용함으로써 상기 블록 공중합체 물질의 상기 제2 부분에 상기 자기조립된 실린더형 도메인들이 생성되도록 하는 것을 더 포함하는 방법.
  8. 제1항에 있어서, 상기 어닐링하는 단계는 상기 블록 공중합체 물질의 제1 부분을 선택적으로 가열하는 것을 포함하는 방법.
  9. 제1항에 있어서, 상기 어닐링하는 단계는 상기 기판 위에서 열원(thermal source)을 이동시키는 것을 포함하는 방법.
  10. 제1항에 있어서, 상기 어닐링하는 단계는,
    상기 블록 공중합체 물질의 제1 부분을 가열하고,
    상기 블록 공중합체 물질의 제2 부분을 가열하는 것
    을 포함하고,
    상기 블록 공중합체 물질은 상기 유리 전이 온도보다 높은 온도로 가열되어 중합체 블록의 상 분리(phase separation)가 일어나는 방법.
  11. 제1항에 있어서, 상기 어닐링하는 단계는 상기 블록 공중합체 물질의 제1 부분을 가열한 후 냉각하는 것을 포함하는 방법.
  12. 제1항에 있어서, 상기 어닐링하는 단계는 상기 블록 공중합체 물질을 냉온에서부터 고온까지의 온도 구배(cold-to-hot temperature gradient)에 노출시키는 것을 포함하는 방법.
  13. 제1항에 있어서, 상기 블록 공중합체 물질을 어닐링하는 단계는,
    상기 블록 공중합체 물질을 규칙-불규칙 온도(order-disorder temperature)보다 높고 상기 유리 전이 온도보다 높은 온도로 가열하고,
    상기 블록 공중합체 물질을 상기 규칙-불규칙 온도보다 낮지만 상기 유리 전이 온도보다 높은 온도로 냉각하는 것을 포함하는 방법.
  14. 기판 상에 나노구조화된 중합체 물질을 생성하는 방법으로서,
    실린더상 블록 공중합체 물질을 상기 블록 공중합체 물질의 유리 전이 온도(Tg)보다 높은 온도에서 가열하는 동안에 임의의 블록에 대해서 비우선적인 용매 증기에 노출하는 단계 - 상기 블록 공중합체 물질은 상기 기판 상의 물질층의 트렌치 내에 위치하고, 상기 트렌치는 폭, 길이, 중립적 습윤성 바닥, 상기 블록 공중합체의 부 블록에 대해 우선적 습윤성을 나타내는 대향 측벽들 및 단부들을 가짐 - 를 포함하고,
    상기 블록 공중합체 물질은 미세상(microphase) 분리되어 상기 트렌치 내에 제2 중합체 블록의 기질의 제1 중합체 블록으로 이루어진 실린더형 도메인들을 생성하고, 상기 실린더형 중합체 도메인들은 트렌치 바닥에 대해 수직 배향되고 상기 트렌치의 길이를 연장하는 단일의 배열로 측벽들에 정합되는, 방법.
  15. 제14항에 있어서, 상기 중립적 습윤성 바닥은 랜덤 공중합체 물질을 포함하는 방법.
  16. 제14항에 있어서, 상기 중립적 습윤성 바닥은 그래프팅된 단일중합체들(grafted homopolymer)의 블렌드를 포함하는 방법.
  17. 제14항에 있어서, 상기 중립적 습윤성 바닥이 수소로 말단 처리된 실리콘(hydrogen-terminated silicon)을 포함하는 방법.
  18. 제14항에 있어서, 상기 트렌치의 상기 측벽들 및 상기 단부들은 산화물, 실리콘 질화물, 실리콘 산탄화물, 실리콘 산질화물, 인듐 주석 산화물(ITO), 메타크릴레이트 레지스트, 및 폴리디메틸 글루타르이미드 레지스트로 이루어지는 군으로부터 선택되는 물질을 포함하는 방법.
  19. 제14항에 있어서, 상기 트렌치 내의 상기 블록 공중합체 물질은 상기 블록 공중합체의 L 값 이상의 두께를 갖는 방법.
  20. 제14항에 있어서, 상기 제2 중합체 블록의 기질을 선택적으로 가교(crosslinking)하는 것을 더 포함하는 방법.
  21. 제14항에 있어서, 상기 제1 중합체 블록을 선택적으로 제거하여 상기 제2 중합체 블록의 기질을 관통하여 연장되는 실린더형 개구들을 생성하는 것을 더 포함하는 방법.
  22. 제21항에 있어서, 상기 개구들을 통해서 상기 기판의 마스킹되지 않은 부분들을 에칭하는 것을 더 포함하는 방법.
  23. 기판 상에 나노구조화된 중합체 물질을 생성하는 방법으로서,
    상기 기판 상의 물질 층의 트렌치 내의 실린더상 블록 공중합체 물질 위에 그와 접촉하는 임의의 블록에 대해 비우선적인 물질을 도포하는 단계 - 상기 트렌치는 중립적 습윤성 바닥, 상기 블록 공중합체의 부 블록에 대해 우선적 습윤성을 나타내는 대향 측벽들 및 단부들을 가짐 - ; 및
    상기 비우선적 물질과 접촉하는 동안에 상기 블록 공중합체 물질의 유리 전이 온도(Tg)보다 높은 온도에서 상기 블록 공중합체 물질을 어닐링하는 단계
    를 포함하고,
    상기 블록 공중합체 물질은 상기 블록 공중합체의 제2 블록의 기질 내의 상기 블록 공중합체의 제1 블록의 실린더형 도메인들로 자기조립하고, 상기 자기조립된 블록 공중합체 물질은 두께를 갖고, 상기 실린더형 중합체 도메인들은 상기 트렌치 바닥에 대해 수직 배향되고 상기 트렌치의 길이에 대해 단일의 배열로 상기 자기조립된 블록 공중합체 물질의 상기 두께를 관통하여 연장되는, 방법.
  24. 제23항에 있어서, 상기 비우선적 물질을 도포하는 단계는 상기 블록 공중합체 물질을 비우선적 표면을 갖는 고체 엘라스토머성 물질(solid elastomeric material)과 접촉시키는 것을 포함하는 방법.
  25. 기판을 에칭하는 방법으로서,
    상기 기판 위에 놓인 물질 층의 트렌치 내의 블록 공중합체 물질을, 상기 블록 공중합체 물질의 유리 전이 온도(Tg)보다 높은 온도에서 가열하는 동안 임의의 블록에 대해 비우선적인 용매 증기 중에서 어닐링하는 단계 - 상기 트렌치는 폭, 길이, 중립적 습윤성 바닥, 및 상기 블록 공중합체의 부 블록에 대해 우선적 습윤성을 나타내는 대향 측벽들 및 단부들을 갖고, 상기 블록 공중합체 물질은 주(majority) 중합체 블록의 기질 내의 부 중합체 블록의 수직 배향 실린더들의 단일의 배열을 생성하고, 상기 어닐링된 블록 공중합체 물질은 두께를 갖고, 상기 실린더들은 상기 어닐링된 블록 공중합체의 상기 두께를 관통하여 트렌치 바닥까지 연장됨 - ;
    상기 부 중합체 블록을 선택적으로 제거하여 상기 주 중합체 블록의 기질 내에 개구들을 생성하여 기판을 노출시키는 단계; 및
    상기 개구들을 통해 상기 기판을 에칭하는 단계
    를 포함하는 방법.
  26. 제25항에 있어서, 상기 부 중합체 블록을 제거하기 전에 상기 주 중합체 블록을 선택적으로 가교하는 것을 더 포함하는 방법.
  27. 제25항에 있어서, 상기 기판의 상기 개구들에 충전 물질을 충전하는 것을 더 포함하는 방법.
  28. 제27항에 있어서, 상기 충전 물질은 금속, 금속 합금 및 금속/절연체/금속 스택을 포함하는 방법.
  29. 기판을 에칭하는 방법으로서,
    상기 기판 위에 놓인 물질 층의 트렌치 내의 블록 공중합체 물질을, 상기 블록 공중합체 물질 위에서 그와 접촉하는 임의의 블록에 대해서 비우선적인 물질과 함께 상기 블록 공중합체 물질의 유리 전이 온도(Tg)보다 높은 온도에서 가열함으로써 어닐링하는 단계 - 상기 트렌치는 폭, 길이, 중립적 습윤성 바닥, 및 상기 블록 공중합체의 부 블록에 대해 우선적 습윤성을 나타내는 대향 측벽들 및 단부들을 갖고, 상기 블록 공중합체 물질은 주 중합체 블록의 기질 내의 부 중합체 블록의 수직 배향 실린더들의 단일의 배열을 생성하고, 상기 어닐링된 블록 공중합체 물질은 두께를 갖고, 상기 실린더들은 상기 어닐링된 블록 공중합체의 상기 두께를 관통하여 상기 트렌치 바닥까지 연장됨 - ;
    상기 부 중합체 블록을 선택적으로 제거하여 상기 주 중합체 블록의 기질 내에 개구들을 생성하여 기판을 노출시키는 단계; 및
    상기 개구들을 통해 상기 기판을 에칭하는 단계
    를 포함하는 방법.
  30. 제29항에 있어서, 상기 비우선적 물질은 폴리(디메틸실록산) 및 폴리(우레탄)으로 이루어지는 군으로부터 선택되는 고체 엘라스토머성 물질을 포함하는 방법.
  31. 제29항에 있어서, 상기 비우선적 물질을 제거하여 상기 트렌치 내의 상기 어닐링된 블록 공중합체 물질을 노출시키는 것을 더 포함하는 방법.
  32. 제31항에 있어서, 용매를 상기 비우선적 물질에 적용하여 제거를 향상시키는 것을 더 포함하는 방법.
KR1020107023487A 2008-03-21 2009-03-03 상부 계면이 두 블록에 동등한 선호도로 습윤성을 나타내도록 구속되는 블록 공중합체 필름의 열 어닐링 KR101121303B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/052,956 US8426313B2 (en) 2008-03-21 2008-03-21 Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US12/052,956 2008-03-21
PCT/US2009/035861 WO2009117243A1 (en) 2008-03-21 2009-03-03 Thermal anneal of a block copolymer films with top interface constrained to wet both blocks with equal preference

Publications (2)

Publication Number Publication Date
KR20100128334A KR20100128334A (ko) 2010-12-07
KR101121303B1 true KR101121303B1 (ko) 2012-03-22

Family

ID=40612928

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107023487A KR101121303B1 (ko) 2008-03-21 2009-03-03 상부 계면이 두 블록에 동등한 선호도로 습윤성을 나타내도록 구속되는 블록 공중합체 필름의 열 어닐링

Country Status (7)

Country Link
US (5) US8426313B2 (ko)
EP (1) EP2281299B1 (ko)
JP (1) JP5136869B2 (ko)
KR (1) KR101121303B1 (ko)
CN (1) CN101978469B (ko)
TW (1) TWI391992B (ko)
WO (1) WO2009117243A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101540883B1 (ko) * 2013-07-25 2015-07-30 아르끄마 프랑스 블록 공중합체 및 블록 중 하나의 (공)중합체의 배합물에서 수득한 형태를 특정화하는 구간을 제어하는 방법

Families Citing this family (113)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
KR101355167B1 (ko) * 2007-12-14 2014-01-28 삼성전자주식회사 적어도 세 개의 고분자 블록을 구비하는 블록 공중합체를이용한 미세 패턴 형성 방법
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8114300B2 (en) * 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
IT1392754B1 (it) * 2008-12-18 2012-03-16 St Microelectronics Srl Nanoarray ad incrocio con strato organico attivo anisotropico
KR101101767B1 (ko) * 2009-05-07 2012-01-05 한국과학기술원 코일―빗형 블록 공중합체 및 이를 이용한 나노 구조체의 제조방법
US8398868B2 (en) * 2009-05-19 2013-03-19 International Business Machines Corporation Directed self-assembly of block copolymers using segmented prepatterns
US8574950B2 (en) * 2009-10-30 2013-11-05 International Business Machines Corporation Electrically contactable grids manufacture
WO2011094857A1 (en) * 2010-02-05 2011-08-11 The Governors Of The University Of Alberta Method for organizing a block copolymer
US9011978B2 (en) 2010-02-26 2015-04-21 Asml Netherlands B.V. Method and apparatus for treatment of self-assemblable polymer layers for use in lithography
US8696918B2 (en) 2010-05-05 2014-04-15 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
WO2011151109A1 (en) * 2010-06-04 2011-12-08 Asml Netherlands B.V. Self-assemblable polymer and method for use in lithography
US8936740B2 (en) 2010-08-13 2015-01-20 Kimberly-Clark Worldwide, Inc. Modified polylactic acid fibers
US10753023B2 (en) 2010-08-13 2020-08-25 Kimberly-Clark Worldwide, Inc. Toughened polylactic acid fibers
US8304493B2 (en) 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
TWI556958B (zh) 2010-09-14 2016-11-11 東京應化工業股份有限公司 基質劑及含嵌段共聚物之層的圖型形成方法
JP5729537B2 (ja) * 2010-09-14 2015-06-03 東京応化工業株式会社 下地剤
JP5721164B2 (ja) * 2010-09-14 2015-05-20 東京応化工業株式会社 ブロックコポリマーを含む層のパターン形成方法
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
JP5979660B2 (ja) * 2012-02-09 2016-08-24 東京応化工業株式会社 コンタクトホールパターンの形成方法
US8961918B2 (en) 2012-02-10 2015-02-24 Rohm And Haas Electronic Materials Llc Thermal annealing process
CN104303103B (zh) * 2012-02-10 2019-04-26 得克萨斯大学体系董事会 用于薄膜嵌段共聚物的取向控制的酸酐共聚物的面涂层
JP5891075B2 (ja) 2012-03-08 2016-03-22 東京応化工業株式会社 ブロックコポリマー含有組成物及びパターンの縮小方法
JP5993654B2 (ja) * 2012-03-09 2016-09-14 東京応化工業株式会社 ブロックコポリマーを含む層のパターン形成方法、及び下地剤
JP6118573B2 (ja) * 2012-03-14 2017-04-19 東京応化工業株式会社 下地剤、ブロックコポリマーを含む層のパターン形成方法
JP6306810B2 (ja) 2012-03-14 2018-04-04 東京応化工業株式会社 下地剤、ブロックコポリマーを含む層のパターン形成方法
JP5934565B2 (ja) * 2012-04-20 2016-06-15 東京応化工業株式会社 パターンの縮小方法、及び組成物
JP5948129B2 (ja) * 2012-04-26 2016-07-06 東京応化工業株式会社 2個以上の孤立ホールが並んでなるパターンの形成方法
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
KR101412228B1 (ko) * 2012-06-11 2014-07-02 한국과학기술원 혼합 블록공중합체 박막 제조방법, 혼합 블록공중합체 주형 제조방법 및 이에 의하여 제조된 혼합 블록공중합체 박막 및 주형
CN107219721B (zh) * 2012-07-10 2020-08-21 株式会社尼康 标记形成方法和器件制造方法
CN108196434B (zh) * 2012-07-10 2021-04-23 株式会社尼康 曝光装置、曝光方法、器件制造方法及标记
US8821739B2 (en) 2012-07-12 2014-09-02 Rohm And Haas Electronic Materials Llc High temperature thermal annealing process
US8821738B2 (en) 2012-07-12 2014-09-02 Rohm And Haas Electronic Materials Llc Thermal annealing process
JP6239813B2 (ja) * 2012-07-18 2017-11-29 株式会社Screenセミコンダクターソリューションズ 基板処理装置および基板処理方法
CN103633029B (zh) * 2012-08-28 2016-11-23 中国科学院微电子研究所 半导体结构及其制造方法
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
KR101993255B1 (ko) * 2013-01-07 2019-06-26 삼성전자주식회사 콘택 홀 형성 방법
FR3001306A1 (fr) * 2013-01-18 2014-07-25 Commissariat Energie Atomique Procede de fabrication d'un reseau de conducteurs sur un substrat au moyen de copolymeres a blocs
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
US9050621B2 (en) * 2013-01-24 2015-06-09 Corning Incorporated Surface nanofabrication methods using self-assembled polymer nanomasks
JP6093590B2 (ja) * 2013-02-20 2017-03-08 東京応化工業株式会社 相分離構造を含む構造体の製造方法、ガイドパターン形成方法
US8859433B2 (en) * 2013-03-11 2014-10-14 International Business Machines Corporation DSA grapho-epitaxy process with etch stop material
US9115255B2 (en) * 2013-03-14 2015-08-25 Wisconsin Alumni Research Foundation Crosslinked random copolymer films for block copolymer domain orientation
US8975009B2 (en) 2013-03-14 2015-03-10 Tokyo Electron Limited Track processing to remove organic films in directed self-assembly chemo-epitaxy applications
US20140273534A1 (en) 2013-03-14 2014-09-18 Tokyo Electron Limited Integration of absorption based heating bake methods into a photolithography track system
US8980538B2 (en) 2013-03-14 2015-03-17 Tokyo Electron Limited Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9209014B2 (en) * 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US20140273290A1 (en) * 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
US20140291878A1 (en) * 2013-03-29 2014-10-02 Tokyo Electron Limited Methods for controlling across-wafer directed self-assembly
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
ITRM20130278A1 (it) 2013-05-10 2014-11-11 Consiglio Nazionale Ricerche Procedimento di fabbricazione di film autoassemblati di copolimeri a blocchi
US10457088B2 (en) 2013-05-13 2019-10-29 Ridgefield Acquisition Template for self assembly and method of making a self assembled pattern
TWI658055B (zh) * 2013-06-19 2019-05-01 德州大學董事會 用於薄膜嵌段共聚物之定向控制之酸酐共聚物面塗層
US20150179434A1 (en) * 2013-07-25 2015-06-25 SK Hynix Inc. Nano-scale structures
JP6452136B2 (ja) 2013-09-04 2019-01-16 東京エレクトロン株式会社 誘導自己組織化用の化学テンプレートを形成するための硬化フォトレジストのuv支援剥離
WO2015032588A1 (en) * 2013-09-06 2015-03-12 Asml Netherlands B.V. Methodology to generate guiding templates for directed self-assembly
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
US9625815B2 (en) * 2013-09-27 2017-04-18 Intel Corporation Exposure activated chemically amplified directed self-assembly (DSA) for back end of line (BEOL) pattern cutting and plugging
US9349604B2 (en) * 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
US9793137B2 (en) 2013-10-20 2017-10-17 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
WO2015075833A1 (ja) * 2013-11-25 2015-05-28 東京エレクトロン株式会社 パターン形成方法及び加熱装置
KR102100767B1 (ko) 2013-11-26 2020-04-21 엘지디스플레이 주식회사 유기발광 표시장치 및 그 제조방법
KR102166523B1 (ko) * 2013-12-02 2020-10-16 에스케이하이닉스 주식회사 나노 스케일 형상 구조 및 형성 방법
FR3014887B1 (fr) * 2013-12-13 2017-05-26 Arkema France Procede permettant la creation de structures nanometriques par l'auto-assemblage de copolymeres a blocs
FR3017395B1 (fr) * 2014-02-11 2017-11-03 Arkema France Procede de controle de l'energie de surface d'un substrat
JP2015159262A (ja) * 2014-02-25 2015-09-03 東京エレクトロン株式会社 基板処理装置、基板処理方法、プログラム及びコンピュータ記憶媒体
US9604181B2 (en) * 2014-05-30 2017-03-28 Pall Corporation Membrane comprising self-assembled block copolymer and process for producing the same by spray coating (IIc)
US9592476B2 (en) 2014-05-30 2017-03-14 Pall Corporation Membrane comprising self-assembled block copolymer and process for producing the same by hybrid casting (IIb)
US9441078B2 (en) 2014-05-30 2016-09-13 Pall Corporation Self-assembling polymers—I
US9598543B2 (en) * 2014-05-30 2017-03-21 Pall Corporation Self-assembled structure and membrane comprising block copolymer and process for producing the same by spin coating (VIa)
US9328206B2 (en) 2014-05-30 2016-05-03 Pall Corporation Self-assembling polymers—III
US9616395B2 (en) * 2014-05-30 2017-04-11 Pall Corportaion Membrane comprising self-assembled block copolymer and process for producing the same by spray coating (Ic)
US9765171B2 (en) * 2014-05-30 2017-09-19 Pall Corporation Self-assembling polymers—V
US9592477B2 (en) 2014-05-30 2017-03-14 Pall Corporation Membrane comprising self-assembled block copolymer and process for producing the same by hybrid casting (Ib)
US9593217B2 (en) * 2014-05-30 2017-03-14 Pall Corporation Self-assembled structure and membrane comprising block copolymer and process for producing the same by spin coating (Va)
US9593218B2 (en) * 2014-05-30 2017-03-14 Pall Corporation Self-assembled structure and membrane comprising block copolymer and process for producing the same by spin coating (IIIa)
US9593219B2 (en) * 2014-05-30 2017-03-14 Pall Corporation Membrane comprising self-assembled block copolymer and process for producing the same by spin coating (IIa)
US9469733B2 (en) 2014-05-30 2016-10-18 Pall Corporation Self-assembled structure and membrane comprising block copolymer and process for producing the same by spin coating (IVa)
US9193835B1 (en) 2014-05-30 2015-11-24 Pall Corporation Self-assembling polymers—IV
US9448483B2 (en) * 2014-07-31 2016-09-20 Dow Global Technologies Llc Pattern shrink methods
US9396958B2 (en) * 2014-10-14 2016-07-19 Tokyo Electron Limited Self-aligned patterning using directed self-assembly of block copolymers
US10640898B2 (en) 2014-11-26 2020-05-05 Kimberly-Clark Worldwide, Inc. Annealed porous polyolefin material
US10294359B2 (en) * 2014-12-30 2019-05-21 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US11021630B2 (en) * 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
CN105047568B (zh) * 2015-09-07 2018-01-09 京东方科技集团股份有限公司 薄膜晶体管及其制作方法、显示面板
US9876075B2 (en) 2015-10-16 2018-01-23 International Business Machines Corporation Method of forming dielectric with air gaps for use in semiconductor devices
WO2017111926A1 (en) * 2015-12-21 2017-06-29 Intel Corporation Triblock copolymers for self-aligning vias or contacts
US9691654B1 (en) * 2015-12-22 2017-06-27 Globalfoundries Inc. Methods and devices for back end of line via formation
US20170221701A1 (en) * 2016-02-01 2017-08-03 Applied Materials, Inc. Rtp process for directed self-aligned patterns
KR20180112778A (ko) * 2016-02-08 2018-10-12 제이에스알 가부시끼가이샤 콘택트 홀 패턴의 형성 방법 및 조성물
US10056265B2 (en) * 2016-03-18 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Directed self-assembly process with size-restricted guiding patterns
KR102463922B1 (ko) * 2016-03-21 2022-11-08 에스케이하이닉스 주식회사 미세 패턴 형성 방법
US9947597B2 (en) 2016-03-31 2018-04-17 Tokyo Electron Limited Defectivity metrology during DSA patterning
JP6802667B2 (ja) 2016-08-18 2020-12-16 株式会社Screenホールディングス 熱処理装置、基板処理装置、熱処理方法および基板処理方法
WO2018101743A2 (ko) 2016-11-30 2018-06-07 주식회사 엘지화학 적층체
WO2018118088A1 (en) 2016-12-23 2018-06-28 Intel Corporation Differential hardmasks for modulation of electrobucket sensitivity
JP6811638B2 (ja) 2017-02-14 2021-01-13 株式会社Screenホールディングス 基板処理方法及びその装置
US10170301B2 (en) * 2017-03-29 2019-01-01 International Business Machines Corporation Adhesion of polymers on silicon substrates
JP7110090B2 (ja) * 2018-12-28 2022-08-01 東京エレクトロン株式会社 基板処理方法および基板処理システム

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070175959A1 (en) 2006-01-31 2007-08-02 Shelton Frederick E Iv Motor-driven surgical cutting and fastening instrument with articulatable end effector

Family Cites Families (327)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4623674A (en) * 1985-06-21 1986-11-18 Union Carbide Corporation Polymer/polyols of substituted styrenes and polyurethanes made therefrom
US4877647A (en) * 1986-04-17 1989-10-31 Kansas State University Research Foundation Method of coating substrates with solvated clusters of metal particles
US4797357A (en) 1986-05-30 1989-01-10 Eastman Kodak Company Light-stable reducible compounds and analytical compositions, elements and methods utilizing same
US4818713A (en) 1987-10-20 1989-04-04 American Telephone And Telegraph Company, At&T Bell Laboratories Techniques useful in fabricating semiconductor devices having submicron features
WO1990007575A1 (en) 1988-12-30 1990-07-12 Anderson David M Stabilized microporous materials and hydrogel materials
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5354489A (en) * 1990-08-30 1994-10-11 Asahi Kasei Kogyo Kabushiki Kaisha Method for changing the viscosity of a fluid comprising a liquid crystal compound
US5622668A (en) * 1992-02-07 1997-04-22 The United States Of America As Represented By The Secretary Of The Air Force Method for preparing oriented polymer structures and said structures
DE69315030D1 (de) * 1992-08-07 1997-12-11 Fujikura Kasei Kk Elektrosensitive Zusammensetzung
US5382373A (en) * 1992-10-30 1995-01-17 Lord Corporation Magnetorheological materials based on alloy particles
SG49596A1 (en) 1992-11-25 1998-06-15 Hoechst Celanese Corp Metal ion reduction in bottom anti-reflective coatings for photoresists
US5482656A (en) * 1993-03-04 1996-01-09 Kabushiki Kaisha Toshiba Non-linear optical devices employing a polysilane composition and a polysilane composition therefor
TW272976B (ko) * 1993-08-06 1996-03-21 Ciba Geigy Ag
US6776094B1 (en) * 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US5538655A (en) * 1994-06-29 1996-07-23 Arthur D. Little, Inc. Molecular complexes for use as electrolyte components
US5607824A (en) 1994-07-27 1997-03-04 International Business Machines Corporation Antireflective coating for microlithography
JPH0867893A (ja) * 1994-08-19 1996-03-12 Lubrizol Corp:The 極性固体および有機半導体の電気流動性流体
US5620850A (en) 1994-09-26 1997-04-15 President And Fellows Of Harvard College Molecular recognition at surfaces derivatized with self-assembled monolayers
US5633117A (en) 1995-04-27 1997-05-27 Imation Corp. Providing imagewise variation in glossiness to a receptor
US5700902A (en) * 1995-07-27 1997-12-23 Circe Biomedical, Inc. Block copolymers
JP3415850B2 (ja) * 1995-08-04 2003-06-09 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン リソグラフィによる表面または薄層の改変
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US20030080471A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US5879853A (en) 1996-01-18 1999-03-09 Kabushiki Kaisha Toshiba Top antireflective coating material and its process for DUV and VUV lithography systems
US6096636A (en) 1996-02-06 2000-08-01 Micron Technology, Inc. Methods of forming conductive lines
DE69707325T2 (de) 1996-02-26 2002-05-02 Matsushita Electric Industrial Co., Ltd. Bilderzeugungsmaterial und Verfahren
US6190949B1 (en) * 1996-05-22 2001-02-20 Sony Corporation Silicon thin film, group of silicon single crystal grains and formation process thereof, and semiconductor device, flash memory cell and fabrication process thereof
US6143647A (en) 1997-07-24 2000-11-07 Intel Corporation Silicon-rich block copolymers to achieve unbalanced vias
JPH1081889A (ja) * 1996-09-06 1998-03-31 Bridgestone Corp 電気粘性流体用粉体
US5904824A (en) 1997-03-07 1999-05-18 Beckman Instruments, Inc. Microfluidic electrophoresis device
US5958704A (en) 1997-03-12 1999-09-28 Ddx, Inc. Sensing system for specific substance and molecule detection
US5948470A (en) * 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US6890624B1 (en) 2000-04-25 2005-05-10 Nanogram Corporation Self-assembled structures
US6368871B1 (en) 1997-08-13 2002-04-09 Cepheid Non-planar microstructures for manipulation of fluid samples
JP3321392B2 (ja) 1997-08-29 2002-09-03 科学技術振興事業団 二重構造連続多孔体とその製造方法
US6884842B2 (en) * 1997-10-14 2005-04-26 Alnis Biosciences, Inc. Molecular compounds having complementary surfaces to targets
DE69826148T2 (de) 1997-12-09 2005-09-22 SBA Materials, Inc., Santa Barbara Blockcopolymerverarbeitung für mesostrukturierte anorganische oxidmaterialien
US6111323A (en) * 1997-12-30 2000-08-29 International Business Machines Corporation Reworkable thermoplastic encapsulant
DE69913316T2 (de) 1998-01-07 2004-11-18 Debio Recherche Pharmaceutique S.A. Abbaubare, heterobifunktionelle polyethylenglykolacrylate, sowie damit herstellbare gele und konjugate
US6153495A (en) 1998-03-09 2000-11-28 Intersil Corporation Advanced methods for making semiconductor devices by low temperature direct bonding
CA2324140A1 (en) 1998-03-18 1999-09-23 University Of Rochester Macromolecular self-assembly of microstructures, nanostructures, objects and mesoporous solids
US7282240B1 (en) 1998-04-21 2007-10-16 President And Fellows Of Harvard College Elastomeric mask and use in fabrication of devices
EP1002813B1 (en) * 1998-06-05 2009-09-09 Asahi Kasei Kabushiki Kaisha Hydrogenated block copolymer and polypropylene resin composition containing the same
US7074498B2 (en) * 2002-03-22 2006-07-11 Borealis Technical Limited Influence of surface geometry on metal properties
US7799416B1 (en) 1998-07-02 2010-09-21 Massachusetts Institute Of Technology Periodic porous and relief nanostructured articles
US6897073B2 (en) 1998-07-14 2005-05-24 Zyomyx, Inc. Non-specific binding resistant protein arrays and methods for making the same
US6423410B1 (en) * 1998-09-04 2002-07-23 Mds Proteomics, Inc. Ultrasonically generated paramagnetic polymer particles
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
CN1325560C (zh) 1998-11-24 2007-07-11 陶氏环球技术公司 含可交联基质前体和致孔剂的组合物及由此组合物制成的多孔性基质
CA2354260A1 (en) * 1998-12-08 2000-06-15 Gene Logic, Inc. Process for attaching organic molecules to silicon
US6413587B1 (en) * 1999-03-02 2002-07-02 International Business Machines Corporation Method for forming polymer brush pattern on a substrate surface
US6270946B1 (en) * 1999-03-18 2001-08-07 Luna Innovations, Inc. Non-lithographic process for producing nanoscale features on a substrate
JP4127682B2 (ja) 1999-06-07 2008-07-30 株式会社東芝 パターン形成方法
JP3940546B2 (ja) 1999-06-07 2007-07-04 株式会社東芝 パターン形成方法およびパターン形成材料
JP4012173B2 (ja) 1999-06-07 2007-11-21 株式会社東芝 多孔質構造体の製造方法、多孔質構造体形成材料、パターン形成方法、パターン形成材料、電気化学セル、および中空糸フィルター
JP2003504857A (ja) 1999-07-02 2003-02-04 プレジデント・アンド・フェローズ・オブ・ハーバード・カレッジ ナノスコピックワイヤを用いる装置、アレイおよびその製造方法
US6251791B1 (en) 1999-07-20 2001-06-26 United Microelectronics Corp. Eliminating etching microloading effect by in situ deposition and etching
US6312971B1 (en) * 1999-08-31 2001-11-06 E Ink Corporation Solvent annealing process for forming a thin semiconductor film with advantageous properties
JP2001110801A (ja) 1999-10-05 2001-04-20 Takeshi Yao パターン形成方法、並びに電子素子、光学素子及び回路基板
US6998152B2 (en) 1999-12-20 2006-02-14 Micron Technology, Inc. Chemical vapor deposition methods utilizing ionic liquids
US6517933B1 (en) 2000-01-18 2003-02-11 Nano-Tex, Llc Hybrid polymer materials
US6423465B1 (en) * 2000-01-28 2002-07-23 International Business Machines Corporation Process for preparing a patterned continuous polymeric brush on a substrate surface
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6284657B1 (en) 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
US7163712B2 (en) 2000-03-03 2007-01-16 Duke University Microstamping activated polymer surfaces
US6423474B1 (en) 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
AU2001249323A1 (en) * 2000-03-22 2001-10-03 University Of Massachusetts Nanocylinder arrays
US6887332B1 (en) 2000-04-21 2005-05-03 International Business Machines Corporation Patterning solution deposited thin films with self-assembled monolayers
US7491286B2 (en) 2000-04-21 2009-02-17 International Business Machines Corporation Patterning solution deposited thin films with self-assembled monolayers
US7291284B2 (en) 2000-05-26 2007-11-06 Northwestern University Fabrication of sub-50 nm solid-state nanostructures based on nanolithography
US6503841B1 (en) * 2000-07-07 2003-01-07 Agere Systems Inc. Oxide etch
US6414164B1 (en) 2000-07-12 2002-07-02 International Business Machines Corporation Synthesis of soluble derivatives of sexithiophene and their use as the semiconducting channels in thin-film filed-effect transistors
WO2002018080A1 (fr) 2000-08-03 2002-03-07 Upepo & Maji Inc. Composition de solution colloidale metallique et conducteur ou encre destine a la formation d'un motif semi-conducteur la renfermant, et procede de formation d'un motif conducteur ou semi-conducteur
JP3591827B2 (ja) 2000-08-11 2004-11-24 株式会社東芝 微細構造を有する成形体の製造方法
JP2002083949A (ja) 2000-09-07 2002-03-22 Nec Corp Cmosイメージセンサ及びその製造方法
US20020084429A1 (en) 2000-10-17 2002-07-04 Craighead Harold G. Electron-beam patterning of functionalized self-assembled monolayers
WO2002041043A2 (en) 2000-11-14 2002-05-23 The Regents Of The University Of California Inorganic/block copolymer-dye composites and dye doped mesoporous materials for optical and sensing applications
US6358813B1 (en) * 2000-11-15 2002-03-19 International Business Machines Corporation Method for increasing the capacitance of a semiconductor capacitors
NL1016779C2 (nl) * 2000-12-02 2002-06-04 Cornelis Johannes Maria V Rijn Matrijs, werkwijze voor het vervaardigen van precisieproducten met behulp van een matrijs, alsmede precisieproducten, in het bijzonder microzeven en membraanfilters, vervaardigd met een dergelijke matrijs.
US6432811B1 (en) 2000-12-20 2002-08-13 Intel Corporation Method of forming structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures
FR2818650B1 (fr) 2000-12-21 2003-02-07 Atofina Procede d'hydrogenation de copolymeres a blocs insatures et copolymeres a blocs hydrogenes
US6518194B2 (en) 2000-12-28 2003-02-11 Thomas Andrew Winningham Intermediate transfer layers for nanoscale pattern transfer and nanostructure formation
US7205161B2 (en) 2001-01-10 2007-04-17 Symyx Technologies, Inc. Polymer brushes for immobilizing molecules to a surface or substrate having improved stability
US6566248B1 (en) * 2001-01-11 2003-05-20 Advanced Micro Devices, Inc. Graphoepitaxial conductor cores in integrated circuit interconnects
US6913697B2 (en) * 2001-02-14 2005-07-05 Science & Technology Corporation @ Unm Nanostructured separation and analysis devices for biological membranes
CA2451882A1 (en) 2001-03-14 2002-09-19 University Of Massachusetts Nanofabrication
US6537920B1 (en) 2001-03-16 2003-03-25 Advanced Micro Devices, Inc. Formation of vertical transistors using block copolymer lithography
US6817293B2 (en) 2001-03-28 2004-11-16 Dainippon Printing Co., Ltd. Patterning method with micro-contact printing and its printed product
EP1399487A4 (en) * 2001-03-30 2005-08-17 Uab Research Foundation PREPARATION OF POLYMERS IN ROOM TEMPERATURE LIQUID IONIC LIQUIDS
EP1377519B1 (en) * 2001-04-06 2010-06-09 Carnegie-Mellon University A process for the preparation of nanostructured materials
US20050120902A1 (en) 2001-04-25 2005-06-09 David Adams Edge transfer lithography
US20020158432A1 (en) 2001-04-30 2002-10-31 Wain Amir Waheed Infocart
US6809210B2 (en) * 2001-06-12 2004-10-26 Lucent Technologies Inc. Method of solvating a metal in an aromatic organic liquid
KR100448170B1 (ko) * 2001-06-23 2004-09-10 주식회사 태평양 폴리에틸렌이민을 친수성 블록으로 갖고 폴리에스테르계고분자를 소수성 블록으로 갖는 양친성 생분해성 블록공중합체 및 이를 이용한 수용액 상에서의 고분자자기조합 회합체
WO2003007399A2 (en) 2001-07-09 2003-01-23 Plastic Logic Limited Low melting point polymer alignment
US6444318B1 (en) * 2001-07-17 2002-09-03 Surmodics, Inc. Self assembling monolayer compositions
DE10142691B4 (de) 2001-08-31 2006-04-20 Infineon Technologies Ag Verfahren zum Nachweis biochemischer Reaktionen sowie eine Vorrichtung hierfür
US6751491B2 (en) * 2001-09-01 2004-06-15 M Biotech Inc Analyte measuring biosensor chip using image scanning system
DE10145747A1 (de) 2001-09-17 2003-04-03 Solvent Innovation Gmbh Ionische Flüssigkeiten
US6746825B2 (en) * 2001-10-05 2004-06-08 Wisconsin Alumni Research Foundation Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates
US20030108664A1 (en) 2001-10-05 2003-06-12 Kodas Toivo T. Methods and compositions for the formation of recessed electrical features on a substrate
US20040058059A1 (en) 2001-11-07 2004-03-25 Linford Mathew Richard Funtionalized patterned surfaces
EP1446356A4 (en) 2001-11-21 2005-04-06 Univ Massachusetts MESOPOROUS MATERIALS AND METHOD
JP3967114B2 (ja) 2001-11-22 2007-08-29 株式会社東芝 加工方法
US7087267B2 (en) 2001-11-29 2006-08-08 International Business Machines Corporation Materials and methods for immobilization of catalysts on surfaces and for selective electroless metallization
JP3782357B2 (ja) 2002-01-18 2006-06-07 株式会社東芝 半導体発光素子の製造方法
US7115305B2 (en) 2002-02-01 2006-10-03 California Institute Of Technology Method of producing regular arrays of nano-scale objects using nano-structured block-copolymeric materials
US6958572B2 (en) * 2002-02-06 2005-10-25 Ut-Battelle Llc Controlled non-normal alignment of catalytically grown nanostructures in a large-scale synthesis process
US7189430B2 (en) 2002-02-11 2007-03-13 Rensselaer Polytechnic Institute Directed assembly of highly-organized carbon nanotube architectures
US7060774B2 (en) 2002-02-28 2006-06-13 Merck Patent Gesellschaft Prepolymer material, polymer material, imprinting process and their use
US6890703B2 (en) 2002-03-06 2005-05-10 International Business Machines Corporation Preparation of crosslinked particles from polymers having activatible crosslinking groups
US6946332B2 (en) * 2002-03-15 2005-09-20 Lucent Technologies Inc. Forming nanoscale patterned thin film metal layers
US7807348B2 (en) * 2002-03-20 2010-10-05 Wisconsin Alumni Research Foundation Optical imaging of nanostructured substrates
US20030178707A1 (en) * 2002-03-21 2003-09-25 Abbott Donald C. Preplated stamped small outline no-lead leadframes having etched profiles
US6765030B2 (en) 2002-03-22 2004-07-20 The University Of North Carolina At Chapel Hill Methods of forming polymeric structures using carbon dioxide and polymeric structures formed therapy
JP4859333B2 (ja) 2002-03-25 2012-01-25 セイコーエプソン株式会社 電子デバイス用基板の製造方法
US20040142578A1 (en) 2002-03-28 2004-07-22 Ulrich Wiesner Thin film nanostructures
JP2004005923A (ja) 2002-03-29 2004-01-08 Fujitsu Ltd 磁気ヘッドの製造方法および磁気ヘッド、パターン形成方法
US6872645B2 (en) 2002-04-02 2005-03-29 Nanosys, Inc. Methods of positioning and/or orienting nanostructures
US6656308B2 (en) 2002-04-22 2003-12-02 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US7135241B2 (en) 2002-05-24 2006-11-14 Board Of Regents, The University Of Texas System Light-emitting block copolymers composition, process and use
US7307343B2 (en) 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US6753250B1 (en) 2002-06-12 2004-06-22 Novellus Systems, Inc. Method of fabricating low dielectric constant dielectric films
US20030235930A1 (en) * 2002-06-25 2003-12-25 Lucent Technologies Inc. Multi-impression nanofeature production
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7311943B2 (en) 2002-07-17 2007-12-25 Massachusetts Institute Of Technology Templated monolayer polymerization and replication
US20050008828A1 (en) * 2002-07-25 2005-01-13 Trustees Of Stevens Institute Of Technology Patterned polymer microgel and method of forming same
US6767693B1 (en) 2002-07-30 2004-07-27 Advanced Micro Devices, Inc. Materials and methods for sub-lithographic patterning of contact, via, and trench structures in integrated circuit devices
DE60211685T2 (de) 2002-08-02 2007-05-10 Sony Deutschland Gmbh Verfahren zur Bindung hydophiler Substanzen an hydrophile Makromoleküle und Immobilisierung derselben auf hydrophoben Oberflächen
US6957608B1 (en) * 2002-08-02 2005-10-25 Kovio, Inc. Contact print methods
EP2233564A3 (en) * 2002-10-30 2012-11-21 Hitachi, Ltd. Cell culture sheet comprising a functional substrate with a group of columnar micro-pillars and its manufacturing method
US20040084298A1 (en) * 2002-10-31 2004-05-06 Y.D. Yao Fabrication of nanocomposite thin films for high density magnetic recording media
US6949456B2 (en) * 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
TW584670B (en) 2002-10-31 2004-04-21 Academia Sinica Fabrication of nanocomposite thin films for high density magnetic recording media
US6911400B2 (en) 2002-11-05 2005-06-28 International Business Machines Corporation Nonlithographic method to produce self-aligned mask, articles produced by same and compositions for same
WO2004044023A1 (fr) 2002-11-07 2004-05-27 Rhodia Chimie Copolymere a structure controlee presentant une partie amphotere ou zwitterionique.
US6699797B1 (en) * 2002-12-17 2004-03-02 Intel Corporation Method of fabrication of low dielectric constant porous metal silicate films
US6930034B2 (en) 2002-12-27 2005-08-16 International Business Machines Corporation Robust ultra-low k interconnect structures using bridge-then-metallization fabrication sequence
US20040124092A1 (en) 2002-12-30 2004-07-01 Black Charles T. Inorganic nanoporous membranes and methods to form same
US7078276B1 (en) 2003-01-08 2006-07-18 Kovio, Inc. Nanoparticles and method for making the same
US6940485B2 (en) 2003-01-15 2005-09-06 Xerox Corporation Flexible micron-thin display device
WO2004072334A2 (en) 2003-02-12 2004-08-26 Nantero, Inc. Nanofabric articles and methods of making the same
TWI323479B (en) 2003-02-12 2010-04-11 Nantero Inc Devices having horizontally-disposed nanofabric articles and methods of making the same
US7066801B2 (en) 2003-02-21 2006-06-27 Dow Global Technologies, Inc. Method of manufacturing a fixed abrasive material
US7001795B2 (en) 2003-02-27 2006-02-21 Micron Technology, Inc. Total internal reflection (TIR) CMOS imager
TW582059B (en) 2003-03-11 2004-04-01 Ind Tech Res Inst Organic component, method for forming organic semiconductor layer with aligned molecules, and method for forming organic component
US7326514B2 (en) 2003-03-12 2008-02-05 Cornell Research Foundation, Inc. Organoelement resists for EUV lithography and methods of making the same
US7135523B2 (en) 2003-03-14 2006-11-14 Industrial Technology Research Institute Nanoscale helical microstructures and channels from chiral poly(L-lactide) block containing block copolymers
US6812132B2 (en) 2003-03-21 2004-11-02 Intel Corporation Filling small dimension vias using supercritical carbon dioxide
KR100618184B1 (ko) * 2003-03-31 2006-08-31 비오이 하이디스 테크놀로지 주식회사 결정화 방법
US7112617B2 (en) 2003-04-22 2006-09-26 International Business Machines Corporation Patterned substrate with hydrophilic/hydrophobic contrast, and method of use
JP2004335962A (ja) 2003-05-12 2004-11-25 Seiko Epson Corp 薄膜パターン形成方法、デバイスとその製造方法及び電気光学装置並びに電子機器
US7632544B2 (en) 2003-05-20 2009-12-15 Industrial Technology Research Institute Nanopatterned templates from oriented degradable diblock copolymer thin films
US20060124467A1 (en) 2003-05-20 2006-06-15 Industrial Technology Research Institute Metal nanodot arrays and fabrication methods thereof
EP1479738A1 (en) 2003-05-20 2004-11-24 DSM IP Assets B.V. Hydrophobic coatings comprising reactive nano-particles
US6989426B2 (en) 2003-06-12 2006-01-24 The Hong Kong Polytechnic University Methods for producing di-block polymers
US7009227B2 (en) 2003-06-16 2006-03-07 Micron Technology, Inc. Photodiode structure and image pixel structure
US7045851B2 (en) 2003-06-20 2006-05-16 International Business Machines Corporation Nonvolatile memory device using semiconductor nanocrystals and method of forming same
GB2403847B (en) 2003-07-01 2005-11-16 Micron Technology Inc Optical channels for multi-level metal optical imagers and method for manufacturing same
US20050238889A1 (en) 2003-07-10 2005-10-27 Nancy Iwamoto Layered components, materials, methods of production and uses thereof
EP1511074B1 (en) 2003-08-01 2015-01-28 Imec A method for selective removal of high-K material
GB0318817D0 (en) 2003-08-11 2003-09-10 Univ Cambridge Tech Method of making a polymer device
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
ATE383577T1 (de) 2003-09-23 2008-01-15 Wisconsin Alumni Res Found Verwendung von flüssigkristallen zum nachweis von affinitätsmikrokontakt-gedruckten biomolekülen
US7374867B2 (en) 2003-10-06 2008-05-20 Intel Corporation Enhancing photoresist performance using electric fields
US7407887B2 (en) 2003-10-16 2008-08-05 The Regents Of The University Of California Nanostructures, nanogrooves, and nanowires
US7862849B2 (en) 2003-10-17 2011-01-04 Massachusetts Institute Of Technology Nanocontact printing
US7122482B2 (en) * 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
GB0325748D0 (en) 2003-11-05 2003-12-10 Koninkl Philips Electronics Nv A method of forming a patterned layer on a substrate
US7056757B2 (en) * 2003-11-25 2006-06-06 Georgia Tech Research Corporation Methods of forming oxide masks with submicron openings and microstructures formed thereby
US20050133697A1 (en) 2003-12-23 2005-06-23 Potyrailo Radislav A. Sensor devices containing co-polymer substrates for analysis of chemical and biological species in water and air
US7423164B2 (en) 2003-12-31 2008-09-09 Ut-Battelle, Llc Synthesis of ionic liquids
US6989324B2 (en) 2004-01-15 2006-01-24 The Regents Of The University Of California Fabrication method for arranging ultra-fine particles
US7056849B2 (en) 2004-01-16 2006-06-06 General Electric Company Nanoscale ordered composites of covalent ceramics for high-temperature structural applications via block-copolymer-assisted assembly and method of making
US7405147B2 (en) 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
DE102004006545B3 (de) 2004-02-10 2005-08-11 Infineon Technologies Ag Verfahren zum Aufweiten eines Grabens in einer Halbleiterstruktur
US20060014083A1 (en) 2004-03-01 2006-01-19 University Of Washington Methods and systems for fabricating electronic and/or microfluidic structures on elastomeric substrates
US7030495B2 (en) 2004-03-19 2006-04-18 International Business Machines Corporation Method for fabricating a self-aligned nanocolumnar airbridge and structure produced thereby
CN100429142C (zh) 2004-03-24 2008-10-29 哈尔滨工业大学 面向纳米微加工嵌段共聚物模板自组装形态调控方法
US7015113B2 (en) 2004-04-01 2006-03-21 Micron Technology, Inc. Methods of forming trench isolation regions
US20060013956A1 (en) * 2004-04-20 2006-01-19 Angelescu Dan E Method and apparatus for providing shear-induced alignment of nanostructure in thin films
CN101427182B (zh) 2004-04-27 2011-10-19 伊利诺伊大学评议会 用于软光刻法的复合构图设备
US7244665B2 (en) 2004-04-29 2007-07-17 Micron Technology, Inc. Wafer edge ring structures and methods of formation
US7625694B2 (en) 2004-05-06 2009-12-01 Micron Technology, Inc. Selective provision of a diblock copolymer material
TWI256110B (en) 2004-05-18 2006-06-01 Ind Tech Res Inst Nanopatterned templates from oriented degradable diblock copolymer thin films
WO2006076016A2 (en) 2004-05-21 2006-07-20 Krzysztof Matyjaszewski Conducting polymers
EP2650905B1 (en) 2004-06-04 2022-11-09 The Board of Trustees of the University of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
WO2006003594A2 (en) 2004-06-30 2006-01-12 Koninklijke Philips Electronics N.V. Soft lithographic stamp with a chemically patterned surface
US20070227383A1 (en) 2004-06-30 2007-10-04 Koninklijke Philips Electronics, N.V. Soft Lithographic Stamp with a Chemically Patterned Surface
US7387939B2 (en) 2004-07-19 2008-06-17 Micron Technology, Inc. Methods of forming semiconductor structures and capacitor devices
JP4389055B2 (ja) 2004-07-27 2009-12-24 独立行政法人産業技術総合研究所 ブロック共重合体−クレイナノコンポジットの高配向膜およびその製造方法
US8088293B2 (en) 2004-07-29 2012-01-03 Micron Technology, Inc. Methods of forming reticles configured for imprint lithography
US20060030495A1 (en) 2004-08-06 2006-02-09 Gregg George L Jr Bullet lubrication formula
JP2006055982A (ja) 2004-08-23 2006-03-02 Ind Technol Res Inst 組織化分解ジブロックコポリマー薄膜からのナノパターン化テンプレート
KR20060020830A (ko) 2004-09-01 2006-03-07 삼성코닝 주식회사 계면활성제를 템플릿으로 이용한 저유전성 메조포러스박막의 제조방법
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US20060057051A1 (en) 2004-09-10 2006-03-16 Sheng Dai Highly ordered porous carbon materials having well defined nanostructures and method of synthesis
US20060060863A1 (en) 2004-09-22 2006-03-23 Jennifer Lu System and method for controlling nanostructure growth
JP3926360B2 (ja) 2004-10-13 2007-06-06 株式会社東芝 パターン形成方法およびそれを用いた構造体の加工方法
US7196314B2 (en) 2004-11-09 2007-03-27 Omnivision Technologies, Inc. Image sensor and pixel having an anti-reflective coating over the photodiode
EP1657070B1 (en) 2004-11-10 2008-04-23 Sony Deutschland GmbH A stamp for soft lithography, in particular micro contact printing and a method of preparing the same
US7323387B2 (en) 2004-11-12 2008-01-29 Seagate Technology Llc Method to make nano structure below 25 nanometer with high uniformity on large scale
JP5377857B2 (ja) 2004-11-22 2013-12-25 ウィスコンシン・アラムナイ・リサーチ・ファウンデーション 非周期的パターン共重合体フィルムのための方法及び組成
US20080032238A1 (en) * 2004-11-23 2008-02-07 Lu Jennifer Q System and method for controlling the size and/or distribution of catalyst nanoparticles for nanostructure growth
US20060128165A1 (en) 2004-12-13 2006-06-15 3M Innovative Properties Company Method for patterning surface modification
US7666465B2 (en) 2004-12-29 2010-02-23 Intel Corporation Introducing nanotubes in trenches and structures formed thereby
US8178165B2 (en) * 2005-01-21 2012-05-15 The Regents Of The University Of California Method for fabricating a long-range ordered periodic array of nano-features, and articles comprising same
DE102005005325B4 (de) 2005-02-04 2011-12-15 Adesto Technology Corp., Inc. Verfahren zur Herstellung einer resistiv schaltenden nicht-flüchtigen Speicherzelle
US7341788B2 (en) 2005-03-11 2008-03-11 International Business Machines Corporation Materials having predefined morphologies and methods of formation thereof
US7514764B2 (en) 2005-03-23 2009-04-07 Wisconsin Alumni Research Foundation Materials and methods for creating imaging layers
US7855046B2 (en) 2005-04-07 2010-12-21 The University Of North Carolina At Charlotte Method and apparatus for fabricating shaped structures and shaped structures including one- , two- or three-dimensional patterns incorporated therein
KR100634327B1 (ko) 2005-04-13 2006-10-13 한국기계연구원 롤-투-롤 윤전인쇄방식을 이용한 전자소자의 제조방법 및그 제조장치
KR20060113463A (ko) 2005-04-27 2006-11-02 히다치 막셀 가부시키가이샤 폴리머 기재의 표면개질방법, 폴리머 기재에 도금막을형성하는 방법, 폴리머부재의 제조방법 및 코팅부재
US20060249784A1 (en) 2005-05-06 2006-11-09 International Business Machines Corporation Field effect transistor device including an array of channel elements and methods for forming
US7767129B2 (en) 2005-05-11 2010-08-03 Micron Technology, Inc. Imprint templates for imprint lithography, and methods of patterning a plurality of substrates
US7371684B2 (en) 2005-05-16 2008-05-13 International Business Machines Corporation Process for preparing electronics structures using a sacrificial multilayer hardmask scheme
US8399057B2 (en) 2005-06-08 2013-03-19 The Regents Of The University Of California Ordered vertically oriented porous inorganic films produced through solution processing
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
KR100668846B1 (ko) 2005-06-10 2007-01-16 주식회사 하이닉스반도체 상변환 기억 소자의 제조방법
US7771917B2 (en) 2005-06-17 2010-08-10 Micron Technology, Inc. Methods of making templates for use in imprint lithography
US20110182805A1 (en) 2005-06-17 2011-07-28 Desimone Joseph M Nanoparticle fabrication methods, systems, and materials
US7118784B1 (en) 2005-06-27 2006-10-10 The Regents Of The University Of California Method and apparatus for controlling nucleation in self-assembled films
US7507618B2 (en) 2005-06-27 2009-03-24 3M Innovative Properties Company Method for making electronic devices using metal oxide nanoparticles
US7776715B2 (en) 2005-07-26 2010-08-17 Micron Technology, Inc. Reverse construction memory cell
US7306083B2 (en) 2005-07-27 2007-12-11 Gm Global Technology Operations, Inc. Magnetorheological fluid device
WO2007019439A2 (en) 2005-08-04 2007-02-15 Angiotech International Ag Block copolymer compositions and uses thereof
US20070045642A1 (en) 2005-08-25 2007-03-01 Micron Technology, Inc. Solid-state imager and formation method using anti-reflective film for optical crosstalk reduction
US7456928B2 (en) 2005-08-29 2008-11-25 Micron Technology, Inc. Systems and methods for controlling ambient pressure during processing of microfeature workpieces, including during immersion lithography
EP1760527B1 (en) 2005-09-05 2012-06-06 DWI an der RWTH Aachen e.V. Photochemical method for manufacturing nanometrically surface-decorated substrates
JP4598639B2 (ja) 2005-09-27 2010-12-15 Okiセミコンダクタ株式会社 半導体装置の製造方法
US8618221B2 (en) 2005-10-14 2013-12-31 Wisconsin Alumni Research Foundation Directed assembly of triblock copolymers
WO2007053579A2 (en) * 2005-10-31 2007-05-10 Kabushiki Kaisha Toshiba Short-wavelength polarizing elements and the manufacture and use thereof
US20070183035A1 (en) 2005-10-31 2007-08-09 Koji Asakawa Short-wavelength polarizing elements and the manufacture and use thereof
WO2007055041A1 (ja) 2005-11-10 2007-05-18 National University Corporation Kyoto Institute Of Technology 配向したシリンダー構造を有するブロック共重合体膜およびその製造方法
US20070122749A1 (en) 2005-11-30 2007-05-31 Fu Peng F Method of nanopatterning, a resist film for use therein, and an article including the resist film
DE602006000939T2 (de) 2006-01-18 2009-07-09 Consiglio Nazionale Delle Ricerche Nanometervorrichtung zur Messung der Leitfähigkeit und Quanteneffekte einzelner Moleküle sowie Verfahren zur Herstellung und Verwendung
WO2007084569A2 (en) 2006-01-20 2007-07-26 Plextronics, Inc. Electrostatic coatings and articles comprising polythiophenes
JP2007194175A (ja) 2006-01-23 2007-08-02 Seiko Epson Corp 導体パターン用インク、導体パターン、配線基板及び電気光学装置並びに電子機器
US7347953B2 (en) 2006-02-02 2008-03-25 International Business Machines Corporation Methods for forming improved self-assembled patterns of block copolymers
US20080073743A1 (en) 2006-02-17 2008-03-27 Lockheed Martin Corporation Templated growth of semiconductor nanostructures, related devices and methods
US20070194403A1 (en) 2006-02-23 2007-08-23 International Business Machines Corporation Methods for fabricating semiconductor device structures with reduced susceptibility to latch-up and semiconductor device structures formed by the methods
US20070208159A1 (en) 2006-03-02 2007-09-06 General Electric Company Poly(arylene ether) block copolymer compositions, methods, and articles
US7579278B2 (en) 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US20070222995A1 (en) 2006-03-27 2007-09-27 Jennifer Lu Artifact having a textured metal surface with nanometer-scale features and method for fabricating same
KR100753542B1 (ko) 2006-04-19 2007-08-30 삼성전자주식회사 수지 조성물, 이를 이용한 패턴 형성 방법 및 커패시터형성 방법
US8080822B2 (en) 2006-05-22 2011-12-20 Nanyang Technological University Solution-processed inorganic films for organic thin film transistors
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US20070289943A1 (en) 2006-06-14 2007-12-20 Jennifer Lu Block copolymer mask for defining nanometer-scale structures
US7605081B2 (en) 2006-06-19 2009-10-20 International Business Machines Corporation Sub-lithographic feature patterning using self-aligned self-assembly polymers
JP4673266B2 (ja) 2006-08-03 2011-04-20 日本電信電話株式会社 パターン形成方法及びモールド
US20080038467A1 (en) 2006-08-11 2008-02-14 Eastman Kodak Company Nanostructured pattern method of manufacture
JP4421582B2 (ja) 2006-08-15 2010-02-24 株式会社東芝 パターン形成方法
US20080047930A1 (en) 2006-08-23 2008-02-28 Graciela Beatriz Blanchet Method to form a pattern of functional material on a substrate
KR100739000B1 (ko) 2006-09-11 2007-07-12 삼성전자주식회사 상변화 기억 소자의 형성 방법
KR100771886B1 (ko) 2006-09-27 2007-11-01 삼성전자주식회사 블럭 공중합체를 사용한 미세 콘택홀 형성 방법 및 반도체소자 제조 방법
US7658773B2 (en) 2006-09-29 2010-02-09 Qimonda Ag Method for fabricating a solid electrolyte memory device and solid electrolyte memory device
US7795607B2 (en) 2006-09-29 2010-09-14 Intel Corporation Current focusing memory architecture for use in electrical probe-based memory storage
TWI311337B (en) 2006-10-02 2009-06-21 Au Optronics Corporatio Multi-domain vertical alignment pixel structure and fabrication method thereof
US7592247B2 (en) 2006-10-04 2009-09-22 International Business Machines Corporation Sub-lithographic local interconnects, and methods for forming same
US7553760B2 (en) * 2006-10-19 2009-06-30 International Business Machines Corporation Sub-lithographic nano interconnect structures, and method for forming same
US8343578B2 (en) 2006-10-30 2013-01-01 International Business Machines Corporation Self-assembled lamellar microdomains and method of alignment
US7560222B2 (en) 2006-10-31 2009-07-14 International Business Machines Corporation Si-containing polymers for nano-pattern device fabrication
CN102393548A (zh) 2006-10-31 2012-03-28 株式会社日本触媒 一种柔性光波导
US7514339B2 (en) 2007-01-09 2009-04-07 International Business Machines Corporation Method for fabricating shallow trench isolation structures using diblock copolymer patterning
KR20080069000A (ko) 2007-01-22 2008-07-25 삼성전자주식회사 액정 표시 장치
US8394483B2 (en) * 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US7767099B2 (en) 2007-01-26 2010-08-03 International Business Machines Corporaiton Sub-lithographic interconnect patterning using self-assembling polymers
WO2008096335A2 (en) 2007-02-07 2008-08-14 Yeda Research And Development Co. Ltd. Producing an array of nanoscale structures on a substrate surface via a self-assembled template
WO2008097300A2 (en) 2007-02-08 2008-08-14 Regents Of The University Of Minnesota Ion gels and electronic devices utilizing ion gels
US7964107B2 (en) 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US20090020924A1 (en) 2007-02-21 2009-01-22 Iowa State University Research Foundation, Inc. Drying-mediated self-assembly of ordered or hierarchically ordered micro- and sub-micro scale structures and their uses as multifunctional materials
US8431149B2 (en) 2007-03-01 2013-04-30 Boston Scientific Scimed, Inc. Coated medical devices for abluminal drug delivery
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US20080233297A1 (en) 2007-03-23 2008-09-25 Xerox Corporation Methods of forming a photoreceptor device having a self-assembled patterned binder layer
US7999160B2 (en) 2007-03-23 2011-08-16 International Business Machines Corporation Orienting, positioning, and forming nanoscale structures
US7888228B2 (en) 2007-04-05 2011-02-15 Adesto Technology Corporation Method of manufacturing an integrated circuit, an integrated circuit, and a memory module
US7959975B2 (en) * 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US8294139B2 (en) * 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
DE102007024653A1 (de) 2007-05-26 2008-12-04 Forschungszentrum Karlsruhe Gmbh Stempel für das Mikrokontaktdrucken und Verfahren zu seiner Herstellung
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
KR101291223B1 (ko) 2007-08-09 2013-07-31 한국과학기술원 블록 공중합체를 이용한 미세 패턴 형성 방법
US7732533B2 (en) * 2007-08-31 2010-06-08 Micron Technology, Inc. Zwitterionic block copolymers and methods
US8083958B2 (en) 2007-12-05 2011-12-27 International Business Machines Corporation Patterning method using a combination of photolithography and copolymer self-assemblying lithography techniques
WO2009079241A2 (en) 2007-12-07 2009-06-25 Wisconsin Alumni Research Foundation Density multiplication and improved lithography by directed block copolymer assembly
KR20090076408A (ko) 2008-01-08 2009-07-13 삼성에스디아이 주식회사 이종상 백금 촉매 및 이를 이용한 태양전지
US7989026B2 (en) 2008-01-12 2011-08-02 International Business Machines Corporation Method of use of epoxy-containing cycloaliphatic acrylic polymers as orientation control layers for block copolymer thin films
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US7696085B2 (en) * 2008-02-20 2010-04-13 International Business Machines Corporation Dual damascene metal interconnect structure having a self-aligned via
US7906031B2 (en) 2008-02-22 2011-03-15 International Business Machines Corporation Aligning polymer films
US8168468B2 (en) 2008-02-29 2012-05-01 Freescale Semiconductor, Inc. Method of making a semiconductor device including a bridgeable material
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8114300B2 (en) 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8114468B2 (en) 2008-06-18 2012-02-14 Boise Technology, Inc. Methods of forming a non-volatile resistive oxide memory array
US8211737B2 (en) 2008-09-19 2012-07-03 The University Of Massachusetts Method of producing nanopatterned articles, and articles produced thereby
US8088551B2 (en) * 2008-10-09 2012-01-03 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8173034B2 (en) * 2008-11-17 2012-05-08 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8314206B2 (en) * 2008-12-02 2012-11-20 Micron Technology, Inc. Block copolymer-comprising compositions and methods of purifying PS-b-PXVP
JP5281386B2 (ja) 2008-12-22 2013-09-04 株式会社日立製作所 高分子薄膜及びパターン媒体並びにこれらの製造方法
US8114306B2 (en) 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
US8834956B2 (en) * 2009-06-22 2014-09-16 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8206601B2 (en) 2009-12-18 2012-06-26 Hitachi Global Storage Technologies Netherlands B.V. Supporting membranes on nanometer-scale self-assembled films
JPWO2011102140A1 (ja) 2010-02-19 2013-06-17 東京エレクトロン株式会社 半導体装置の製造方法
US9393092B2 (en) 2010-06-25 2016-07-19 Nanovis, Inc. Method for producing nanosurfaces with nano, micron, and/or submicron structures on a polymer
JP5885143B2 (ja) 2010-10-07 2016-03-15 東京応化工業株式会社 ガイドパターン形成用ネガ型現像用レジスト組成物、ガイドパターン形成方法、ブロックコポリマーを含む層のパターン形成方法
US8734904B2 (en) 2010-11-30 2014-05-27 International Business Machines Corporation Methods of forming topographical features using segregating polymer mixtures
US20120135159A1 (en) 2010-11-30 2012-05-31 Seagate Technology Llc System and method for imprint-guided block copolymer nano-patterning
US20120164389A1 (en) 2010-12-28 2012-06-28 Yang Xiaomin Imprint template fabrication and repair based on directed block copolymer assembly
US9299381B2 (en) 2011-02-07 2016-03-29 Wisconsin Alumni Research Foundation Solvent annealing block copolymers on patterned substrates
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
EP2594995A1 (en) 2011-11-16 2013-05-22 University College Cork A method for providing a nanopattern of metal oxide nanostructures on a substrate
US8835581B2 (en) 2012-06-08 2014-09-16 Az Electronic Materials (Luxembourg) S.A.R.L. Neutral layer polymer composition for directed self assembly and processes thereof
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
JP5758422B2 (ja) 2013-02-19 2015-08-05 株式会社東芝 パターン形成方法
US8980538B2 (en) 2013-03-14 2015-03-17 Tokyo Electron Limited Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070175959A1 (en) 2006-01-31 2007-08-02 Shelton Frederick E Iv Motor-driven surgical cutting and fastening instrument with articulatable end effector

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Shuaigang Xiao 등, "Graphoepitaxy of cylinder-forming block copolymers for use as templates to pattern magnetic metal dot arrays", Nanotechnology, vol.16, no.7, 2005년 7월 1일

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101540883B1 (ko) * 2013-07-25 2015-07-30 아르끄마 프랑스 블록 공중합체 및 블록 중 하나의 (공)중합체의 배합물에서 수득한 형태를 특정화하는 구간을 제어하는 방법

Also Published As

Publication number Publication date
EP2281299A1 (en) 2011-02-09
CN101978469B (zh) 2012-11-21
US8633112B2 (en) 2014-01-21
EP2281299B1 (en) 2014-12-10
US20090236309A1 (en) 2009-09-24
CN101978469A (zh) 2011-02-16
TW201003741A (en) 2010-01-16
US20190115252A1 (en) 2019-04-18
US20120223053A1 (en) 2012-09-06
TWI391992B (zh) 2013-04-01
KR20100128334A (ko) 2010-12-07
US8426313B2 (en) 2013-04-23
WO2009117243A1 (en) 2009-09-24
US11282741B2 (en) 2022-03-22
US9315609B2 (en) 2016-04-19
JP2011515537A (ja) 2011-05-19
JP5136869B2 (ja) 2013-02-06
US20140107296A1 (en) 2014-04-17
US10153200B2 (en) 2018-12-11
US20160218032A1 (en) 2016-07-28

Similar Documents

Publication Publication Date Title
KR101121303B1 (ko) 상부 계면이 두 블록에 동등한 선호도로 습윤성을 나타내도록 구속되는 블록 공중합체 필름의 열 어닐링
US8455082B2 (en) Polymer materials for formation of registered arrays of cylindrical pores
KR101262460B1 (ko) 1-차원 어레이의 블록 공중합체 원통 그리고 그 적용
US9142420B2 (en) Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
KR101254389B1 (ko) 이온성 액체를 이용하여 블록 공중합체 필름의 자기조립에서 장거리 질서를 개선하는 방법
KR101097557B1 (ko) 블록 공중합체 자기 조립에 의하여 형성되는 서브 리소그라피 지름을 갖는 2차원 홀 어레이

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150119

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160119

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170119

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180202

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190130

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20200211

Year of fee payment: 9