JP5136869B2 - 等しい優先性で両ブロックを湿潤にするために、制約を受ける上部界面を有するブロック共重合体膜の熱アニーリング - Google Patents

等しい優先性で両ブロックを湿潤にするために、制約を受ける上部界面を有するブロック共重合体膜の熱アニーリング Download PDF

Info

Publication number
JP5136869B2
JP5136869B2 JP2011500852A JP2011500852A JP5136869B2 JP 5136869 B2 JP5136869 B2 JP 5136869B2 JP 2011500852 A JP2011500852 A JP 2011500852A JP 2011500852 A JP2011500852 A JP 2011500852A JP 5136869 B2 JP5136869 B2 JP 5136869B2
Authority
JP
Japan
Prior art keywords
block copolymer
cylindrical
phase
copolymer material
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011500852A
Other languages
English (en)
Other versions
JP2011515537A (ja
Inventor
ビー. ミルウォード,ダン
エイ. クイック,ティモシー
Original Assignee
マイクロン テクノロジー, インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー, インク. filed Critical マイクロン テクノロジー, インク.
Publication of JP2011515537A publication Critical patent/JP2011515537A/ja
Application granted granted Critical
Publication of JP5136869B2 publication Critical patent/JP5136869B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F299/00Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers
    • C08F299/02Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers from unsaturated polycondensates
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F299/00Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers
    • C08F299/02Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers from unsaturated polycondensates
    • C08F299/04Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers from unsaturated polycondensates from polyesters
    • C08F299/0485Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers from unsaturated polycondensates from polyesters from polyesters with side or terminal unsaturations
    • C08F299/0492Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers from unsaturated polycondensates from polyesters from polyesters with side or terminal unsaturations the unsaturation being in acrylic or methacrylic groups
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0128Processes for removing material
    • B81C2201/013Etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0198Manufacture or treatment of microstructural devices or systems in or on a substrate for making a masking layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Nanotechnology (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Treatments Of Macromolecular Shaped Articles (AREA)
  • Formation Of Insulating Films (AREA)
  • Manufacture Of Macromolecular Shaped Articles (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)

Description

本発明の実施形態は自己組織化ブロック共重合体の薄膜を作製する方法、およびその方法の結果として生じるデバイスに関する。
ナノスケールの機械的、電気的、化学的および生物学的デバイスならびにシステムの開発が進むにつれて、ナノスケールデバイスおよびコンポーネントを作製するために新規プロセスおよび材料が必要とされる。従来のリソグラフィーによっては容易に到達できないサイズへと半導体フィーチャの寸法が縮小するにつれて、導電性ラインに対する電気接点を製造することは、重大な課題となる。光学リソグラフィー処理方法は、サブ60ナノメートルレベルでの構造およびフィーチャを作製する上で困難を有する。自己組織化ジブロック共重合体の使用は、ナノスケール寸法におけるパターン化に対する別の手段を提供する。ジブロック共重合体膜は、アニーリング(例えば、ポリマーのガラス転移温度以上の熱アニーリングもしくは溶媒アニーリング)後、成分ポリマーブロックのミクロ相分離によって周期的構造へと自然と組織化して、ナノメートルスケール寸法において秩序のあるドメインを形成する。
(ミクロ相分離されたドメインのサイズおよび形状を含む)膜形態は、ジブロック共重合体のABブロックの分子量および体積分率によって制御することができ、とりわけ、ラメラ、円筒状、もしくは球状の形態を生成する。例えば、ジブロックポリマーの二つのブロック(AB)の約80:20よりも大きい割合における体積分率に対して、ブロック共重合体膜はミクロ相分離し、ポリマーAのマトリクスによって包囲されたポリマーBの球体を有する周期的球状ドメインへと自己組織化する。約60:40から80:20の間の2ブロックの割合に対しては、ジブロック共重合体は、ポリマーAのマトリクス内のポリマーBの円筒の周期的六角形密集型もしくはハニカムアレイへと組織化する。約50:50から60:40の間の割合に対しては、ブロックのラメラドメインもしくは交互の縞模様が形成される。ドメインサイズは通常5−50nmに及ぶ。
自己組織化ブロック共重合体(BCP)のリソグラフィーに対する多くの適用は、両ドメインが湿潤であり、空気界面で露出された基板に対して、自己組織化ドメインを垂直に配向することを必要とする。エッチングマスクを形成するためにポリマーブロックのうちの一つを選択的に除去することによって、垂直に配向された間隙構造は、その後、下部基板をエッチングするために使用することができる。
空気中もしくは真空中の大部分のBCP(例えば、PS−b−PVPなど)の従来の熱アニーリングは、通常、あるブロックが空気と蒸気の界面を優先的に湿潤にする結果をもたらす。ゾーンアニーリングと呼ばれる熱アニーリングの変形例は、(例えば、分単位で)迅速な自己組織化を提供することができるが、空気蒸気界面を等しく湿潤にするポリマードメインを有する少数のBCP(例えば、PS−b−PMMA、PS−b−PLA)に対してのみ有効である。基板に対する自己組織化ドメインの垂直配向を生成するためにBCPの溶媒アニーリングが使用されてきたが、それは通常(通常、日単位での)非常に遅いプロセスであり、大量の溶媒を必要とする可能性がある。典型的な溶媒アニーリングは、25℃で少なくとも12時間(しばしばそれよりも長時間)BCP膜を飽和溶媒雰囲気へと暴露することによって実施される。
これらの課題を克服し、秩序あるナノ構造のアレイの膜を作製する方法を提供することは有用である。
本発明の実施形態は以下に添付する図面に関連して以下に記述されるが、図面は例示の目的のみのためのものである。以下の図面を通して、参照番号は図面において使用され、同一の参照番号は、同一もしくは類似する部分を示すために、幾つかの図面にわたって、ならびに説明において使用される。
本開示の一実施形態に従う、予備処理段階における基板の一部の俯瞰図を示し、その上に中性湿潤材料を有する基板を示す。 直線1A−1Aに沿って描かれた図1に示された基板の立面断面図である。 直線1B−1Bに沿って描かれた図1に示された基板の立面断面図である。 その後の段階における図1の基板の俯瞰図を示し、中性湿潤材料上に形成された材料層内のトレンチ形成を示す。 直線2A−2Aに沿って描かれた、図2に示された基板の一部の立面断面図を示す。 直線2B−2Bに沿って描かれた、図2に示された基板の一部の立面断面図を示す。 本開示の別の実施形態に従う予備処理段階における基板の一部の立面側面図を示し、基板上に形成された材料層内のトレンチを有する基板を示す。 その後の段階における、図3の基板の立面側面図を示し、トレンチ内の中性湿潤材料の形成を示す。 その後の段階における、図2の基板の俯瞰図であり、トレンチ内のブロック共重合体材料を示す。 直線5A−5Aに沿って描かれた、図5に示された基板の一部の立面断面図である。 直線5B−5Bに沿って描かれた、図5に示された基板の一部の立面断面図である。 その後の段階における、図5の基板の俯瞰図であり、ゾーンアニーリング技術による、本発明の一実施形態に従う、膜の一部のアニーリングを示す。 直線6A−6Aに沿って描かれた、図6に示された基板の立面断面図であり、膜をゾーンアニーリングするための加熱デバイスの一実施形態を示す。 直線6B−6Bに沿って描かれた、図6に示された基板の立面断面図である。 その後の段階における、図5の基板の俯瞰図であり、ゾーンアニーリング技術による、本発明の一実施形態に従う、膜の一部のアニーリングを示す。 直線7A−7Aに沿って描かれた、図7に示された基板の立面断面図であり、膜をゾーンアニーリングするための加熱デバイスの一実施形態を示す。 その後の段階における、図5の基板の俯瞰図であり、ゾーンアニーリング技術による、本発明の一実施形態に従う、膜の一部のアニーリングを示す。 直線8A−8Aに沿って描かれた、図8に示された基板の立面断面図であり、膜をゾーンアニーリングするための加熱デバイスの一実施形態を示す。 本発明の方法の別の実施形態に従う、その後の段階における、図5の基板の俯瞰図であり、アニーリング中のブロック共重合体材料上の非優先的湿潤材料の配置を示す。 直線9A−9Aに沿って描かれた、図9に示された基板の立面断面図である。 直線9B−9Bに沿って描かれた、図9に示された基板の立面断面図である。 その後の段階における図9Aに示された基板の断面図であり、アニーリングされた自己組織化ブロック共重合体材料および、アニーリング後の非優先的湿潤材料の除去を示す。 その後の段階における図9Bに示された基板の断面図であり、アニーリングされた自己組織化ブロック共重合体材料および、アニーリング後の非優先的湿潤材料の除去を示す。 その後の段階における図8の基板の俯瞰図であり、ポリマーブロックのうちの一つを除去した後基板をエッチングするためのマスクとして、自己組織化ブロック共重合体膜を使用し、エッチングされた開口を充填する一実施形態を示す。 直線11A−11Aに沿って描かれた、図11に示された基板の一部の立面断面図である。 直線11B−11Bに沿って描かれた、図11に示された基板の断面図である。 その後の段階における図8の基板の俯瞰図であり、ポリマーブロックのうちの一つを除去した後基板をエッチングするためのマスクとして、自己組織化ブロック共重合体膜を使用し、エッチングされた開口を充填する一実施形態を示す。 直線12A−12Aに沿って描かれた、図12に示された基板の一部の立面断面図である。 直線12B−12Bに沿って描かれた、図12に示された基板の断面図である。 その後の段階における図8の基板の俯瞰図であり、ポリマーブロックのうちの一つを除去した後基板をエッチングするためのマスクとして、自己組織化ブロック共重合体膜を使用し、エッチングされた開口を充填する一実施形態を示す。 直線13A−13Aに沿って描かれた、図13に示された基板の一部の立面断面図である。 直線13B−13Bに沿って描かれた、図13に示された基板の断面図である。
図面に関連する以下の記述は、本発明の実施形態に従うデバイスおよび方法の例示的実施例を提供する。このような記述は、例示的な目的のみのためであって、それを限定する目的のためのものではない。
本出願の文脈においては、用語“半導体基板”“半導電性基板”“半導電性ウェーハフラグメント”“ウェーハフラグメント”もしくは“ウェーハ”は、半導体ウェーハ(それ自体もしくは他の材料をその上に含むアセンブリのいずれか)および半導電性材料層(それ自体もしくは他の材料をその上に含むアセンブリのいずれか)などのバルク半導電性材料を含むがそれに限定はされない半導体材料を含むあらゆる構造を意味するものとして理解されるであろう。用語“基板”は、上述された半導電性基板、ウェーハフラグメントもしくはウェーハを含むがそのいずれにも限定はされないあらゆる支持構造のことを称する。
本明細書で使用される“L”は、自己組織化(SA)ブロック共重合体からアニーリングによって自己組織化する構造の固有の周期性もしくはピッチ値(バルク周期もしくは繰り返しユニット)である。本明細書で使用される“L”は、一つ以上の成分ホモポリマーを有するブロック共重合体のブレンドの周期性もしくはピッチ値である。“L”は、本明細書においては、ブロック共重合体もしくはブレンドの円筒の中心間の円筒のピッチ、または円筒の間隔を示すために使用され、“L”は純粋なブロック共重合体に対して、“L”は共重合体ブレンドに対して等価である。
本発明の実施形態においては、ポリマー材料(例えば、膜、層)は、空気界面における両ポリマードメインとともに、ブロック共重合体の誘導自己組織化によって準備される。ブロック共重合体材料は、アニーリング後に成分ポリマーブロックのミクロ相分離によって、周期的構造へと自然に組織化し、トレンチ内にナノメートルスケール寸法における垂直方向円筒の秩序ドメインを形成する。
図1−図8に関連して、本発明の一実施形態に従う、ナノメートルスケールで、垂直方向円筒の一次元(1−D)アレイを画定する自己組織化ブロック共重合体材料を作製するための方法が示される。
記述された実施形態は、溶媒雰囲気下での円筒相ブロック共重合体の熱アニーリングを含む。このアニーリングが、グラフォエピタキシー技術と組み合わせて実施される。グラフォエピタキシー技術は、両ポリマーブロックに対して中性湿潤である材料で構成された底面と、あるポリマーブロックに対して優先的に湿潤である側壁および終端と、を有し、ガイドとしてリソグラフィーによって画定されたトレンチを使用して、ブロック共重合体の自己組織化を誘発するための制約として機能する。ブロック共重合体は、トレンチ底面に対して垂直に配向されたポリマーマトリクスにおける円筒の単一列の秩序のある1−Dアレイへと自己組織化し、トレンチ側壁に対してレジスト化される。幾つかの実施形態においては、二列以上の垂直方向円筒を、各トレンチ内に形成することができる。
図1−図1Bに示されるように、基板10が提供され、これは、例えば、他の材料の中でもとりわけ、シリコン、シリコン酸化物、シリコン窒化物、シリコン酸窒化物、シリコン酸炭化物でありうる。さらに示されるように、導電性ライン12(もしくは、例えば半導電性領域などの他のアクティブ領域)が基板10内に配置される。
あらゆる記述された実施形態においては、単一のトレンチもしくは複数のトレンチを基板内に形成することができ、それは、ライン(もしくは他のアクティブ領域)のアレイの全幅に及ぶ可能性がある。本発明の実施形態においては、基板10は、ピッチLで導電性ライン12(もしくは他のアクティブ領域)のアレイを有するように提供される。トレンチもしくは複数のトレンチは、アクティブ領域12(例えば、ライン)上に形成され、ブロック共重合体材料がアニーリングされるとき、各円筒は、単一のアクティブ領域12(例えば導電性ライン)上に配置される。幾つかの実施形態においては、複数のトレンチは、Lの5%以下でお互いに対して配置されるかわずかにオフセットされた、隣接する各トレンチ18の終端(24)を有するように形成され、隣接するトレンチ内の円筒は、同一のライン12上に配列され、配置される。
示された実施形態においては、中性湿潤材料14(例えば、ランダム共重合体)は、基板10上に形成される。材料層16(もしくは一つ以上の材料層)は、その後、中性湿潤材料上に形成され、図2−図2Bに示されるように、導電性ライン12のアレイに対して垂直に配向されたトレンチ18を形成するためにエッチングされる。材料層16の一部は、トレンチの外側およびトレンチの間に、スペーサ20を形成する。トレンチ18は、対立する側壁22、対立する終端24、底面26、幅(w)、長さ(l)、および深さ(D)で構成される。
別の実施形態においては、図3に示されるように、材料層16’は基板10’上に形成され、トレンチ18’を形成するためにエッチングされ、図4に示されるように、中性湿潤材料14’はその後トレンチ底面26’上に形成することができる。例えば、ランダム共重合体材料は、トレンチ18’内に堆積することができ、中性湿潤材料層を形成するために架橋することができる。スペーサ20’上などのトレンチ外側の表面上の材料(例えば、架橋されていないランダム共重合体)は、その後除去することができる。
(示されるような)単一もしくは複数のトレンチ18は、L(10−100nm)のスケールでパターン化することが可能な暴露システムを有するリソグラフィーツールを使用して、形成することができる。このような暴露システムは、本技術分野で既知の、例えば、極紫外線(EUV)リソグラフィー、近接X線および電子ビーム(e−ビーム)リソグラフィーを含む。従来のフォトリソグラフィーは、(最小で)約58nmフィーチャを実現することができる。
“ピッチダブリング”もしくは“ピッチマルチプリケーション”と呼ばれる方法は、その最小ピッチを超えてフォトリソグラフィー技術の性能を拡張するために使用することもでき、例えば、米国特許整理番号5,328,810(Lowreyら)、米国特許整理番号7,115,525(Abatchevら)、US2006/0281266(Wells)およびUS2007/0023805(Wells)に記述されている。簡潔に言うと、ラインのパターンは、基板を被覆する拡張可能材料層を被覆するフォトレジスト材料内にフォトリソグラフィーによって形成され、拡張可能材料層はエッチングされてプレースホルダもしくはマンドリルを形成し、フォトレジストは剥がされて、マンドリルの側面上にスペーサが形成され、マンドリルはその後除去されて、基板をパターン化するためのマスクとしてスペーサを残す。したがって、最初のフォトリソグラフィーが1フィーチャと1スペースを画定するパターンを形成した場合には、同一の幅が、いまや、スペーサによって画定されたスペースとともに、2フィーチャと2スペースを画定する。その結果として、フォトリソグラフィー技術で生じうる最小フィーチャサイズは、約30nm以下へと効率的に減少する。
トレンチ内の垂直方向のナノ円筒の単一(1−D)アレイもしくは層を形成する上での要因は、トレンチの幅(w)および深さ(D)、所望のピッチ(L)を得るためのブロック共重合体もしくはブレンドの形成、トレンチ内のブロック共重合体材料の厚さ(t)を含む。
例えば、両方の成分ホモポリマーの追加による三種ブレンドの形成によって、トレンチの幅(w)が減少する、ならびに/または、ブロック共重合体の周期性(L値)が増加するにつれて、トレンチの中心内の垂直円筒の、二列からの一列へのシフトが生じる。x軸およびy軸両方におけるトレンチ側壁の境界条件は、各トレンチがn個のフィーチャ(例えば、円筒)を包含する構造を課す。例えば、中性湿潤底面を有する75nm幅のトレンチへと堆積された、35nmのピッチもしくはL値を有するブロック共重合体もしくはブレンドは、アニーリングによって、結果として、17.5nm直径(=0.5*L)の垂直円筒のジグザグパターンを生じる。その垂直円筒は、トレンチの中心に向かう側壁と配列された垂直円筒の単一列というよりはむしろ、トレンチの長さ(l)に対して、ピッチ距離の約1/2(約0.5*L)の分だけオフセットされる。
示された実施形態においては、トレンチ18は、ブロック共重合体の約1.5−2*Lの幅(w)(もしくは、1.5−2Xピッチ値)で構成され、キャスティングされた約Lのブロック共重合体材料(もしくはブレンド)は、アニーリングによって、Lに等しいかまたは約Lの隣接円筒の中心間ピッチ距離(p)を有する、垂直円筒(直径=0.5*L)の単一列へと自己組織化する。例えば、約50nmピッチ値もしくはLを有する円筒相ブロック共重合体の使用においては、トレンチ18の幅(w)は、約1.5−2*50nmか約75−100nmでありうる。トレンチの長さ(l)は、n*Lに等しいかまたは約n*L、またはLの整数倍であり、通常約n*10から約n*100nmの範囲内にある(ただし、nはフィーチャもしくは構造(例えば、円筒)の数である)。トレンチ18の深さ(D)は、Lに等しいかそれよりも大きい(D>L)。隣接するトレンチ間のスペーサ20の幅は、変化する可能性があり、通常約Lから約n*Lである。幾つかの実施形態においては、トレンチ寸法は約20−100nmの幅(w)、約100−25000nmの長さ(l)、約10−100nmの深さ(D)である。
(図5−図5Bに示されるように)Lに等しいかまたは約Lの固有ピッチを有する自己組織化円筒相ブロック共重合体材料28(もしくはLに等しいかまたは約Lのピッチを有するよう混合されたブロック共重合体とホモポリマーとの3種ブレンド)は、通常は膜としてトレンチ18へと堆積される。
ブロック共重合体(もしくはブレンド)は、全ポリマーブロックがトレンチ底面上の中性湿潤材料に対して等価な優先性を有するように構成される。ブロック共重合体材料は、欠陥許容性、開発容易性および/もしくはあるブロックの除去の容易性などの所望の特性を提供するように構成することができる。本発明の幾つかの実施形態においては、ブロック共重合体もしくはブレンドは、少数ドメインを選択的に除去できるように構成される。
ジブロック共重合体の実施例は、示された実施形態において使用されたPS−b−PVPとともに、とりわけ、例えば、ポリ(スチレン)−b−ポリ(ビニルピリジン)(PS−b−PVP)、ポリ(スチレン)−b−ポリ(メチルメタクリレート)(PS−b−PMMA)もしくは他のPS−b−ポリ(アクリレート)もしくはPS−b−ポリ(メタクリレート)、ポリ(スチレン)−b−ポリ(ラクチド)(PS−b−PLA)、ポリ(スチレン)−b−ポリ(tert−ブチルアクリレート)(PS−b−PtBA)およびポリ(スチレン)−b−ポリ(エチレン−コ−ブチレン)(PS−b−(PS−co−PB))、ポリ(スチレン)−b−ポリ(エチレンオキサイド)(PS−b−PEO)、ポリ(イソプレン)−b−ポリ(エチレンオキサイド)(PI−b−PEO)、ポリ(イソプレン)−b−ポリ(メチルメタクリレート)(PI−b−PMMA)、ポリ(ブタジエン)−b−ポリ(エチレンオキサイド)(PBD−b−PEO)、PSとPEOブロックの間のトリフェニルメチル(トリチル)エーテル結合などの、開裂可能な接合を有するPS−b−PEOブロック共重合体(KCl、KI、LiCl、LiI、CsCl、もしくはCsIなどの塩の希釈濃度(例えば、約1重量%)で任意に錯体形成される(Zhangら、Adv. Mater. 2007, 19, 1571-1576))、自己組織化円筒の直径よりも小さいサイズのPEOコーティングされた金ナノ粒子をドープされたPS−b−PMMAブロック共重合体(Parkら、Macromolecules, 2007, 40(11), 8119-8124)、および、ジチオール基などの開裂可能な接合を有するポリ(スチレン)−b−ポリ(2−ビニルピリジン)(PS−b−P2VP)ブロック共重合体を含む。他のタイプのブロック共重合体(すなわち、トリブロックもしくはマルチブロック共重合体)が使用される可能性がある。トリブロック共重合体の実施例は、とりわけ、ポリ(スチレン−b−メチルメタクリレート−b−エチレンオキサイド)(PS−b−PMMA−b−PEO)などのABC共重合体、ならびに、PS−PMMA−PS、PMMA−PS−PMMA、PS−b−PI−b−PSなどのABA共重合体を含む。
ミクロ相分離されたドメインのドメインサイズおよび周期(L)を含む膜形態は、ブロック共重合体の鎖長(分子量、MW)と、ジブロック共重合体のABブロックの体積分率によって制御することができ、(とりわけ)円筒状の形態を生成する。例えば、二つのブロックの通常約60:40から80:20(A:B)の割合の体積分率に対しては、ジブロック共重合体はミクロ相分離し、ポリマーAのマトリクス内のポリマーBの周期性円筒状ドメインへと自己組織化する。PSのマトリクスにおける直径約14nmの円筒状PVPドメインを形成するための円筒形成PS−b−PVP共重合体材料(L〜28nm)の実施例は、44.5kg/molの全分子量(M)を有し、約70重量%のPSと30重量%のPVPで構成される。PSのマトリクスにおける直径約20nmの円筒状PMMAドメインを形成するための円筒形成PS−b−PMMA共重合体材料(L=35nm)の実施例は、67kg/molの全分子量(M)を有し、約70重量%のPSと30重量%のPMMAで構成される。別の実施例として、PS−b−PLA共重合体材料(L=49nm)は、約60.5kg/molの全分子量(M)を有し、約71重量%のPSと29重量%のPLAで構成することができ、PSマトリクスにおいて直径約27nmの円筒状PLAドメインを形成する。
ブロック共重合体のL値は、例えば、ブロック共重合体の分子量を調整することによって改変することができる。ブロック共重合体材料は、ブロック共重合体と、そのブロック共重合体におけるポリマーブロックと同タイプのポリマーの一つ以上のホモポリマー(HP)とを含む二種もしくは三種のブレンドとして形成することもでき、ポリマードメインのサイズを増加させ、L値を増加させるブレンドを生成する。ブレンドにおけるホモポリマーの濃度は、0から約60重量%の範囲にわたる可能性がある。
三種のジブロック共重合体ブレンドの実施例は、PS−b−P2VP/PS/P2V2ブレンドであり、例えば、60重量%、32.5K/12KのPS−b−P2V2、20重量%、10KのPS、20重量%、10KのP2V2のブレンドである。三種のジブロック共重合体ブレンドの別の実施例は、PS−b−PMMA/PS/PMMAブレンドであり、例えば、60重量%、46K/21KのPS−b−PMMA、20重量%、20Kのポリスチレンおよび20重量%、20Kのポリ(メチルメタクリレート)のブレンドである。さらに別の実施例は、PS−b−PEO/PS/PEOの60:20:20(重量%)のブレンドであるか、または、約85−90重量%のPS−b−PEOと10−15重量%までのPEOとのブレンドである。追加されるPEOホモポリマーは、PSの表面エネルギーよりもPEOドメインの表面エネルギーを少なくとも部分的に低下させるよう機能してもよいと考えられる。
本実施形態においては、トレンチ底面26は、中性湿潤(共重合体の両ブロックに対して等価親和性)であるように構成され、トレンチ底面に対して垂直に配向された円筒状ポリマードメイン形成を誘発する。トレンチ側壁22および終端24は、ブロック共重合体のあるブロックに優先的に湿潤であるように構成され、ポリマーブロックが自己組織化するにつれて、側壁に対する円筒のレジスト化を誘発する。トレンチ表面の湿潤特性に応じて、アニーリングによって、円筒相ブロック共重合体の優先的もしくは少数ブロックは自己組織化し、トレンチの長さに対してポリマーマトリクスの中心において円筒状ドメインの単一列を形成し、トレンチの側壁および終端に対して分離し、薄い界面ブラシもしくは湿潤層を形成する(図6−図6Bに示されるように)。エントロピー力は、中性湿潤表面を両ブロックによって湿潤にさせ、エンタルピー力は、優先ブロック(例えば、少数ブロック)によって、優先的湿潤表面を湿潤にさせる。
優先的に湿潤な表面を提供するために、例えば、PS−b−PVP(もしくはPS−b−PMMAなど)ブロック共重合体の使用においては、材料層16は、他の材料の中でも、とりわけ、PVP(もしくはPMMAなど)ブロックに対して優先的に湿潤を示す、シリコン(自然酸化物を有する)、酸化物(例えばシリコン酸化物SiO)シリコン窒化物、シリコン酸炭化物、インジウムスズ酸化物(ITO)、シリコン酸窒化物、ならびにメタクリレートベースのレジスト、およびポリジメチルグルタルイミドレジストなどのレジスト材料で構成することができる。PS−b−PVP円筒相ブロック共重合体材料の使用においては、例えば、ブロック共重合体材料は自己組織化して、PSマトリクスにおける薄い界面層およびPVPの円筒を形成する。
他の実施形態においては、−OH含有部分を有するよう改変されたポリメチルメタクリレート(PMMA)ポリマーなどの優先的に湿潤な材料(例えば、ヒドロキシエチルメタクリレート)は、例えば、スピンコーティングとその後の(例えば約170℃までの)加熱によってトレンチ表面上に適用することができ、末端OH基がトレンチの酸化物側壁22および終端24に対してエンドグラフトするのを可能にする。グラフトされていない材料は、適切な溶媒(例えばトルエン)で洗浄することによって除去することができる。例えば、Manskyらによる、Science, 1997, 275, 1458-1460およびInらによるLangmuir, 2006, 22, 7855-7860を参照されたい。
中性湿潤なトレンチ底面26は、共重合体材料の両ブロックが、トレンチ底面を湿らせることを可能にする。中性湿潤材料14は、図2−図2Bに示されるように、基板10上に中性湿潤ポリマー(例えば、中性湿潤ランダム共重合体)を適用し、材料層16を形成し、その後、下部中性湿潤材料を露出するためトレンチをエッチングすることによって提供することができる。
図3−図4に示された別の実施形態においては、中性湿潤ランダム共重合体材料は、例えば、図4に示されるようなトレンチ内へのキャスティング(鋳造)もしくはスピンコーティングによるブランケットコートとして、トレンチ18’を形成した後に適用することができる。ランダム共重合体材料は、その後、熱的に処理されて、毛細管現象によってトレンチの底面へと材料を流入させ、その結果として、層(マット)14’は架橋された中性湿潤ランダム共重合体で構成される。別の実施形態においては、トレンチ内のランダム共重合体材料は、(例えば、マスクもしくはレチクルを介して)露光されて、トレンチ内のランダム共重合体を架橋し、中性湿潤材料14’を形成する。(例えば、スペーサ20’上の)トレンチ外側の架橋されていないランダム共重合体材料は、その後除去することができる。
中性湿潤表面は、ブロック共重合体におけるモノマーと一致したモノマーで構成されたランダム共重合体の適用によって特別に準備され、各モノマーのモル分率が、中性湿潤表面を形成するために適切なよう調整することができる。例えば、PS−b−PVPブロック共重合体の使用においては、中性湿潤材料14は、PSおよびPVPに対して非優先的もしくは中性湿潤を示す光架橋可能なランダムPS−r−PVPの薄膜から形成することができ、基板10上に(例えばスピンコーティングによって)キャスティングすることができる。ランダム共重合体材料は、(酸化物基板上の)化学的グラフト、または(あらゆる表面の)熱的もしくは、光分解による架橋によって配置を固定することができ、PSおよびPVPに対して中性湿潤であり、かつ、ブロック共重合体材料がその上にキャスティングされたとき、架橋によって不溶性であるマットを形成する。別の実施例においては、PS−b−PMMAの使用において、(例えば、約0.6モル分率のスチレンを含有する)光架橋可能なPS−r−PMMAランダム共重合体を使用することができる。
基板10が(自然酸化物を有する)シリコンである場合の実施形態においては、PS−b−PMMAに対して別の中性湿潤表面を、水素終端シリコンによって提供することができる。トレンチ18の底面26は、例えば水素プラズマでエッチングすることができ、酸化物材料を除去して、水素終端シリコンを形成する。それは、ブロック共重合体材料の両ブロックに対して等価親和性を有する中性湿潤である。水素終端シリコンは、従来のプロセス、例えば、フッ化水素(HF)水溶液および緩衝化HFもしくはフッ化アンモニウム(NHF)に対する暴露、HF蒸気処理、もしくは水素プラズマ処理(例えば原子状水素)による(自然酸化物の存在する、約12−15Åの)シリコン基板のフッ化物イオンエッチングによって、準備することができる。
水素終端シリコン基板は、さらに、基板上に選択的にPS−r−PVP、PS−r−PMMAなどのランダム共重合体をグラフトすることによって処理することができ、結果として、対応するブロック共重合体(例えば、PS−b−PVP、PS−b−PMMAなど)に対して中性湿潤表面を生じる。例えば、PS−r−PMMAランダム共重合体の中性湿潤層は、表面へとポリマーを結合する、ジビニルベンゼンなどのジオレフィンリンカーを使用する、スチレンおよびメチルメタクリレートのinsituフリーラジカル重合によって提供することができ、約10−15nmの厚さの膜を生成する。
別の実施形態においては、再度図3―図4に関連して、中性湿潤ランダム共重合体材料14’は、材料層16’およびトレンチ18’の形成後に適用することができ、(基板10’の材料で構成された)トレンチ底面26’に対して選択的に反応し、(材料層16’で構成された)トレンチ側壁22’および終端24’に対しては反応しない。例えば、エポキシ基を含有するランダム共重合体(もしくはホモポリマーとブロック共重合体界面活性剤との適切なブレンド)は、シリコン酸化物もしくはシリコンと比較して、シリコン窒化物およびシリコン酸窒化物表面上の終端アミン官能基(例えば、−NHおよび−NH)に選択的に反応する。トレンチ底面26’がシリコンもしくはポリシリコンであり、側壁22’が酸化物(例えば、SiO)などの材料である別の実施例においては、底面は、水素終端シリコンを形成するために処理することができ、ランダム共重合体材料14’(例えば、PS−r−PVP、PS−r−PMMAなど)は、底面表面のみでinsituで形成することができる。
別の実施形態においては、(例えば、PS−b−PMMAおよびPS−b−PEOに対する)中性湿潤表面は、例えばD.H.ParkによるNanotechnology 18(2007), p.355304に記述されているように、酸化物(例えばSiO)に対してグラフトされた3−(パラメトキシフェニル)プロピルトリクロロシランなどのトリクロロシランベースSAMの自己組織化単層(SAM)をグラフトすることによって提供することができる。
別の実施形態においては、(複数の)ヒドロキシル基を有するポリスチレン(PS)およびポリメタクリレート(PMMA)の中性湿潤ランダム共重合体(例えば、2−ヒドロキシエチルメタクリレート(P(S−r−MMA−r−HEMA))(例えば約58重量%PS)は、約48時間約160℃で加熱することによって、約5−10nm厚の中性湿潤層14として、基板10(例えば酸化物)に対して選択的にグラフトすることができる。例えば、InらによるLangmuir, 2006, 22, 7855-7860を参照されたい。
さらに別の実施形態においては、水酸基終端ホモポリマーおよび対応する低分子量ブロック共重合体のブレンドは、基板に対してグラフト(共有結合)することができ、他のブロック共重合体のなかでもとりわけ、PS−b−PMMAおよびPS−b−P2VPに対して中性湿潤界面層(例えば、約4−5nm)を形成する。ブロック共重合体は、グラフト前にホモポリマーブレンドを乳化するよう機能することができる。例えば、約20−50重量%(もしくは約30−40重量%)のOH終端ホモポリマー(例えばM=6K)と、約80−50重量%(もしくは約70−60重量%)の低分子量ブロック共重合体(例えば5K−5K)とのブレンドの(例えばトルエンにおける)約1重量%溶液は、基板10(例えばSiO)上にスピンコーティングされ、(例えば160℃で)加熱(焼付)され、例えば溶媒洗浄(例えばトルエン)によってグラフトされていない(非結合)ポリマー材料が除去される。例えば、中性湿潤材料は、約30重量%のPS−OH(M=6K)、PMMA−OH(M=6K)(重量比4:6)および70重量%のPS−b−PMMA(5K−5K)のブレンド、または、PS−OH(6K)、P2VP−OH(6K)およびPS−b−2PVP(8K−8K)の三種のブレンドなどから準備することができる。
PS−b−PMMAに対して中性湿潤である表面は、スチレンおよびメチルメタクリレートのベンゾシクロブテンもしくはアジドメチルスチレンによって官能化されたランダム共重合体(例えば、ポリ(スチレン−r−ベンゾシクロブテン−r−メチルメタクリレート(PS−r−PMMA−r−BCB))など、光もしくは熱的に架橋可能なランダム共重合体のブランケット層をスピンコーティングすることによって準備することもできる。例えば、このようなランダム共重合体は、約42重量%のPMMA、約(58−x)重量%のPSおよびx重量%(例えば約2−3重量%)のポリベンゾシクロブテンもしくはポリ(パラ−アジドメチルスチレン)のいずれかを含むことができる。アジドメチルスチレンによって官能化されたランダム共重合体は、UVによって光架橋される(例えば、約15秒から約30分間の1−5MW/cmの暴露)か、または(例えば、約4時間、約170℃において)熱的に架橋されて、中性湿潤層14として架橋されたポリマーマットを形成する。ベンゾシクロブテンによって官能化されたランダム共重合体は、(例えば、約4時間、約200℃において、もしくは約10分間約250℃において)熱的に架橋することができる。
図5−図5Bに示されるように、Lに等しいかまたは約Lの固有ピッチを有する自己組織化円筒相ブロック共重合体材料28(もしくはLに等しいかまたは約Lのピッチを有するよう混合されたブロック共重合体とホモポリマーとの3種ブレンド)は、ブロック共重合体材料のL値と等しいかまたは、ほぼその厚さ(t)まで(例えば、Lの約±20%)、トレンチ18内にキャスティングもしくは堆積することができる。アニーリング後(例えば、図6A)、厚さ(t)はL値に等しいか約Lであり、ブロック共重合体材料は自己組織化して、各トレンチ18内の単一列におけるポリマーマトリクス内の、約0.5*L(例えば、5−50nm、もしくは約20nm)の直径を有する円筒の単一層を形成する。ブロック共重合体28の厚さは、例えば、偏光解析法技術によって測定することができる。
ブロック共重合体材料は、例えばジクロロエタン(CHCl)もしくはトルエンなどの有機溶媒における、共重合体の希薄溶液(例えば、約0.25−2重量%溶液)から、スピンキャスティング(スピンコーティング)によって堆積することができる。毛細管力は、ブロック共重合体材料28を(例えば、単層よりも大きく)トレンチ18内へと引き込む。示されるように、ブロック共重合体材料の薄層もしくは膜28aはトレンチの外側、例えばスペーサ20上の材料層16上に堆積することができる。俯瞰図からわかるように、アニーリングによって、薄膜28aは、トレンチ内へ流入して、材料層16上に無組織ブラシ層を残す。
ブロック共重合体(BCP)材料28は、その後、ガラス転移温度以上で、部分的に飽和した濃度の有機溶媒を含有する蒸気相以下に加熱され、トレンチ表面の優先的湿潤および中性湿潤に従って、ポリマーブロックを相分離、かつ、自己組織化させて、図6−図6Bに示されるように自己組織化ポリマー材料30を形成する。特定の温度における中性湿潤蒸気界面を達成するための溶媒蒸気の適切な分圧は、使用されるブロック共重合体に少なくとも部分的に依存し、経験的に決定することができる。
ブロック共重合体は、ブロック共重合体材料のガラス転移温度(T)以上で分解もしくは滅成温度(T)以下の熱アニーリング温度で加熱される。例えば、PS−b−PVPブロック共重合体材料は、自己組織化形態を達成するため、約1−24時間、溶媒蒸気雰囲気において約150−275℃の温度でアニーリングすることができる。PS−b−PMMAブロック共重合体材料は、自己組織化形態を達成するため、約1−24時間、溶媒蒸気雰囲気において、約150−275℃の温度でアニーリングすることができる。
大部分の真空における熱アニーリングの適用においては、空気界面は、ポリマードメインのうちの一つに対して優先的に湿潤であり、BCP材料は、垂直構造へと配向されることはない。本発明の実施形態においては、加熱の間、BCP材料28は両ブロックに対して“良好な”溶媒(すなわち、両方の構成ブロックを良好に溶媒和する中性有機溶媒)の溶媒蒸気へと暴露される。
通常、溶媒アニーリングは二段階から構成される。第一段階においては、BCP材料は、膜を可塑化して鎖可動性を増加させるよう作用する溶媒蒸気に対して暴露され、それによってドメインを混合して、ポリマー材料のキャスティング由来の固有の秩序を失わせる。使用される有機溶媒は、ブロック共重合体材料におけるその溶解性に少なくとも部分的に基づいており、十分な溶媒分子がブロック共重合体材料に入り、ポリマードメインの秩序から無秩序への遷移を促進し、必要とされる分子の最配列を可能にする。溶媒の実施例は、ベンゼン、トルエン、キシレン、ジメソキシエタン、エチルアセテート、シクロヘキサノンなどの芳香族溶媒、ならびに、クロロホルム、メチレンクロライド、クロロホルム/オクタン混合物などの塩素化溶媒をとりわけ含む。第二段階においては、基板は、溶媒蒸気から除去され、溶媒および溶媒蒸気は、ポリマー材料外へと拡散して蒸発することができる。ブロック共重合他材料は、溶媒が材料から蒸発するにつれて、“乾燥”し始める。溶媒の蒸発は、高い指向性があり、BCP材料の“上部”(表面)からBCP材料の“底面”へと広がる溶媒濃度勾配をトレンチ底面において形成する。これによって、(界面における溶媒の分圧によって中性湿潤である)空気−表面界面で開始し、垂直方向円筒状ドメイン(34)の形成を伴ってトレンチの底面へと向かって駆動され、トレンチ側壁によって誘導され、空気界面(46)から基板表面(トレンチ底面)へと完全に伸長する、構造の配向および自己組織化を誘発する。
本発明の実施形態においては、溶媒によるBCP材料の膨張が許可されないように、基板10およびBCP材料28は、溶媒の沸点以上に加熱される。
ブロック共重合体材料上での部分的に飽和した溶媒蒸気相の使用は、溶媒アニーリングの第二段階と同様に、中性湿潤界面を提供する。BCP材料と蒸気界面周囲の空気における溶媒濃度は、飽和状態かそれ以下に維持され、中性湿潤界面を維持し、両(もしくは全)ポリマーブロックは、蒸気界面を等しく湿潤にする。空気およびトレンチ底面の両方は、中性湿潤であり、ドメインは、膜層を通して垂直に配向し、優先的湿潤側壁は側面の秩序を誘発する。
アニーリングされた共重合体材料30の結果として生じる形態(例えば、円筒の垂直配向)は、例えば、とりわけ、原子間力顕微鏡法(AFM)、透過電子顕微鏡法(TEM)、走査電子顕微鏡法(SEM)を使用して分析することができる。
本発明の実施形態においては、アニーリングは、溶媒雰囲気中のトレンチ内のブロック共重合体を全体的に加熱するステップによって実施される。
他の実施形態においては、ゾーンアニーリングが実施され、熱的エネルギー(例えば、加熱)の局所的適用によって、基板10上のトレンチ内のブロック共重合体材料28の一部もしくは一区画をアニーリングする。ゾーンアニーリングは、ブロック共重合体材料の迅速な(例えば、分単位での)自己組織化を提供することができる。
例えば、図6−図8に逐次的に示されるように、(蒸気雰囲気中の)基板10および熱的もしくは熱源32(もしくは組み合わせられた加熱および冷却源)は、お互いに対して移動する(例えば、矢印)ことができ、熱が基板10上(もしくは基板下)に適用される。初めに、BCP材料の一部のみがガラス転移温度以上に加熱され、その後、加熱ゾーンは、基板を横切って(もしくは、その逆に)“引っ張られる”。例えば、熱源32は、(示されていない)電動並進運動ステージなどの機構を使用して、並進運動設定速度(例えば、約0.05−10μm/秒)で基板10を横切って移動することができる。基板を横切って加熱ゾーンを引っ張ることは、全体的な熱アニーリングと比較して、結果として、迅速な処理およびより良好な秩序のある構造を生じることができる。
幾つかの実施形態においては、冷から温への温度勾配を基板上(もしくは下)に提供することができ、制御された速度で基板の一部を加熱し、その後冷却することができる。他の実施形態においては、基板は温から冷への温度勾配へと暴露することができ、BCP材料をアニーリングして、その後冷却する。
他の実施形態においては、BCP材料は秩序−無秩序温度以上に加熱して、その後、その温度以下(であるがガラス転移温度以上)に冷却することができ、例えば、欠陥を除去(融解)し、ブロック共重合体材料の秩序−無秩序温度(To−d)が分解温度(T)以下である場合には、材料を再結晶化することができる。秩序−無秩序温度はブロック共重合体の温度依存性、Chi値、鎖ごとのモノマー総数、モノマー成分によって定義される。
成分ポリマーブロックのうち、ガラス転移温度(T)以上に加熱されたブロック共重合体材料の一部だけが自己組織化し、十分に加熱されなかった材料の領域は無秩序で組織化されないままである。例えば、図6−図6Bに示されるように、最初は、トレンチ18a内のブロック共重合体材料は加熱し、アニーリングすることができ、自己組織化材料30を形成する。一方、トレンチ18b、18c内のアニーリングされていないブロック共重合体材料28は、無秩序のままである。ガラス転移温度(T)以上に加熱されたブロック共重合体材料28の一部だけが自己組織化する。その後、図7−図7Aに示されるように、基板の隣接部分を選択的に加熱することができ、結果としてトレンチ18b内のブロック共重合体材料は自己組織化する。例えば、図8−図8Aに示されるように、続いて基板の残りの領域の加熱を実施することができる。
アニーリングによって、円筒相ブロック共重合体材料28は、ブロック共重合体組成物(例えば、Lに等しいかまたは約Lの固有ピッチを有するPS−b−PVP)の特性および境界条件に応じて、ポリマー材料30(例えば膜)へと自己組織化する。境界条件は、トレンチ18の幅(w)ならびに、両方のポリマーブロック(例えばランダムグラフト共重合体)に対して中性かまたは非優先的湿潤を示すトレンチ底面26およびブロック共重合体の少数(優先)ブロック(例えば、PVPブロック)によって優先湿潤である側壁22を含むトレンチ表面の湿潤特性、トレンチ内のブロック共重合体材料26の表面と接触する中性もしくは非優先的溶媒の存在(もしくは、幾つかの実施形態においては、中性もしくは非優先的湿潤である膜または材料)によって提供される制約を含む。アニーリングによって、トレンチの側壁22へとレジスト化され、それに対して平行な円筒を有する、多数ポリマーブロック(例えばPS)のマトリクス36内の少数ポリマー(優先的)ブロック(例えばPVP)の垂直方向円筒34の一列(もしくは複数列)を生じる。円筒34の直径は、通常、0.5*Lに等しいかまたは約0.5*L(例えば、円筒間の中心間距離の約1/2)である。さらには、少数(優先的)ブロック(例えばPVP)は、トレンチ18の優先的湿潤側壁22および終端24に対して分離し、それらを湿潤にし、薄い界面もしくは通常、隣接する円筒34間の中心間距離の約1/4の厚さを有する湿潤ブラシ層34aを形成する。例えば、PVPブロックの層は、酸化物界面を湿潤にし、酸化物材料から遠くに方向づけられたPSドメインを取り付けられる。
幾つかの実施形態においては、自己組織化ブロック共重合体材料30は、円筒状ドメイン(円筒)34のアレイの単一層によって画定され、その各々は0.5*Lに等しいか約0.5*L(例えば、円筒間の中心間距離の約1/2)の直径で、トレンチの長さ(l)に従う列において、円筒の数(n)で、各円筒間の中心間距離(ピッチ距離p)はLに等しいかまたは約Lである。
任意で、ブロック共重合体材料がアニーリングされて秩序化された後に、共重合体材料は、ポリマーセグメント(例えば、PSセグメント)を架橋するために処理することができ、自己組織化ポリマーブロックの強度を固定し、かつ高める。ポリマーは、(例えば、深紫外線(DUV)放射を含む紫外線(UV)放射に対する暴露によって)本質的に架橋するように構成することができるか、または、共重合体材料のポリマーブロックのうちの一つは、架橋剤を含有するように形成することができる。
通常、(例えば、スペーサ18上の)膜28aは、結果として自己組織化するほど十分な厚さを有しない。任意で、図8−図8Aに示されるように、例えば、エッチング技術もしくは平坦化プロセスによって、非組織化薄膜28aは除去することができ、ほぼ均一な平面を提供する。例えば、トレンチ領域は、(示されていない)レチクルを介して選択的に露出することができ、トレンチ18内のアニーリングされた自己組織化ポリマー材料30のみを架橋し、その後、(例えば、スペーサ20上の)ブロック共重合体材料28aの架橋されていない部分を除去するために、適切な溶媒(例えばトルエン)での洗浄を適用することができ、トレンチ内にレジスト化された自己組織化ポリマー材料を残し、トレンチ上/外側の材料層16の表面を露出する。別の実施形態においては、アニーリングされたポリマー材料30は、全体的に架橋することができ、フォトレジスト材料が適用されて、トレンチ領域外のポリマー材料28aの領域をパターン化かつ露出して、ポリマー材料28aの露出部分を、例えば、酸素(O)プラズマ処理によって除去することができる。
図9に関連して、本発明の方法の別の実施形態においては、トレンチ内のブロック共重合体(BCP)材料28’の表面に対して、非優先的湿潤材料37’を適用している間に、熱アニーリングが実施される。幾つかの実施形態においては、非優先的湿潤材料37’は固体材料で構成され、その固体材料は、BCP材料28’上に物理的に配置することができ、例えば、架橋されたポリ(ジメチルシロキサン)(PDMS)エラストマー(例えば、Dow-CorningによるSylgard-184)もしくは他のエラストマーポリマー材料(例えば、シリコン、ポリウレタンなど)などの柔らかく、柔軟性もしくは弾性のある固体材料であり、中性湿潤である外部表面を提供する。固体材料は、(例えば、ランダム共重合体をグラフトすることによって)誘導体化することができ、それは中性湿潤表面を提供する。
ブロック共重合体材料38’の表面と接触する非優先的湿潤材料37’とともに、熱アニーリングプロセスが実施され(矢印、図9A−図9B)、それによって、トレンチ表面の優先的湿潤および中性湿潤ならびに被覆材料37’の非優先的(中性)湿潤に応じて、ポリマーブロックを相分離させ、図10A−図10Bに示されるように自己組織化ポリマー材料30’を形成する。
アニーリング後、非優先的湿潤材料37’は、図10Aに示されるように、アニーリングされたポリマー材料30’との接点(矢印)から除去することができる。PDMSもしくは他のエラストマー材料層37’は、例えば、アニーリングされたポリマー材料の表面から材料を持ち上げる、もしくは剥ぐことによって除去することができる。さらには、水、アルコールなどのブロック共重合体材料30’を溶解せず、相溶性を有する溶媒を(例えば、ソーキングによって)適用することができ、物理的除去を高めるためにエラストマー材料(例えば、PDMS)を浸透し、膨張させる。希薄フッ化物溶液(例えば、NHF、HF、NaFなど)も適用することができ、アニーリングされたポリマー材料からPDMS材料を除去するためにそれをエッチングし、かつ、溶解させる。
自己組織化に続いて、基板上に形成された垂直方向円筒のパターンは、所望の場合には、その後さらに処理することができ、例えば、自己組織化ブロック共重合体の一ブロックの選択的な除去を介して、下部基板10へとナノサイズのフィーチャをパターン化するためのエッチングマスクを形成する。本方法に含まれるドメインサイズおよび周期(L)はブロック共重合体の鎖長(MW)によって決定されるため、解像度は、従来のフォトリソグラフィーなどの他の技術を上回ることができる。本技術を使用する処理コストは、同程度の解像度を有する極紫外線(EUV)フォトリソグラフィーよりも大幅に小さい。
例えば、図11−図11Bに示されるように、一実施形態においては、エッチングマスク38は、自己組織化ポリマー材料30の円筒状ポリマードメイン34を選択的に除去することによって形成することができ、トレンチ底面26における下部基板10を露出するために、ポリマーマトリクス36(例えばPS)内に開口40を生成する。例えば、円筒状ドメイン34は、選択的ウェットエッチング(例えば、UV暴露/酢酸現像によるPMMAおよびPLA、水酸化ナトリウムを含有する含水メタノール混合物によるPLA、含水ヨウ化水素酸もしくは水によるPEOなど)もしくは選択的反応性イオンエッチング(RIE)プロセスによって除去することができる。ブロック共重合体が開裂可能なリンカー基を含む実施形態においては、膜は、マイナードメインに対して選択的な溶媒に暴露することができる。例えば、その溶媒は、PVPに対してはアルコール、PEOもしくはPLAに対しては水、PMMAに対しては酢酸であり、マイナードメインを除去(例えば洗浄)するための開裂剤を含有する。図12−図12Bに示されるように、残存する多孔質ポリマー(例えばPS)マトリクス36は、その後、リソグラフィーテンプレートもしくはマスクとして使用することができ、下部基板10(もしくは下層)における導電性ライン12もしくは他のアクティブ領域(例えば、半導電性領域など)に対して、ナノメートルサイズの範囲(例えば、約10−100nm)で一連の円筒形状開口もしくは接触穴42をエッチングする(矢印)。開口42は、例えば、選択的反応性イオンエッチング(RIE)プロセスを使用して形成することができる。
所望の場合には、その後、さらなる処理を実施することができる。例えば、図13−図13Bに示されるように、残存ポリマーマトリクス36は(例えば、プラズマOエッチングなどの酸化プロセスによるPS)除去することができ、基板開口42は、とりわけCu、Al、W、Si、Tiなどの金属か金属合金などの材料44で充填することができ、導電性ライン12に対する円筒状接点のアレイを形成する。基板における円筒状開口42は、金属−絶縁体−金属積層で充填することもでき、SiO、Al、HfO、ZrO、SrTiOなどの絶縁性材料でキャパシタを形成する。
本発明の実施形態は、溶媒アニーリングと組み合わせた熱アニーリングプロセスを使用し、溶媒アニーリング単独の場合と比較してより迅速な処理を提供し、実質的に全てのBCPに対して処理することのできるブロック共重合体(BCP)のタイプを拡張することができる。有機溶媒雰囲気と組み合わせてゾーンアニーリングを使用する実施形態においては、広範囲のブロック共重合体を処理することができ、迅速な速度で垂直方向ナノ構造(例えば、円筒)を形成する。
さらには、本開示の方法は、ポリマーマトリクスにおける垂直方向円筒で構成された自己組織化ジブロック共重合体膜を生成する手段を提供する。本方法は、電子ビームリソグラフィー、EUVフォトリソグラフィー、もしくは従来のフォトリソグラフィーよりも、より低コストで準備することのできる、ナノメートルスケールの秩序のあるレジスト化された素子を提供する。本発明によって生成され到達可能なフィーチャサイズは、従来のフォトリソグラフィーによっては容易に準備することはできない。記述された方法およびシステムは容易に使用することができ、既存の半導体製造プロセスフローへと組み込み、微細構造を作製するための低コスト、高スループット技術を提供することが可能である。
本明細書では、具体的実施形態が示され説明されてきたが、同一の目的を達成すると予測されるあらゆる配置が、示された具体的実施形態に対して置換されてもよいことを当業者には理解されたい。本出願は、記述された本発明の原則に従って動作するあらゆる適合もしくは変形を包含するよう意図されるものである。したがって、本発明は、請求項とその均等物によってのみ限定されることを意図される。本出願において参照された特許、参照および刊行物の開示は、本明細書に参照によって組み入れられる。

Claims (10)

  1. 基板上にナノ構造のポリマー材料を形成する方法であって、
    a)円筒相のブロック共重合体材料を、前記円筒相のブロック共重合体材料のガラス転移温度(T)以上の温度で加熱しながら、前記円筒相のブロック共重合体材料を、前記円筒相のブロック共重合体材料のいずれのブロックに対して非優先的である溶媒蒸気に暴露することによって、
    または、
    b)前記円筒相のブロック共重合体材料の上に且つ前記円筒相ブロック共重合体材料と接触するように、前記円筒相のブロック共重合体材料のいずれのブロックに対して非優先的材料を適用し、かつ、前記円筒相のブロック共重合体材料を前記非優先的な材料と接触しながら、前記円筒相のブロック共重合体材料のガラス転移温度(T )以上の温度で前記円筒相のブロック共重合体材料を加熱することによって、
    前記円筒相ブロック共重合体材料をアニールするステップを含み、
    前記円筒相のブロック共重合体材料は前記基板上の材料層内のトレンチ内に配置され、前記トレンチは、幅、長さ、中性湿潤底面および前記円筒相のブロック共重合体材料の少数ブロックに対して優先的に湿潤である相対する複数の側壁および複数の終端を有し、
    前記円筒相のブロック共重合体材料は、前記円筒相のブロック共重合体材料の第二のブロックのマトリクス内の前記円筒相のブロック共重合体材料の第一のブロックの複数の円筒状ドメインへと自己組織化し、前記自己組織化した円筒相のブロック共重合体材料は厚さを有し、前記複数の円筒状ドメインは、前記中性湿潤底面に対して垂直に配向、かつ、前記トレンチの前記長さに対して、単一のアレイにおける前記自己組織化した円筒相のブロック共重合体材料の前記厚さを介して伸長する、ことを特徴とする方法。
  2. 前記円筒相のブロック共重合体材料を前記溶媒蒸気に暴露するステップは、有機溶媒の部分的に飽和した濃度内で前記円筒相のブロック共重合体材料を暴露するステップを含む、ことを特徴とする請求項1に記載の方法。
  3. 前記円筒相のブロック共重合体材料を暴露するステップは、前記溶媒の沸点へと前記円筒相のブロック共重合体材料を加熱するステップを含み、前記溶媒によって前記円筒相のブロック共重合体材料の膨張は生じない、ことを特徴とする請求項1に記載の方法。
  4. 前記円筒相のブロック共重合体材料をアニールするステップは、前記円筒相のブロック共重合体材料全体的に加熱するステップを含む、ことを特徴とする請求項1に記載の方法。
  5. 前記円筒相のブロック共重合体材料をアニールするステップは、前記円筒相のブロック共重合体材料の一部分を加熱して、前記円筒状ドメイン、前記円筒相のブロック共重合体材料の前記加熱された一部分内のみ形成するステップを含む、ことを特徴とする請求項1に記載の方法。
  6. 前記第二のブロックの前記マトリクスを選択的に架橋するステップをさらに含む、ことを特徴とする請求項1に記載の方法。
  7. 前記第一のブロックを選択的に除去して、前記第二のブロックの前記マトリクスを通って伸長する複数の円筒状開口を形成するステップをさらに含む、ことを特徴とする請求項6に記載の方法。
  8. 記複数の円筒状開口を介して、前記基板のマスクされていない複数の部分をエッチングして、前記基板内に複数の開口を形成するステップをさらに含む、ことを特徴とする請求項7に記載の方法。
  9. 前記基板内の前記複数の開口を充填材料で充填するステップをさらに含む、ことを特徴とする請求項8に記載の方法。
  10. 前記円筒相のブロック共重合体材料をアニールするステップは、非優先的表面を有する固体エラストマー材料と前記円筒相のブロック共重合体材料を接触させるステップを含む、ことを特徴とする請求項1に記載の方法。
JP2011500852A 2008-03-21 2009-03-03 等しい優先性で両ブロックを湿潤にするために、制約を受ける上部界面を有するブロック共重合体膜の熱アニーリング Active JP5136869B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/052,956 2008-03-21
US12/052,956 US8426313B2 (en) 2008-03-21 2008-03-21 Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
PCT/US2009/035861 WO2009117243A1 (en) 2008-03-21 2009-03-03 Thermal anneal of a block copolymer films with top interface constrained to wet both blocks with equal preference

Publications (2)

Publication Number Publication Date
JP2011515537A JP2011515537A (ja) 2011-05-19
JP5136869B2 true JP5136869B2 (ja) 2013-02-06

Family

ID=40612928

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011500852A Active JP5136869B2 (ja) 2008-03-21 2009-03-03 等しい優先性で両ブロックを湿潤にするために、制約を受ける上部界面を有するブロック共重合体膜の熱アニーリング

Country Status (7)

Country Link
US (5) US8426313B2 (ja)
EP (1) EP2281299B1 (ja)
JP (1) JP5136869B2 (ja)
KR (1) KR101121303B1 (ja)
CN (1) CN101978469B (ja)
TW (1) TWI391992B (ja)
WO (1) WO2009117243A1 (ja)

Families Citing this family (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US8083953B2 (en) * 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
KR101355167B1 (ko) * 2007-12-14 2014-01-28 삼성전자주식회사 적어도 세 개의 고분자 블록을 구비하는 블록 공중합체를이용한 미세 패턴 형성 방법
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8101261B2 (en) * 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8114300B2 (en) 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
IT1392754B1 (it) * 2008-12-18 2012-03-16 St Microelectronics Srl Nanoarray ad incrocio con strato organico attivo anisotropico
KR101101767B1 (ko) * 2009-05-07 2012-01-05 한국과학기술원 코일―빗형 블록 공중합체 및 이를 이용한 나노 구조체의 제조방법
US8398868B2 (en) * 2009-05-19 2013-03-19 International Business Machines Corporation Directed self-assembly of block copolymers using segmented prepatterns
US8574950B2 (en) * 2009-10-30 2013-11-05 International Business Machines Corporation Electrically contactable grids manufacture
WO2011094857A1 (en) * 2010-02-05 2011-08-11 The Governors Of The University Of Alberta Method for organizing a block copolymer
US9011978B2 (en) 2010-02-26 2015-04-21 Asml Netherlands B.V. Method and apparatus for treatment of self-assemblable polymer layers for use in lithography
US8696918B2 (en) 2010-05-05 2014-04-15 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
CN102933628A (zh) 2010-06-04 2013-02-13 Asml荷兰有限公司 可自组装的聚合物和用于平版印刷术的方法
US8936740B2 (en) 2010-08-13 2015-01-20 Kimberly-Clark Worldwide, Inc. Modified polylactic acid fibers
US10753023B2 (en) 2010-08-13 2020-08-25 Kimberly-Clark Worldwide, Inc. Toughened polylactic acid fibers
US8304493B2 (en) 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
JP5729537B2 (ja) * 2010-09-14 2015-06-03 東京応化工業株式会社 下地剤
JP5721164B2 (ja) * 2010-09-14 2015-05-20 東京応化工業株式会社 ブロックコポリマーを含む層のパターン形成方法
TWI556958B (zh) 2010-09-14 2016-11-11 東京應化工業股份有限公司 基質劑及含嵌段共聚物之層的圖型形成方法
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
JP5979660B2 (ja) * 2012-02-09 2016-08-24 東京応化工業株式会社 コンタクトホールパターンの形成方法
SG11201404414SA (en) * 2012-02-10 2014-08-28 Univ Texas Anhydride copolymer top coats for orientation control of thin film block copolymers
US8961918B2 (en) 2012-02-10 2015-02-24 Rohm And Haas Electronic Materials Llc Thermal annealing process
JP5891075B2 (ja) * 2012-03-08 2016-03-22 東京応化工業株式会社 ブロックコポリマー含有組成物及びパターンの縮小方法
JP5993654B2 (ja) * 2012-03-09 2016-09-14 東京応化工業株式会社 ブロックコポリマーを含む層のパターン形成方法、及び下地剤
JP6306810B2 (ja) 2012-03-14 2018-04-04 東京応化工業株式会社 下地剤、ブロックコポリマーを含む層のパターン形成方法
JP6118573B2 (ja) * 2012-03-14 2017-04-19 東京応化工業株式会社 下地剤、ブロックコポリマーを含む層のパターン形成方法
JP5934565B2 (ja) * 2012-04-20 2016-06-15 東京応化工業株式会社 パターンの縮小方法、及び組成物
JP5948129B2 (ja) * 2012-04-26 2016-07-06 東京応化工業株式会社 2個以上の孤立ホールが並んでなるパターンの形成方法
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
KR101412228B1 (ko) * 2012-06-11 2014-07-02 한국과학기술원 혼합 블록공중합체 박막 제조방법, 혼합 블록공중합체 주형 제조방법 및 이에 의하여 제조된 혼합 블록공중합체 박막 및 주형
KR102156005B1 (ko) * 2012-07-10 2020-09-15 가부시키가이샤 니콘 마크 형성 방법 및 디바이스 제조 방법
WO2014010593A1 (ja) * 2012-07-10 2014-01-16 株式会社ニコン マーク及びその形成方法、並びに露光装置
US8821739B2 (en) 2012-07-12 2014-09-02 Rohm And Haas Electronic Materials Llc High temperature thermal annealing process
US8821738B2 (en) 2012-07-12 2014-09-02 Rohm And Haas Electronic Materials Llc Thermal annealing process
JP6239813B2 (ja) * 2012-07-18 2017-11-29 株式会社Screenセミコンダクターソリューションズ 基板処理装置および基板処理方法
CN103633029B (zh) * 2012-08-28 2016-11-23 中国科学院微电子研究所 半导体结构及其制造方法
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
KR101993255B1 (ko) * 2013-01-07 2019-06-26 삼성전자주식회사 콘택 홀 형성 방법
FR3001306A1 (fr) 2013-01-18 2014-07-25 Commissariat Energie Atomique Procede de fabrication d'un reseau de conducteurs sur un substrat au moyen de copolymeres a blocs
US9050621B2 (en) 2013-01-24 2015-06-09 Corning Incorporated Surface nanofabrication methods using self-assembled polymer nanomasks
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP6093590B2 (ja) * 2013-02-20 2017-03-08 東京応化工業株式会社 相分離構造を含む構造体の製造方法、ガイドパターン形成方法
US8859433B2 (en) * 2013-03-11 2014-10-14 International Business Machines Corporation DSA grapho-epitaxy process with etch stop material
US8980538B2 (en) 2013-03-14 2015-03-17 Tokyo Electron Limited Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents
US8975009B2 (en) 2013-03-14 2015-03-10 Tokyo Electron Limited Track processing to remove organic films in directed self-assembly chemo-epitaxy applications
US9115255B2 (en) * 2013-03-14 2015-08-25 Wisconsin Alumni Research Foundation Crosslinked random copolymer films for block copolymer domain orientation
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US20140273534A1 (en) 2013-03-14 2014-09-18 Tokyo Electron Limited Integration of absorption based heating bake methods into a photolithography track system
US9136110B2 (en) 2013-03-15 2015-09-15 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US20140273290A1 (en) * 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
US20140291878A1 (en) * 2013-03-29 2014-10-02 Tokyo Electron Limited Methods for controlling across-wafer directed self-assembly
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
ITRM20130278A1 (it) 2013-05-10 2014-11-11 Consiglio Nazionale Ricerche Procedimento di fabbricazione di film autoassemblati di copolimeri a blocchi
US10457088B2 (en) 2013-05-13 2019-10-29 Ridgefield Acquisition Template for self assembly and method of making a self assembled pattern
TWI658055B (zh) * 2013-06-19 2019-05-01 德州大學董事會 用於薄膜嵌段共聚物之定向控制之酸酐共聚物面塗層
US20150179434A1 (en) * 2013-07-25 2015-06-25 SK Hynix Inc. Nano-scale structures
FR3008986B1 (fr) * 2013-07-25 2016-12-30 Arkema France Procede de controle de la periode caracterisant la morphologie obtenue a partir d'un melange de copolymere a blocs et de (co) polymeres de l'un des blocs
JP6452136B2 (ja) 2013-09-04 2019-01-16 東京エレクトロン株式会社 誘導自己組織化用の化学テンプレートを形成するための硬化フォトレジストのuv支援剥離
US10339260B2 (en) * 2013-09-06 2019-07-02 Asml Netherlands B.V. Methodology to generate guiding templates for directed self-assembly
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
US9625815B2 (en) 2013-09-27 2017-04-18 Intel Corporation Exposure activated chemically amplified directed self-assembly (DSA) for back end of line (BEOL) pattern cutting and plugging
US9793137B2 (en) 2013-10-20 2017-10-17 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
US9349604B2 (en) 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
US9859118B2 (en) * 2013-11-25 2018-01-02 Tokyo Electron Limited Pattern forming method and heating apparatus
KR102100767B1 (ko) 2013-11-26 2020-04-21 엘지디스플레이 주식회사 유기발광 표시장치 및 그 제조방법
KR102166523B1 (ko) * 2013-12-02 2020-10-16 에스케이하이닉스 주식회사 나노 스케일 형상 구조 및 형성 방법
FR3014887B1 (fr) * 2013-12-13 2017-05-26 Arkema France Procede permettant la creation de structures nanometriques par l'auto-assemblage de copolymeres a blocs
FR3017395B1 (fr) * 2014-02-11 2017-11-03 Arkema France Procede de controle de l'energie de surface d'un substrat
JP2015159262A (ja) * 2014-02-25 2015-09-03 東京エレクトロン株式会社 基板処理装置、基板処理方法、プログラム及びコンピュータ記憶媒体
US9469733B2 (en) 2014-05-30 2016-10-18 Pall Corporation Self-assembled structure and membrane comprising block copolymer and process for producing the same by spin coating (IVa)
US9593219B2 (en) * 2014-05-30 2017-03-14 Pall Corporation Membrane comprising self-assembled block copolymer and process for producing the same by spin coating (IIa)
US9604181B2 (en) * 2014-05-30 2017-03-28 Pall Corporation Membrane comprising self-assembled block copolymer and process for producing the same by spray coating (IIc)
US9593217B2 (en) * 2014-05-30 2017-03-14 Pall Corporation Self-assembled structure and membrane comprising block copolymer and process for producing the same by spin coating (Va)
US9441078B2 (en) 2014-05-30 2016-09-13 Pall Corporation Self-assembling polymers—I
US9592476B2 (en) 2014-05-30 2017-03-14 Pall Corporation Membrane comprising self-assembled block copolymer and process for producing the same by hybrid casting (IIb)
US9616395B2 (en) * 2014-05-30 2017-04-11 Pall Corportaion Membrane comprising self-assembled block copolymer and process for producing the same by spray coating (Ic)
US9593218B2 (en) * 2014-05-30 2017-03-14 Pall Corporation Self-assembled structure and membrane comprising block copolymer and process for producing the same by spin coating (IIIa)
US9592477B2 (en) 2014-05-30 2017-03-14 Pall Corporation Membrane comprising self-assembled block copolymer and process for producing the same by hybrid casting (Ib)
US9598543B2 (en) * 2014-05-30 2017-03-21 Pall Corporation Self-assembled structure and membrane comprising block copolymer and process for producing the same by spin coating (VIa)
US9193835B1 (en) 2014-05-30 2015-11-24 Pall Corporation Self-assembling polymers—IV
US9328206B2 (en) 2014-05-30 2016-05-03 Pall Corporation Self-assembling polymers—III
US9765171B2 (en) * 2014-05-30 2017-09-19 Pall Corporation Self-assembling polymers—V
US9448483B2 (en) * 2014-07-31 2016-09-20 Dow Global Technologies Llc Pattern shrink methods
US9396958B2 (en) * 2014-10-14 2016-07-19 Tokyo Electron Limited Self-aligned patterning using directed self-assembly of block copolymers
MX2017006066A (es) 2014-11-26 2017-07-27 Kimberly Clark Co Material poroso recocido de poliolefina.
US11021630B2 (en) * 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US10294359B2 (en) * 2014-12-30 2019-05-21 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
CN105047568B (zh) * 2015-09-07 2018-01-09 京东方科技集团股份有限公司 薄膜晶体管及其制作方法、显示面板
US9876075B2 (en) 2015-10-16 2018-01-23 International Business Machines Corporation Method of forming dielectric with air gaps for use in semiconductor devices
WO2017111926A1 (en) * 2015-12-21 2017-06-29 Intel Corporation Triblock copolymers for self-aligning vias or contacts
US9691654B1 (en) * 2015-12-22 2017-06-27 Globalfoundries Inc. Methods and devices for back end of line via formation
US20170221701A1 (en) * 2016-02-01 2017-08-03 Applied Materials, Inc. Rtp process for directed self-aligned patterns
JP6788198B2 (ja) * 2016-02-08 2020-11-25 Jsr株式会社 コンタクトホールパターンの形成方法及び組成物
US10056265B2 (en) * 2016-03-18 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Directed self-assembly process with size-restricted guiding patterns
KR102463922B1 (ko) * 2016-03-21 2022-11-08 에스케이하이닉스 주식회사 미세 패턴 형성 방법
US9947597B2 (en) 2016-03-31 2018-04-17 Tokyo Electron Limited Defectivity metrology during DSA patterning
JP6802667B2 (ja) 2016-08-18 2020-12-16 株式会社Screenホールディングス 熱処理装置、基板処理装置、熱処理方法および基板処理方法
JP6930714B2 (ja) * 2016-11-30 2021-09-01 エルジー・ケム・リミテッド 積層体
US11251072B2 (en) 2016-12-23 2022-02-15 Intel Corporation Differential hardmasks for modulation of electrobucket sensitivity
JP6811638B2 (ja) 2017-02-14 2021-01-13 株式会社Screenホールディングス 基板処理方法及びその装置
US10170301B2 (en) * 2017-03-29 2019-01-01 International Business Machines Corporation Adhesion of polymers on silicon substrates
JP7110090B2 (ja) * 2018-12-28 2022-08-01 東京エレクトロン株式会社 基板処理方法および基板処理システム

Family Cites Families (328)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4623674A (en) 1985-06-21 1986-11-18 Union Carbide Corporation Polymer/polyols of substituted styrenes and polyurethanes made therefrom
US4877647A (en) 1986-04-17 1989-10-31 Kansas State University Research Foundation Method of coating substrates with solvated clusters of metal particles
US4797357A (en) 1986-05-30 1989-01-10 Eastman Kodak Company Light-stable reducible compounds and analytical compositions, elements and methods utilizing same
US4818713A (en) 1987-10-20 1989-04-04 American Telephone And Telegraph Company, At&T Bell Laboratories Techniques useful in fabricating semiconductor devices having submicron features
AU4951690A (en) 1988-12-30 1990-08-01 David M. Anderson Stabilized microporous materials and hydrogel materials
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5354489A (en) 1990-08-30 1994-10-11 Asahi Kasei Kogyo Kabushiki Kaisha Method for changing the viscosity of a fluid comprising a liquid crystal compound
US5622668A (en) 1992-02-07 1997-04-22 The United States Of America As Represented By The Secretary Of The Air Force Method for preparing oriented polymer structures and said structures
ATE159978T1 (de) 1992-08-07 1997-11-15 Fujikura Kasei Kk Elektrosensitive zusammensetzung
US5382373A (en) 1992-10-30 1995-01-17 Lord Corporation Magnetorheological materials based on alloy particles
DE69313132T2 (de) 1992-11-25 1997-12-11 Hoechst Celanese Corp Metallionenreduzierung in antireflexunterschichten für photoresist
US5482656A (en) 1993-03-04 1996-01-09 Kabushiki Kaisha Toshiba Non-linear optical devices employing a polysilane composition and a polysilane composition therefor
TW272976B (ja) 1993-08-06 1996-03-21 Ciba Geigy Ag
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US5538655A (en) 1994-06-29 1996-07-23 Arthur D. Little, Inc. Molecular complexes for use as electrolyte components
US5607824A (en) 1994-07-27 1997-03-04 International Business Machines Corporation Antireflective coating for microlithography
JPH0867893A (ja) 1994-08-19 1996-03-12 Lubrizol Corp:The 極性固体および有機半導体の電気流動性流体
US5620850A (en) 1994-09-26 1997-04-15 President And Fellows Of Harvard College Molecular recognition at surfaces derivatized with self-assembled monolayers
US5633117A (en) 1995-04-27 1997-05-27 Imation Corp. Providing imagewise variation in glossiness to a receptor
US5700902A (en) 1995-07-27 1997-12-23 Circe Biomedical, Inc. Block copolymers
WO1997006013A1 (en) 1995-08-04 1997-02-20 International Business Machines Corporation Lithographic surface or thin layer modification
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US5879853A (en) 1996-01-18 1999-03-09 Kabushiki Kaisha Toshiba Top antireflective coating material and its process for DUV and VUV lithography systems
US6096636A (en) 1996-02-06 2000-08-01 Micron Technology, Inc. Methods of forming conductive lines
EP1124158A1 (en) 1996-02-26 2001-08-16 Matsushita Electric Industrial Co., Ltd. Pattern forming material and pattern forming method
US6190949B1 (en) 1996-05-22 2001-02-20 Sony Corporation Silicon thin film, group of silicon single crystal grains and formation process thereof, and semiconductor device, flash memory cell and fabrication process thereof
US6143647A (en) 1997-07-24 2000-11-07 Intel Corporation Silicon-rich block copolymers to achieve unbalanced vias
JPH1081889A (ja) 1996-09-06 1998-03-31 Bridgestone Corp 電気粘性流体用粉体
US5904824A (en) 1997-03-07 1999-05-18 Beckman Instruments, Inc. Microfluidic electrophoresis device
US5958704A (en) 1997-03-12 1999-09-28 Ddx, Inc. Sensing system for specific substance and molecule detection
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US6890624B1 (en) 2000-04-25 2005-05-10 Nanogram Corporation Self-assembled structures
US6368871B1 (en) 1997-08-13 2002-04-09 Cepheid Non-planar microstructures for manipulation of fluid samples
JP3321392B2 (ja) 1997-08-29 2002-09-03 科学技術振興事業団 二重構造連続多孔体とその製造方法
US6884842B2 (en) 1997-10-14 2005-04-26 Alnis Biosciences, Inc. Molecular compounds having complementary surfaces to targets
AU3739799A (en) 1997-12-09 1999-08-09 Regents Of The University Of California, The Block polymer processing for mesostructured inorganic oxide materials
US6111323A (en) 1997-12-30 2000-08-29 International Business Machines Corporation Reworkable thermoplastic encapsulant
US6362276B1 (en) 1998-01-07 2002-03-26 Debio Recherche Pharmaceutique S.A. Degradable heterobifunctional poly(ethylene glycol) acrylates and gels and conjugates derived therefrom
US6153495A (en) 1998-03-09 2000-11-28 Intersil Corporation Advanced methods for making semiconductor devices by low temperature direct bonding
WO1999047570A1 (en) 1998-03-18 1999-09-23 University Of Rochester Macromolecular self-assembly of microstructures, nanostructures, objects and mesoporous solids
US7282240B1 (en) 1998-04-21 2007-10-16 President And Fellows Of Harvard College Elastomeric mask and use in fabrication of devices
JP3464004B2 (ja) 1998-06-05 2003-11-05 旭化成株式会社 水素添加ブロック共重合体及びそれを含有したポリプロピレン系樹脂組成物
US7074498B2 (en) 2002-03-22 2006-07-11 Borealis Technical Limited Influence of surface geometry on metal properties
WO2000002090A2 (en) 1998-07-02 2000-01-13 Massachusetts Institute Of Technology Periodic porous and relief nanostructured articles
US6897073B2 (en) 1998-07-14 2005-05-24 Zyomyx, Inc. Non-specific binding resistant protein arrays and methods for making the same
US6423410B1 (en) 1998-09-04 2002-07-23 Mds Proteomics, Inc. Ultrasonically generated paramagnetic polymer particles
US6713238B1 (en) 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
JP2002530505A (ja) 1998-11-24 2002-09-17 ザ ダウ ケミカル カンパニー 架橋性マトリックス前駆体および気孔発生体を含有する組成物、並びにそれから製造された多孔質マトリックス
DE69923598D1 (de) 1998-12-08 2005-03-10 Gene Logic Inc Verfahren zur befestigung organischer moleküle auf silizium
US6413587B1 (en) 1999-03-02 2002-07-02 International Business Machines Corporation Method for forming polymer brush pattern on a substrate surface
US6270946B1 (en) 1999-03-18 2001-08-07 Luna Innovations, Inc. Non-lithographic process for producing nanoscale features on a substrate
JP4012173B2 (ja) 1999-06-07 2007-11-21 株式会社東芝 多孔質構造体の製造方法、多孔質構造体形成材料、パターン形成方法、パターン形成材料、電気化学セル、および中空糸フィルター
JP4127682B2 (ja) 1999-06-07 2008-07-30 株式会社東芝 パターン形成方法
JP3940546B2 (ja) 1999-06-07 2007-07-04 株式会社東芝 パターン形成方法およびパターン形成材料
ATE481745T1 (de) 1999-07-02 2010-10-15 Harvard College Nanoskopischen draht enthaltende anordnung, logische felder und verfahren zu deren herstellung
US6251791B1 (en) 1999-07-20 2001-06-26 United Microelectronics Corp. Eliminating etching microloading effect by in situ deposition and etching
AU7094400A (en) 1999-08-31 2001-03-26 E-Ink Corporation A solvent annealing process for forming a thin semiconductor film with advantageous properties
JP2001110801A (ja) 1999-10-05 2001-04-20 Takeshi Yao パターン形成方法、並びに電子素子、光学素子及び回路基板
US6998152B2 (en) 1999-12-20 2006-02-14 Micron Technology, Inc. Chemical vapor deposition methods utilizing ionic liquids
US6517933B1 (en) 2000-01-18 2003-02-11 Nano-Tex, Llc Hybrid polymer materials
US6423465B1 (en) 2000-01-28 2002-07-23 International Business Machines Corporation Process for preparing a patterned continuous polymeric brush on a substrate surface
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6284657B1 (en) 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
US7163712B2 (en) 2000-03-03 2007-01-16 Duke University Microstamping activated polymer surfaces
US6423474B1 (en) 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
EP1268087A4 (en) 2000-03-22 2007-05-23 Univ Massachusetts NANOMETRIC CYLINDER MATRICES
US6887332B1 (en) 2000-04-21 2005-05-03 International Business Machines Corporation Patterning solution deposited thin films with self-assembled monolayers
US7491286B2 (en) 2000-04-21 2009-02-17 International Business Machines Corporation Patterning solution deposited thin films with self-assembled monolayers
US7291284B2 (en) 2000-05-26 2007-11-06 Northwestern University Fabrication of sub-50 nm solid-state nanostructures based on nanolithography
US6503841B1 (en) 2000-07-07 2003-01-07 Agere Systems Inc. Oxide etch
US6414164B1 (en) 2000-07-12 2002-07-02 International Business Machines Corporation Synthesis of soluble derivatives of sexithiophene and their use as the semiconducting channels in thin-film filed-effect transistors
WO2002018080A1 (fr) 2000-08-03 2002-03-07 Upepo & Maji Inc. Composition de solution colloidale metallique et conducteur ou encre destine a la formation d'un motif semi-conducteur la renfermant, et procede de formation d'un motif conducteur ou semi-conducteur
JP3591827B2 (ja) 2000-08-11 2004-11-24 株式会社東芝 微細構造を有する成形体の製造方法
JP2002083949A (ja) 2000-09-07 2002-03-22 Nec Corp Cmosイメージセンサ及びその製造方法
US20020084429A1 (en) 2000-10-17 2002-07-04 Craighead Harold G. Electron-beam patterning of functionalized self-assembled monolayers
WO2002041043A2 (en) 2000-11-14 2002-05-23 The Regents Of The University Of California Inorganic/block copolymer-dye composites and dye doped mesoporous materials for optical and sensing applications
US6358813B1 (en) 2000-11-15 2002-03-19 International Business Machines Corporation Method for increasing the capacitance of a semiconductor capacitors
NL1016779C2 (nl) 2000-12-02 2002-06-04 Cornelis Johannes Maria V Rijn Matrijs, werkwijze voor het vervaardigen van precisieproducten met behulp van een matrijs, alsmede precisieproducten, in het bijzonder microzeven en membraanfilters, vervaardigd met een dergelijke matrijs.
US6432811B1 (en) 2000-12-20 2002-08-13 Intel Corporation Method of forming structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures
FR2818650B1 (fr) 2000-12-21 2003-02-07 Atofina Procede d'hydrogenation de copolymeres a blocs insatures et copolymeres a blocs hydrogenes
US6518194B2 (en) 2000-12-28 2003-02-11 Thomas Andrew Winningham Intermediate transfer layers for nanoscale pattern transfer and nanostructure formation
WO2002056021A2 (en) 2001-01-10 2002-07-18 Symyx Technologies Inc Polymer brushes for immobilizing molecules to a surface
US6566248B1 (en) 2001-01-11 2003-05-20 Advanced Micro Devices, Inc. Graphoepitaxial conductor cores in integrated circuit interconnects
US6913697B2 (en) 2001-02-14 2005-07-05 Science & Technology Corporation @ Unm Nanostructured separation and analysis devices for biological membranes
EP1374310A4 (en) 2001-03-14 2008-02-20 Univ Massachusetts NANOFABRICATION
US6537920B1 (en) 2001-03-16 2003-03-25 Advanced Micro Devices, Inc. Formation of vertical transistors using block copolymer lithography
US6817293B2 (en) 2001-03-28 2004-11-16 Dainippon Printing Co., Ltd. Patterning method with micro-contact printing and its printed product
US6924341B2 (en) 2001-03-30 2005-08-02 The Uab Research Foundation Polymer formation in room temperature ionic liquids
EP1377519B1 (en) 2001-04-06 2010-06-09 Carnegie-Mellon University A process for the preparation of nanostructured materials
WO2002085639A1 (en) 2001-04-25 2002-10-31 The Trustees Of Columbia University In The City Of New York Edge transfer lithography
US20020158432A1 (en) 2001-04-30 2002-10-31 Wain Amir Waheed Infocart
US6809210B2 (en) 2001-06-12 2004-10-26 Lucent Technologies Inc. Method of solvating a metal in an aromatic organic liquid
KR100448170B1 (ko) 2001-06-23 2004-09-10 주식회사 태평양 폴리에틸렌이민을 친수성 블록으로 갖고 폴리에스테르계고분자를 소수성 블록으로 갖는 양친성 생분해성 블록공중합체 및 이를 이용한 수용액 상에서의 고분자자기조합 회합체
GB2393578B (en) 2001-07-09 2005-10-26 Plastic Logic Ltd Lamellar polymer architecture
US6444318B1 (en) 2001-07-17 2002-09-03 Surmodics, Inc. Self assembling monolayer compositions
DE10142691B4 (de) 2001-08-31 2006-04-20 Infineon Technologies Ag Verfahren zum Nachweis biochemischer Reaktionen sowie eine Vorrichtung hierfür
US6751491B2 (en) 2001-09-01 2004-06-15 M Biotech Inc Analyte measuring biosensor chip using image scanning system
DE10145747A1 (de) 2001-09-17 2003-04-03 Solvent Innovation Gmbh Ionische Flüssigkeiten
US6746825B2 (en) 2001-10-05 2004-06-08 Wisconsin Alumni Research Foundation Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates
US20030108664A1 (en) 2001-10-05 2003-06-12 Kodas Toivo T. Methods and compositions for the formation of recessed electrical features on a substrate
US20030080472A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US20040058059A1 (en) 2001-11-07 2004-03-25 Linford Mathew Richard Funtionalized patterned surfaces
JP2005510436A (ja) 2001-11-21 2005-04-21 ユニバーシティー オブ マサチューセッツ メソポーラス材料および方法
JP3967114B2 (ja) 2001-11-22 2007-08-29 株式会社東芝 加工方法
US7087267B2 (en) 2001-11-29 2006-08-08 International Business Machines Corporation Materials and methods for immobilization of catalysts on surfaces and for selective electroless metallization
JP3782357B2 (ja) 2002-01-18 2006-06-07 株式会社東芝 半導体発光素子の製造方法
US7115305B2 (en) 2002-02-01 2006-10-03 California Institute Of Technology Method of producing regular arrays of nano-scale objects using nano-structured block-copolymeric materials
US6958572B2 (en) 2002-02-06 2005-10-25 Ut-Battelle Llc Controlled non-normal alignment of catalytically grown nanostructures in a large-scale synthesis process
WO2003069019A1 (en) 2002-02-11 2003-08-21 Rensselaer Polytechnic Institute Directed assembly of highly-organized carbon nanotube architectures
US7060774B2 (en) 2002-02-28 2006-06-13 Merck Patent Gesellschaft Prepolymer material, polymer material, imprinting process and their use
US6890703B2 (en) 2002-03-06 2005-05-10 International Business Machines Corporation Preparation of crosslinked particles from polymers having activatible crosslinking groups
US6946332B2 (en) 2002-03-15 2005-09-20 Lucent Technologies Inc. Forming nanoscale patterned thin film metal layers
US7807348B2 (en) 2002-03-20 2010-10-05 Wisconsin Alumni Research Foundation Optical imaging of nanostructured substrates
US20030178707A1 (en) 2002-03-21 2003-09-25 Abbott Donald C. Preplated stamped small outline no-lead leadframes having etched profiles
US6765030B2 (en) 2002-03-22 2004-07-20 The University Of North Carolina At Chapel Hill Methods of forming polymeric structures using carbon dioxide and polymeric structures formed therapy
JP4859333B2 (ja) 2002-03-25 2012-01-25 セイコーエプソン株式会社 電子デバイス用基板の製造方法
US20040142578A1 (en) 2002-03-28 2004-07-22 Ulrich Wiesner Thin film nanostructures
JP2004005923A (ja) 2002-03-29 2004-01-08 Fujitsu Ltd 磁気ヘッドの製造方法および磁気ヘッド、パターン形成方法
US6872645B2 (en) 2002-04-02 2005-03-29 Nanosys, Inc. Methods of positioning and/or orienting nanostructures
US6656308B2 (en) 2002-04-22 2003-12-02 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US7135241B2 (en) 2002-05-24 2006-11-14 Board Of Regents, The University Of Texas System Light-emitting block copolymers composition, process and use
US7307343B2 (en) 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US6753250B1 (en) 2002-06-12 2004-06-22 Novellus Systems, Inc. Method of fabricating low dielectric constant dielectric films
US20030235930A1 (en) 2002-06-25 2003-12-25 Lucent Technologies Inc. Multi-impression nanofeature production
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7311943B2 (en) 2002-07-17 2007-12-25 Massachusetts Institute Of Technology Templated monolayer polymerization and replication
US20050008828A1 (en) 2002-07-25 2005-01-13 Trustees Of Stevens Institute Of Technology Patterned polymer microgel and method of forming same
US6767693B1 (en) 2002-07-30 2004-07-27 Advanced Micro Devices, Inc. Materials and methods for sub-lithographic patterning of contact, via, and trench structures in integrated circuit devices
EP1387169B1 (en) 2002-08-02 2006-05-24 Sony Deutschland GmbH Method of attaching hydrophilic species to hydrophilic macromolecules and immobilizing the hydrophilic macromolecules on a hydrophobic surface
US6957608B1 (en) 2002-08-02 2005-10-25 Kovio, Inc. Contact print methods
DE60333715D1 (de) 2002-10-30 2010-09-23 Hitachi Ltd Verfahren zur Herstellung funktioneller Substrate, die kolumnare Mikrosäulen aufweisen
US20040084298A1 (en) 2002-10-31 2004-05-06 Y.D. Yao Fabrication of nanocomposite thin films for high density magnetic recording media
TW584670B (en) 2002-10-31 2004-04-21 Academia Sinica Fabrication of nanocomposite thin films for high density magnetic recording media
US6949456B2 (en) 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
US6911400B2 (en) 2002-11-05 2005-06-28 International Business Machines Corporation Nonlithographic method to produce self-aligned mask, articles produced by same and compositions for same
BR0316048B1 (pt) 2002-11-07 2014-01-28 Copolímero com estrutura controlada e utilização de um copolímero
US6699797B1 (en) 2002-12-17 2004-03-02 Intel Corporation Method of fabrication of low dielectric constant porous metal silicate films
US6930034B2 (en) 2002-12-27 2005-08-16 International Business Machines Corporation Robust ultra-low k interconnect structures using bridge-then-metallization fabrication sequence
US20040124092A1 (en) 2002-12-30 2004-07-01 Black Charles T. Inorganic nanoporous membranes and methods to form same
US7078276B1 (en) 2003-01-08 2006-07-18 Kovio, Inc. Nanoparticles and method for making the same
US6940485B2 (en) 2003-01-15 2005-09-06 Xerox Corporation Flexible micron-thin display device
TWI323479B (en) 2003-02-12 2010-04-11 Nantero Inc Devices having horizontally-disposed nanofabric articles and methods of making the same
CA2515724A1 (en) 2003-02-12 2004-08-26 Nantero, Inc Devices having vertically-disposed nanofabric articles and methods of making the same
US7066801B2 (en) 2003-02-21 2006-06-27 Dow Global Technologies, Inc. Method of manufacturing a fixed abrasive material
US7001795B2 (en) 2003-02-27 2006-02-21 Micron Technology, Inc. Total internal reflection (TIR) CMOS imager
TW582059B (en) 2003-03-11 2004-04-01 Ind Tech Res Inst Organic component, method for forming organic semiconductor layer with aligned molecules, and method for forming organic component
US7326514B2 (en) 2003-03-12 2008-02-05 Cornell Research Foundation, Inc. Organoelement resists for EUV lithography and methods of making the same
US7135523B2 (en) 2003-03-14 2006-11-14 Industrial Technology Research Institute Nanoscale helical microstructures and channels from chiral poly(L-lactide) block containing block copolymers
US6812132B2 (en) 2003-03-21 2004-11-02 Intel Corporation Filling small dimension vias using supercritical carbon dioxide
KR100618184B1 (ko) 2003-03-31 2006-08-31 비오이 하이디스 테크놀로지 주식회사 결정화 방법
US7112617B2 (en) 2003-04-22 2006-09-26 International Business Machines Corporation Patterned substrate with hydrophilic/hydrophobic contrast, and method of use
JP2004335962A (ja) 2003-05-12 2004-11-25 Seiko Epson Corp 薄膜パターン形成方法、デバイスとその製造方法及び電気光学装置並びに電子機器
EP1479738A1 (en) 2003-05-20 2004-11-24 DSM IP Assets B.V. Hydrophobic coatings comprising reactive nano-particles
US7632544B2 (en) 2003-05-20 2009-12-15 Industrial Technology Research Institute Nanopatterned templates from oriented degradable diblock copolymer thin films
US20060124467A1 (en) 2003-05-20 2006-06-15 Industrial Technology Research Institute Metal nanodot arrays and fabrication methods thereof
US6989426B2 (en) 2003-06-12 2006-01-24 The Hong Kong Polytechnic University Methods for producing di-block polymers
US7009227B2 (en) 2003-06-16 2006-03-07 Micron Technology, Inc. Photodiode structure and image pixel structure
US7045851B2 (en) 2003-06-20 2006-05-16 International Business Machines Corporation Nonvolatile memory device using semiconductor nanocrystals and method of forming same
GB2403847B (en) 2003-07-01 2005-11-16 Micron Technology Inc Optical channels for multi-level metal optical imagers and method for manufacturing same
US20050238889A1 (en) 2003-07-10 2005-10-27 Nancy Iwamoto Layered components, materials, methods of production and uses thereof
US7132370B2 (en) 2003-08-01 2006-11-07 Interuniversitair Microelektronica Centrum (Imec) Method for selective removal of high-k material
GB0318817D0 (en) 2003-08-11 2003-09-10 Univ Cambridge Tech Method of making a polymer device
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
CA2539436A1 (en) 2003-09-23 2005-09-01 Wisconsin Alumni Research Foundation Using liquid crystals to detect affinity microcontact printed biomolecules
US7374867B2 (en) 2003-10-06 2008-05-20 Intel Corporation Enhancing photoresist performance using electric fields
WO2005084175A2 (en) 2003-10-16 2005-09-15 The Regents Of The University Of California Nanostructures, nanogrooves, and nanowires
US7862849B2 (en) 2003-10-17 2011-01-04 Massachusetts Institute Of Technology Nanocontact printing
US7122482B2 (en) 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
GB0325748D0 (en) 2003-11-05 2003-12-10 Koninkl Philips Electronics Nv A method of forming a patterned layer on a substrate
US7056757B2 (en) 2003-11-25 2006-06-06 Georgia Tech Research Corporation Methods of forming oxide masks with submicron openings and microstructures formed thereby
WO2007024323A2 (en) 2005-06-17 2007-03-01 The University Of North Carolina At Chapel Hill Nanoparticle fabrication methods, systems, and materials
US20050133697A1 (en) 2003-12-23 2005-06-23 Potyrailo Radislav A. Sensor devices containing co-polymer substrates for analysis of chemical and biological species in water and air
US7423164B2 (en) 2003-12-31 2008-09-09 Ut-Battelle, Llc Synthesis of ionic liquids
US6989324B2 (en) 2004-01-15 2006-01-24 The Regents Of The University Of California Fabrication method for arranging ultra-fine particles
US7056849B2 (en) 2004-01-16 2006-06-06 General Electric Company Nanoscale ordered composites of covalent ceramics for high-temperature structural applications via block-copolymer-assisted assembly and method of making
US7405147B2 (en) 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
DE102004006545B3 (de) 2004-02-10 2005-08-11 Infineon Technologies Ag Verfahren zum Aufweiten eines Grabens in einer Halbleiterstruktur
US20060014083A1 (en) 2004-03-01 2006-01-19 University Of Washington Methods and systems for fabricating electronic and/or microfluidic structures on elastomeric substrates
US7030495B2 (en) 2004-03-19 2006-04-18 International Business Machines Corporation Method for fabricating a self-aligned nanocolumnar airbridge and structure produced thereby
CN100429142C (zh) 2004-03-24 2008-10-29 哈尔滨工业大学 面向纳米微加工嵌段共聚物模板自组装形态调控方法
US7015113B2 (en) 2004-04-01 2006-03-21 Micron Technology, Inc. Methods of forming trench isolation regions
US20060013956A1 (en) * 2004-04-20 2006-01-19 Angelescu Dan E Method and apparatus for providing shear-induced alignment of nanostructure in thin films
EP1742893B1 (en) 2004-04-27 2012-10-10 The Board Of Trustees Of The University Of Illinois Composite patterning devices for soft lithography
US7244665B2 (en) 2004-04-29 2007-07-17 Micron Technology, Inc. Wafer edge ring structures and methods of formation
US7625694B2 (en) 2004-05-06 2009-12-01 Micron Technology, Inc. Selective provision of a diblock copolymer material
TWI256110B (en) 2004-05-18 2006-06-01 Ind Tech Res Inst Nanopatterned templates from oriented degradable diblock copolymer thin films
WO2006076016A2 (en) 2004-05-21 2006-07-20 Krzysztof Matyjaszewski Conducting polymers
EP2650906A3 (en) 2004-06-04 2015-02-18 The Board of Trustees of the University of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
KR20070029762A (ko) 2004-06-30 2007-03-14 코닌클리케 필립스 일렉트로닉스 엔.브이. 화학적으로 패터닝된 표면을 구비한 소프트 리소그라피스탬프
CN101198902A (zh) 2004-06-30 2008-06-11 皇家飞利浦电子股份有限公司 具有化学构图表面的软平版印刷印模
US7387939B2 (en) 2004-07-19 2008-06-17 Micron Technology, Inc. Methods of forming semiconductor structures and capacitor devices
JP4389055B2 (ja) 2004-07-27 2009-12-24 独立行政法人産業技術総合研究所 ブロック共重合体−クレイナノコンポジットの高配向膜およびその製造方法
US8088293B2 (en) 2004-07-29 2012-01-03 Micron Technology, Inc. Methods of forming reticles configured for imprint lithography
US20060030495A1 (en) 2004-08-06 2006-02-09 Gregg George L Jr Bullet lubrication formula
JP2006055982A (ja) 2004-08-23 2006-03-02 Ind Technol Res Inst 組織化分解ジブロックコポリマー薄膜からのナノパターン化テンプレート
KR20060020830A (ko) 2004-09-01 2006-03-07 삼성코닝 주식회사 계면활성제를 템플릿으로 이용한 저유전성 메조포러스박막의 제조방법
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US20060057051A1 (en) 2004-09-10 2006-03-16 Sheng Dai Highly ordered porous carbon materials having well defined nanostructures and method of synthesis
US20060060863A1 (en) 2004-09-22 2006-03-23 Jennifer Lu System and method for controlling nanostructure growth
JP3926360B2 (ja) 2004-10-13 2007-06-06 株式会社東芝 パターン形成方法およびそれを用いた構造体の加工方法
US7196314B2 (en) 2004-11-09 2007-03-27 Omnivision Technologies, Inc. Image sensor and pixel having an anti-reflective coating over the photodiode
DE602004013338T2 (de) 2004-11-10 2009-06-10 Sony Deutschland Gmbh Stempel für die sanfte Lithographie, insbesondere für das Mikro-Kontaktdruckverfahren und Verfahren zu seiner Herstellung
US7323387B2 (en) 2004-11-12 2008-01-29 Seagate Technology Llc Method to make nano structure below 25 nanometer with high uniformity on large scale
EP1827717A4 (en) 2004-11-22 2011-11-23 Wisconsin Alumni Res Found METHOD AND COMPOSITIONS FOR FORMING APERIODICALLY PATTERNED COPOLYMER FILMS
US20080032238A1 (en) 2004-11-23 2008-02-07 Lu Jennifer Q System and method for controlling the size and/or distribution of catalyst nanoparticles for nanostructure growth
US20060128165A1 (en) 2004-12-13 2006-06-15 3M Innovative Properties Company Method for patterning surface modification
US7666465B2 (en) 2004-12-29 2010-02-23 Intel Corporation Introducing nanotubes in trenches and structures formed thereby
WO2006078952A1 (en) 2005-01-21 2006-07-27 University Of California Methods for fabricating a long-range ordered periodic array of nano-features, and articles comprising same
DE102005005325B4 (de) 2005-02-04 2011-12-15 Adesto Technology Corp., Inc. Verfahren zur Herstellung einer resistiv schaltenden nicht-flüchtigen Speicherzelle
US7341788B2 (en) 2005-03-11 2008-03-11 International Business Machines Corporation Materials having predefined morphologies and methods of formation thereof
US7514764B2 (en) 2005-03-23 2009-04-07 Wisconsin Alumni Research Foundation Materials and methods for creating imaging layers
US7855046B2 (en) 2005-04-07 2010-12-21 The University Of North Carolina At Charlotte Method and apparatus for fabricating shaped structures and shaped structures including one- , two- or three-dimensional patterns incorporated therein
KR100634327B1 (ko) 2005-04-13 2006-10-13 한국기계연구원 롤-투-롤 윤전인쇄방식을 이용한 전자소자의 제조방법 및그 제조장치
US20060257633A1 (en) 2005-04-27 2006-11-16 Hitachi Maxell, Ltd. Method for modifying surface of polymer substrate, method for forming plated film on polymer substrate, method for producing polymer member, and coating member
US20060249784A1 (en) 2005-05-06 2006-11-09 International Business Machines Corporation Field effect transistor device including an array of channel elements and methods for forming
US7767129B2 (en) 2005-05-11 2010-08-03 Micron Technology, Inc. Imprint templates for imprint lithography, and methods of patterning a plurality of substrates
US7371684B2 (en) 2005-05-16 2008-05-13 International Business Machines Corporation Process for preparing electronics structures using a sacrificial multilayer hardmask scheme
US8399057B2 (en) 2005-06-08 2013-03-19 The Regents Of The University Of California Ordered vertically oriented porous inorganic films produced through solution processing
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
KR100668846B1 (ko) 2005-06-10 2007-01-16 주식회사 하이닉스반도체 상변환 기억 소자의 제조방법
US7771917B2 (en) 2005-06-17 2010-08-10 Micron Technology, Inc. Methods of making templates for use in imprint lithography
US7507618B2 (en) 2005-06-27 2009-03-24 3M Innovative Properties Company Method for making electronic devices using metal oxide nanoparticles
US7118784B1 (en) 2005-06-27 2006-10-10 The Regents Of The University Of California Method and apparatus for controlling nucleation in self-assembled films
US7776715B2 (en) 2005-07-26 2010-08-17 Micron Technology, Inc. Reverse construction memory cell
US7306083B2 (en) 2005-07-27 2007-12-11 Gm Global Technology Operations, Inc. Magnetorheological fluid device
WO2007019439A2 (en) 2005-08-04 2007-02-15 Angiotech International Ag Block copolymer compositions and uses thereof
US20070045642A1 (en) 2005-08-25 2007-03-01 Micron Technology, Inc. Solid-state imager and formation method using anti-reflective film for optical crosstalk reduction
US7456928B2 (en) 2005-08-29 2008-11-25 Micron Technology, Inc. Systems and methods for controlling ambient pressure during processing of microfeature workpieces, including during immersion lithography
EP1760527B1 (en) 2005-09-05 2012-06-06 DWI an der RWTH Aachen e.V. Photochemical method for manufacturing nanometrically surface-decorated substrates
JP4598639B2 (ja) 2005-09-27 2010-12-15 Okiセミコンダクタ株式会社 半導体装置の製造方法
US8618221B2 (en) 2005-10-14 2013-12-31 Wisconsin Alumni Research Foundation Directed assembly of triblock copolymers
WO2007053579A2 (en) * 2005-10-31 2007-05-10 Kabushiki Kaisha Toshiba Short-wavelength polarizing elements and the manufacture and use thereof
US20070183035A1 (en) 2005-10-31 2007-08-09 Koji Asakawa Short-wavelength polarizing elements and the manufacture and use thereof
WO2007055041A1 (ja) 2005-11-10 2007-05-18 National University Corporation Kyoto Institute Of Technology 配向したシリンダー構造を有するブロック共重合体膜およびその製造方法
US20070122749A1 (en) 2005-11-30 2007-05-31 Fu Peng F Method of nanopatterning, a resist film for use therein, and an article including the resist film
DE602006000939T2 (de) 2006-01-18 2009-07-09 Consiglio Nazionale Delle Ricerche Nanometervorrichtung zur Messung der Leitfähigkeit und Quanteneffekte einzelner Moleküle sowie Verfahren zur Herstellung und Verwendung
KR20080083674A (ko) 2006-01-20 2008-09-18 플렉스트로닉스, 인크 폴리티오펜을 포함하는 정전기 코팅 및 물품
JP2007194175A (ja) 2006-01-23 2007-08-02 Seiko Epson Corp 導体パターン用インク、導体パターン、配線基板及び電気光学装置並びに電子機器
US7568603B2 (en) 2006-01-31 2009-08-04 Ethicon Endo-Surgery, Inc. Motor-driven surgical cutting and fastening instrument with articulatable end effector
US7347953B2 (en) * 2006-02-02 2008-03-25 International Business Machines Corporation Methods for forming improved self-assembled patterns of block copolymers
US20080073743A1 (en) 2006-02-17 2008-03-27 Lockheed Martin Corporation Templated growth of semiconductor nanostructures, related devices and methods
US20070194403A1 (en) 2006-02-23 2007-08-23 International Business Machines Corporation Methods for fabricating semiconductor device structures with reduced susceptibility to latch-up and semiconductor device structures formed by the methods
US20070208159A1 (en) 2006-03-02 2007-09-06 General Electric Company Poly(arylene ether) block copolymer compositions, methods, and articles
US7579278B2 (en) 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US20070222995A1 (en) 2006-03-27 2007-09-27 Jennifer Lu Artifact having a textured metal surface with nanometer-scale features and method for fabricating same
KR100753542B1 (ko) 2006-04-19 2007-08-30 삼성전자주식회사 수지 조성물, 이를 이용한 패턴 형성 방법 및 커패시터형성 방법
US8080822B2 (en) 2006-05-22 2011-12-20 Nanyang Technological University Solution-processed inorganic films for organic thin film transistors
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US20070289943A1 (en) 2006-06-14 2007-12-20 Jennifer Lu Block copolymer mask for defining nanometer-scale structures
US7605081B2 (en) 2006-06-19 2009-10-20 International Business Machines Corporation Sub-lithographic feature patterning using self-aligned self-assembly polymers
JP4673266B2 (ja) 2006-08-03 2011-04-20 日本電信電話株式会社 パターン形成方法及びモールド
US20080038467A1 (en) * 2006-08-11 2008-02-14 Eastman Kodak Company Nanostructured pattern method of manufacture
JP4421582B2 (ja) 2006-08-15 2010-02-24 株式会社東芝 パターン形成方法
US20080047930A1 (en) 2006-08-23 2008-02-28 Graciela Beatriz Blanchet Method to form a pattern of functional material on a substrate
KR100739000B1 (ko) 2006-09-11 2007-07-12 삼성전자주식회사 상변화 기억 소자의 형성 방법
KR100771886B1 (ko) 2006-09-27 2007-11-01 삼성전자주식회사 블럭 공중합체를 사용한 미세 콘택홀 형성 방법 및 반도체소자 제조 방법
US7658773B2 (en) 2006-09-29 2010-02-09 Qimonda Ag Method for fabricating a solid electrolyte memory device and solid electrolyte memory device
US7795607B2 (en) 2006-09-29 2010-09-14 Intel Corporation Current focusing memory architecture for use in electrical probe-based memory storage
TWI311337B (en) 2006-10-02 2009-06-21 Au Optronics Corporatio Multi-domain vertical alignment pixel structure and fabrication method thereof
US7592247B2 (en) 2006-10-04 2009-09-22 International Business Machines Corporation Sub-lithographic local interconnects, and methods for forming same
US7553760B2 (en) * 2006-10-19 2009-06-30 International Business Machines Corporation Sub-lithographic nano interconnect structures, and method for forming same
US8343578B2 (en) 2006-10-30 2013-01-01 International Business Machines Corporation Self-assembled lamellar microdomains and method of alignment
US20100150510A1 (en) 2006-10-31 2010-06-17 Nippon Shokubai Co., Ltd Flexible optical waveguide, process for its production, and epoxy resin composition for flexible optical waveguides
US7560222B2 (en) 2006-10-31 2009-07-14 International Business Machines Corporation Si-containing polymers for nano-pattern device fabrication
US7514339B2 (en) 2007-01-09 2009-04-07 International Business Machines Corporation Method for fabricating shallow trench isolation structures using diblock copolymer patterning
KR20080069000A (ko) 2007-01-22 2008-07-25 삼성전자주식회사 액정 표시 장치
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US7767099B2 (en) 2007-01-26 2010-08-03 International Business Machines Corporaiton Sub-lithographic interconnect patterning using self-assembling polymers
WO2008096335A2 (en) 2007-02-07 2008-08-14 Yeda Research And Development Co. Ltd. Producing an array of nanoscale structures on a substrate surface via a self-assembled template
WO2008097300A2 (en) 2007-02-08 2008-08-14 Regents Of The University Of Minnesota Ion gels and electronic devices utilizing ion gels
US7964107B2 (en) 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US20090020924A1 (en) 2007-02-21 2009-01-22 Iowa State University Research Foundation, Inc. Drying-mediated self-assembly of ordered or hierarchically ordered micro- and sub-micro scale structures and their uses as multifunctional materials
US8431149B2 (en) 2007-03-01 2013-04-30 Boston Scientific Scimed, Inc. Coated medical devices for abluminal drug delivery
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US20080233297A1 (en) 2007-03-23 2008-09-25 Xerox Corporation Methods of forming a photoreceptor device having a self-assembled patterned binder layer
US7999160B2 (en) 2007-03-23 2011-08-16 International Business Machines Corporation Orienting, positioning, and forming nanoscale structures
US7888228B2 (en) 2007-04-05 2011-02-15 Adesto Technology Corporation Method of manufacturing an integrated circuit, an integrated circuit, and a memory module
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
DE102007024653A1 (de) 2007-05-26 2008-12-04 Forschungszentrum Karlsruhe Gmbh Stempel für das Mikrokontaktdrucken und Verfahren zu seiner Herstellung
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
KR101291223B1 (ko) 2007-08-09 2013-07-31 한국과학기술원 블록 공중합체를 이용한 미세 패턴 형성 방법
US7732533B2 (en) 2007-08-31 2010-06-08 Micron Technology, Inc. Zwitterionic block copolymers and methods
US8083958B2 (en) 2007-12-05 2011-12-27 International Business Machines Corporation Patterning method using a combination of photolithography and copolymer self-assemblying lithography techniques
WO2009079241A2 (en) 2007-12-07 2009-06-25 Wisconsin Alumni Research Foundation Density multiplication and improved lithography by directed block copolymer assembly
KR20090076408A (ko) 2008-01-08 2009-07-13 삼성에스디아이 주식회사 이종상 백금 촉매 및 이를 이용한 태양전지
US7989026B2 (en) 2008-01-12 2011-08-02 International Business Machines Corporation Method of use of epoxy-containing cycloaliphatic acrylic polymers as orientation control layers for block copolymer thin films
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US7696085B2 (en) * 2008-02-20 2010-04-13 International Business Machines Corporation Dual damascene metal interconnect structure having a self-aligned via
US7906031B2 (en) 2008-02-22 2011-03-15 International Business Machines Corporation Aligning polymer films
US8168468B2 (en) 2008-02-29 2012-05-01 Freescale Semiconductor, Inc. Method of making a semiconductor device including a bridgeable material
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8114300B2 (en) 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8114468B2 (en) 2008-06-18 2012-02-14 Boise Technology, Inc. Methods of forming a non-volatile resistive oxide memory array
US8211737B2 (en) 2008-09-19 2012-07-03 The University Of Massachusetts Method of producing nanopatterned articles, and articles produced thereby
US8088551B2 (en) 2008-10-09 2012-01-03 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8173034B2 (en) 2008-11-17 2012-05-08 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8314206B2 (en) 2008-12-02 2012-11-20 Micron Technology, Inc. Block copolymer-comprising compositions and methods of purifying PS-b-PXVP
JP5281386B2 (ja) 2008-12-22 2013-09-04 株式会社日立製作所 高分子薄膜及びパターン媒体並びにこれらの製造方法
US8114306B2 (en) 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
US8834956B2 (en) 2009-06-22 2014-09-16 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8206601B2 (en) 2009-12-18 2012-06-26 Hitachi Global Storage Technologies Netherlands B.V. Supporting membranes on nanometer-scale self-assembled films
WO2011102140A1 (ja) 2010-02-19 2011-08-25 東京エレクトロン株式会社 半導体装置の製造方法
US9393092B2 (en) 2010-06-25 2016-07-19 Nanovis, Inc. Method for producing nanosurfaces with nano, micron, and/or submicron structures on a polymer
TWI537675B (zh) 2010-10-07 2016-06-11 東京應化工業股份有限公司 導光圖型形成用負型顯像用光阻組成物、導光圖型形成方法、含嵌段共聚物之層的圖型形成方法
US8734904B2 (en) 2010-11-30 2014-05-27 International Business Machines Corporation Methods of forming topographical features using segregating polymer mixtures
US20120135159A1 (en) 2010-11-30 2012-05-31 Seagate Technology Llc System and method for imprint-guided block copolymer nano-patterning
US20120164389A1 (en) 2010-12-28 2012-06-28 Yang Xiaomin Imprint template fabrication and repair based on directed block copolymer assembly
US9299381B2 (en) 2011-02-07 2016-03-29 Wisconsin Alumni Research Foundation Solvent annealing block copolymers on patterned substrates
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
EP2594995A1 (en) 2011-11-16 2013-05-22 University College Cork A method for providing a nanopattern of metal oxide nanostructures on a substrate
US8835581B2 (en) 2012-06-08 2014-09-16 Az Electronic Materials (Luxembourg) S.A.R.L. Neutral layer polymer composition for directed self assembly and processes thereof
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
JP5758422B2 (ja) 2013-02-19 2015-08-05 株式会社東芝 パターン形成方法
US8980538B2 (en) 2013-03-14 2015-03-17 Tokyo Electron Limited Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides

Also Published As

Publication number Publication date
WO2009117243A1 (en) 2009-09-24
US8633112B2 (en) 2014-01-21
EP2281299B1 (en) 2014-12-10
CN101978469B (zh) 2012-11-21
EP2281299A1 (en) 2011-02-09
US9315609B2 (en) 2016-04-19
JP2011515537A (ja) 2011-05-19
CN101978469A (zh) 2011-02-16
TW201003741A (en) 2010-01-16
TWI391992B (zh) 2013-04-01
KR20100128334A (ko) 2010-12-07
US20160218032A1 (en) 2016-07-28
US20140107296A1 (en) 2014-04-17
US10153200B2 (en) 2018-12-11
US20190115252A1 (en) 2019-04-18
US20090236309A1 (en) 2009-09-24
US8426313B2 (en) 2013-04-23
US20120223053A1 (en) 2012-09-06
US11282741B2 (en) 2022-03-22
KR101121303B1 (ko) 2012-03-22

Similar Documents

Publication Publication Date Title
JP5136869B2 (ja) 等しい優先性で両ブロックを湿潤にするために、制約を受ける上部界面を有するブロック共重合体膜の熱アニーリング
US8455082B2 (en) Polymer materials for formation of registered arrays of cylindrical pores
US8642157B2 (en) One-dimensional arrays of block copolymer cylinders and applications thereof
JP5435438B2 (ja) 下向き半円筒アレイのグラフォエピタキシャル自己組織化
JP5541539B2 (ja) イオン液体を有するブロック共重合体膜の自己組織化における長距離秩序を改善する方法
US8372295B2 (en) Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
TW200921786A (en) Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120530

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120605

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120830

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120830

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121002

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121031

R150 Certificate of patent or registration of utility model

Ref document number: 5136869

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151122

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250