CN101978469B - 具有受限于以均等优先湿润两嵌段的上界面的嵌段共聚物膜的热退火 - Google Patents

具有受限于以均等优先湿润两嵌段的上界面的嵌段共聚物膜的热退火 Download PDF

Info

Publication number
CN101978469B
CN101978469B CN2009801103820A CN200980110382A CN101978469B CN 101978469 B CN101978469 B CN 101978469B CN 2009801103820 A CN2009801103820 A CN 2009801103820A CN 200980110382 A CN200980110382 A CN 200980110382A CN 101978469 B CN101978469 B CN 101978469B
Authority
CN
China
Prior art keywords
block copolymer
copolymer material
substrate
annealing
block
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2009801103820A
Other languages
English (en)
Other versions
CN101978469A (zh
Inventor
丹·B·米尔沃德
蒂莫西·奎克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN101978469A publication Critical patent/CN101978469A/zh
Application granted granted Critical
Publication of CN101978469B publication Critical patent/CN101978469B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F299/00Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers
    • C08F299/02Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers from unsaturated polycondensates
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F299/00Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers
    • C08F299/02Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers from unsaturated polycondensates
    • C08F299/04Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers from unsaturated polycondensates from polyesters
    • C08F299/0485Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers from unsaturated polycondensates from polyesters from polyesters with side or terminal unsaturations
    • C08F299/0492Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers from unsaturated polycondensates from polyesters from polyesters with side or terminal unsaturations the unsaturation being in acrylic or methacrylic groups
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0128Processes for removing material
    • B81C2201/013Etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0198Manufacture or treatment of microstructural devices or systems in or on a substrate for making a masking layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Nanotechnology (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Composite Materials (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Treatments Of Macromolecular Shaped Articles (AREA)
  • Manufacture Of Macromolecular Shaped Articles (AREA)
  • Formation Of Insulating Films (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)

Abstract

本发明提供使用自我组装嵌段共聚物以制造亚光刻纳米级微结构的方法、和自所述方法形成的膜及装置。

Description

具有受限于以均等优先湿润两嵌段的上界面的嵌段共聚物膜的热退火
技术领域
本发明实施例涉及制造自我组装嵌段共聚物薄膜的方法和自所述方法产生的装置。
背景技术
随着纳米级机械、电学、化学和生物装置及系统的加速发展,人们需要制造纳米级装置和组件的新颖方法和材料。随着半导体特征的尺寸缩小至通过常规光刻不易获得的大小,与导线进行电接触已变成一个重大挑战。光学光刻加工方法难以制造低于60纳米级别的结构和特征。自我组装二嵌段共聚物的使用为在纳米级尺寸上进行图案化提供另一途径。二嵌段共聚物膜可通过聚合物构成嵌段在退火后(例如,通过在高于所述聚合物的玻璃转变温度时实施热退火或通过溶剂退火)进行微相分离而自发地组装成周期性结构,从而在纳米级尺寸上形成有序结构域。
可通过二嵌段共聚物中AB嵌段的分子量和体积分率来控制膜形态(包含微相分离结构域的大小和形状)以主要产生薄片状、圆柱体或球形形态。例如,在二嵌段聚合物中两种嵌段(AB)的体积分率的比例大于约80∶20时,嵌段共聚物膜可微相分离并自我组装成周期性球形结构域,其中聚合物A的基质包围聚合物B的球体。对于两嵌段的比例介于约60∶40与80∶20间的情形来说,所述二嵌段共聚物在聚合物A基质内组装成周期性六方形密堆积或蜂窝状阵列的聚合物B圆柱体。对于介于约50∶50与60∶40间的比例来说,可形成所述嵌段的薄片状结构域或交替条带。结构域的大小通常介于5-50nm之间。
自我组装嵌段共聚物(BCP)在光刻中的许多应用需要自我组装结构域垂直于衬底进行定向,其中两种结构域均在空气界面处进行湿润和暴露。利用选择性去除一种聚合物嵌段以形成蚀刻掩膜,然后可将垂直定向的空隙结构用于蚀刻下伏衬底。
大多数BCP(例如,PS-b-PVP等)在空气或真空中的常规热退火通常会使得一种嵌段优先湿润空气蒸气界面。称作区域退火的热退火变化形式可提供快速自我组装(例如,约数分钟),但仅对少数具有均等湿润空气蒸气界面的聚合物结构域的BCP(例如,PS-b-PMMA、PS-b-PLA)有效。BCP的溶剂退火已用于产生与衬底垂直定向的自我组装结构域,但所述方法通常极慢(通常约数天)、且可需要大量溶剂。典型溶剂退火是通过在25℃下将BCP膜暴露于饱和溶剂气氛达至少12小时(通常更长)来实施。
有利地提供可解决所述问题的有序纳米结构阵列的膜的制造方法。
发明内容
参照附图,下列说明提供本发明实施例的装置和方法的说明性实例。此说明仅出于阐明本发明目的而非出于对本发明加以限制的目的。
在本申请案的上下文中,术语“半导体衬底”或“半导电性衬底”或“半导电性晶片片段”或“晶片片段”或“晶片”应理解为意指包括半导体材料的任一构造,包含但不限于体型半导电性材料(例如,半导体晶片(仅含半导电性材料或为上面包括其它材料的组合件))和半导电性材料层(仅含半导电性材料或包括其它材料的组合件)。术语“衬底”是指任一支撑结构,包含但不限于上述半导电性衬底、晶片片段或晶片。
本文所用“Lo”为结构的固有周期性或间距值(区段周期或重复单元),所述结构在退火后会由自我组装(SA)嵌段共聚物自我组装。本文所用“LB”为嵌段共聚物与其一或多种构成均聚物的掺合物的周期性或间距值。“L”在本文中用以指示所述嵌段共聚物或掺合物中各圆柱体的中心至中心的圆柱体间距或间隔,且对于纯净嵌段共聚物来说等于“Lo”,且对于共聚物掺合物来说等于“LB”。
在本发明实施例中,通过引导嵌段共聚物自我组装来制备聚合物材料(例如,膜、层),其中两种聚合物结构域均位于空气界面处。嵌段共聚物材料在退火后通过聚合物构成嵌段的微相分离自发地组装成周期性结构,从而在沟槽内以纳米级尺寸形成垂直定向圆柱体的有序结构域。
参照图1-8来说明根据本发明实施例制造可界定一维(1-D)纳米级、垂直定向圆柱体阵列的自我组装嵌段共聚物材料的方法。
所述实施例涉及在溶剂气氛下圆柱状嵌段共聚物的热退火。退火是与使用以光刻界定的沟槽作为引导的制图外延技术组合实施,所述沟槽具有由对两种聚合物嵌段呈中性湿润的材料组成的底面、和对一种聚合物嵌段呈优先湿润的侧壁和端部,且所述侧壁和端部可用作限制来诱导嵌段共聚物在聚合物基质中自我组装成与沟槽底面垂直定向且与沟槽侧壁对齐的有序1-D单行圆柱体阵列。在一些实施例中,可在每一沟槽中形成两行或两行以上垂直定向的圆柱体。
附图说明
在下文中参照下列附图阐述本发明实施例,所述附图仅用于说明目的。在下列所有视图中,在图式中使用多个参考标号,并且在所述多个视图和说明书中通篇使用的相同参考标号指示相同或类似部件。
图1绘示衬底的一部分在本揭示内容实施例的初级处理阶段的图示性俯视平面图,其展示上面具有中性湿润材料的衬底。图1A-1B分别为沿线条1A-1A和1B-1B所取的图1中所描绘衬底的横截面正视图。
图2绘示图1的衬底在后续阶段的图示性俯视平面图,其展示在中性湿润材料上所形成材料层中的沟槽的形成。图2A-2B分别绘示沿线条2A-2A和2B-2B所取的图2中所描绘衬底部分的横截面正视图。
图3绘示衬底的一部分在本揭示内容另一实施例的初级处理阶段的侧视图,其展示在衬底上所形成材料层中具有沟槽的衬底。图4绘示图3的衬底在后续阶段的侧视图,其展示在沟槽内中性湿润材料的形成。
图5为图2的衬底在后续阶段的图示性俯视平面图,其展示沟槽内的嵌段共聚物材料。图5A-5B分别绘示沿线条5A-5A和5B-5B所取的图5中所描绘衬底部分的横截面正视图。
图6-8为图5的衬底在后续阶段的图示性俯视平面图,其展示通过区域退火技术对本发明实施例的一部分膜的退火。图6A-8A分别绘示沿线条6A-6A、7A-7A和8A-8A所取的图6-8中所描绘衬底的横截面正视图,其展示对膜实施区域退火的加热装置的实施例。图6B为沿线条6B-6B所取的图6中所描绘衬底的横截面正视图。
图9为图5的衬底在本发明方法的另一实施例的后续阶段的俯视平面图,其显示在退火期间将非优先湿润材料置于嵌段共聚物材料上。图9A-9B分别为沿线条9A-9A和9B-9B所取的图9中所描绘衬底的横截面正视图。图10A-10B分别为图9A-9B中所示衬底在后续阶段的横截面图,其展示退火后经退火自我组装嵌段共聚物材料和非优先湿润材料的去除。
图11-13为图8的衬底在后续阶段的俯视平面图,其描绘在去除一种聚合物嵌段后使用自我组装嵌段共聚物膜作为掩膜来蚀刻衬底并填充所蚀刻开孔的实施例。图11A-13A分别绘示沿线条11A-11A至13A-13A所取的图11-13中所描绘衬底部分的横截面正视图。图11B-13B分别为沿线条11B-11B至13B-13B所取的图11-13中所描绘衬底的横截面图。
具体实施方式
如图1-1B中所描绘,提供衬底10,其尤其可为硅、氧化硅、氮化硅、氧氮化硅、氧碳化硅。其中进一步描绘,导线12(或其它有源区,例如,半导电区域)位于衬底10内。
在任一所述实施例中,可在衬底中形成单一沟槽或多个沟槽,且其可跨越导线(或其它有源区)阵列的整个宽度。在本发明实施例中,提供具有间距为L的导线12(或其它有源区)阵列的衬底10。在有源区12(例如,导线)上方形成沟槽以便当对嵌段共聚物材料实施退火时每一圆柱体均位于单一有源区12(例如,导线)上方。在一些实施例中,形成多个沟槽,其中每一个相邻沟槽18的端部(24)彼此对准或彼此稍微偏移小于5%L,以使相邻沟槽中的各圆柱体对准并位于相同线路12上方。
在所示实施例中,在衬底10上方形成中性湿润材料14(例如,无规共聚物)。然后,可在中性湿润材料上方形成材料层16(或一或多个材料层)且对其进行蚀刻以形成与导线12阵列垂直定向的沟槽18,如图2-2B中所示。部分材料层16在沟槽外和沟槽之间形成间隔区20。沟槽18具有下述结构:相对侧壁22、相对端部24、底面26、宽度(wt)、长度(lt)和深度(Dt)。
在另一实施例中,可在衬底10′上形成材料层16′,对其进行蚀刻以形成沟槽18′,如图3中所描绘;且然后可在沟槽底面26′上形成中性湿润材料14′,如图4中所示。例如,可将无规共聚物材料沉积至沟槽18′中且使其发生交联以形成中性湿润材料层。随后可去除沟槽外部表面上(例如,间隔区20′上)的材料(例如,未经交联的无规共聚物)。
可使用具有能够以L级别(例如,约10-100nm)进行图案化的暴露系统的光刻工具来形成单个或多个沟槽18(如图所示)。所述暴露系统包含(例如)如此项技术中已知和使用的极紫外光(EUV)光刻、近接X射线和电子束(e-beam)光刻。常规光学光刻可获得(最小)约58nm的特征。
还可使用称作“间距重复”或“间距倍增”的方法来扩展光学光刻技术超越其最小间距的能力,如在(例如)美国专利第5,328,810号(劳瑞(Lowrey)等人)、美国专利第7,115,525号(阿巴切夫(Abatchev)等人)、US 2006/0281266(韦尔斯(Wells))和US 2007/0023805(韦尔斯(Wells))中所述。简单来说,导线图案是以光学光刻方式形成于衬底的上覆消耗性材料层的上覆光阻剂材料中,所述消耗性材料层经蚀刻以形成预留位置或心轴,剥离所述光阻剂,于心轴侧面上形成间隔区,继而去除心轴,从而留下间隔区作为对衬底实施图案化的掩膜。因此,如果初始光学光刻形成界定一个特征和一个间隔的图案,则现在相同宽度可界定两个特征和两个间隔,其中所述间隔由间隔区来界定。因此,通过光学光刻技术可能形成的最小特征大小会有效地降至约30nm或更小。
在沟槽内形成垂直定向纳米圆柱体的单(1-D)阵列或层的因素包含沟槽宽度(wt)和深度(Dt)、拟达成期望间距(L)的嵌段共聚物或掺合物的配方、和沟槽内嵌段共聚物材料的厚度(t)。
随着(例如)通过添加两种构成均聚物来形成三元掺合物而使沟槽宽度(wt)减小和/或嵌段共聚物的周期性(L值)增加,在沟槽中心内两行垂直圆柱体转变为一行垂直圆柱体。沟槽侧壁22在x轴和y轴上的边界条件产生每一沟槽含有“n”个特征(例如圆柱体)的结构。例如,沉积至具有中性湿润底面的75-nm宽沟槽中且具有35-nm间距或L值的嵌段共聚物或掺合物在退火后会产生17.5-nm直径(约0.5*L)垂直圆柱体的Z字形图案,所述垂直圆柱体相对沟槽的长度(lt)方向大约偏移间距距离的半(约0.5*L),而非产生沿所述沟槽中心与侧壁对准的垂直圆柱体的单直线行。
在所示实施例中,可将沟槽18构造为具有嵌段共聚物的约1.5-2*L(或1.5-2X间距值)的宽度(wt),从而使约L的浇注嵌段共聚物材料(或掺合物)在退火后可自我组装成单行垂直圆柱体(直径为约0.5*L),其中,相邻圆柱体的中心至中心间距距离(p)为或约为L。例如,在使用具有约50nm间距值或L的圆柱状嵌段共聚物时,沟槽18的宽度(wt)可为约1.5-2*50nm或约75-100nm。沟槽的长度(lt)为或约为n*L或L的整数倍,通常在约n*10至约n*100nm的范围内(其中,n为特征或结构(例如圆柱体)的数量)。沟槽18的深度(Dt)大于或等于L(Dt>L)。在相邻沟槽间的间隔区20的宽度可有所变化且通常为约L至约n*L。在一些实施例中,沟槽尺寸为约20-100nm宽(wt)且长度(lt)为约100-25,000nm,深度(Dt)为约10-100nm。
通常以膜形式将固有间距为或约为Lo的自我组装圆柱状嵌段共聚物材料28(或掺和后间距为或约为LB的嵌段共聚物与均聚物的三元掺合物)沉积至沟槽18中(如图5-5B)。
嵌段共聚物(或掺合物)经构造以使所有聚合物嵌段对沟槽底面上的中性湿润材料均具有均等优先。可对嵌段共聚物材料实施构造以提供期望性质,例如,缺陷容限和易显影性和/或一种嵌段的易去除性。在本发明一些实施例中,对嵌段共聚物或掺合物实施构造以便可选择性去除次要结构域。
二嵌段共聚物的实例尤其包含(例如):聚(苯乙烯)-b-聚(乙烯吡啶)(PS-b-PVP)、聚(苯乙烯)-b-聚(甲基丙烯酸甲酯)(PS-b-PMMA)或其它PS-b-聚(丙烯酸酯)或PS-b-聚(丙烯酸甲酯)、聚(苯乙烯)-b-聚(丙交酯)(PS-b-PLA)、聚(苯乙烯)-b-聚(丙烯酸叔丁酯)(PS-b-PtBA)、和聚(苯乙烯)-b-聚(乙烯-共-丁烯)(PS-b-(PS-共-PB))、聚(苯乙烯)-b-聚(环氧乙烷)(PS-b-PEO)、聚(异戊二烯)-b-聚(环氧乙烷)(PI-b-PEO)、聚(异戊二烯)-b-聚(甲基丙烯酸甲酯)(PI-b-PMMA)、聚(丁二烯)-b-聚(环氧乙烷)(PBD-b-PEO)、在PS与PEO嵌段之间具有诸如三苯基甲基(三苯甲基)醚键等可断裂连接的PS-b-PEO嵌段共聚物(任选地与诸如KCl、KI、LiCl、LiI、CsCl或CsI等稀浓度(例如,约1重量%)盐络合)(张(Zhang)等人,高等材料(Adv.Mater.)2007,19,1571-1576)、掺杂有尺寸小于自我组装圆柱体直径的涂布有PEO的金纳米颗粒的PS-b-PMMA嵌段共聚物(帕克(Park)等人,高分子(Macromolecules),2007,40(11),8119-8124)、和具有诸如二巯基等可断裂连接的聚(苯乙烯)-b-聚(2-乙烯吡啶)(PS-b-P2VP)嵌段共聚物,其中在所示实施例中使用PS-b-PVP。可使用其它类型的嵌段共聚物(亦即,三嵌段或多嵌段共聚物)。三嵌段共聚物的实例尤其包含诸如聚(苯乙烯-b-甲基丙烯酸甲酯-b-环氧乙烷)(PS-b-PMMA-b-PEO)等ABC聚合物、和诸如PS-PMMA-PS、PMMA-PS-PMMA和PS-b-PI-b-PS等ABA共聚物。
膜形态(包含微相分离结构域的结构域大小和周期(Lo))可通过嵌段共聚物的链长度(分子量,MW)和二嵌段共聚物的AB嵌段的体积分率加以控制以(主要)产生圆柱形形态。例如,在两种嵌段的体积分率比通常介于约60∶40与80∶20(A∶B)之间时,二嵌段共聚物会微相分离并于聚合物A基质内自我组装成聚合物B的周期性圆柱形结构域。于PS基质中形成约14nm直径圆柱形PVP结构域的圆柱体形成PS-b-PVP共聚物材料(Lo约为28nm)的实例是由约70重量%PS和30重量%PVP组成,总分子量(Mn)为44.5kg/mol。于PS基质中形成约20nm直径圆柱形PMMA结构域的圆柱体形成PS-b-PMMA共聚物材料(Lo=35nm)的实例是由约70重量%PS和30重量%PMMA组成,总分子量(Mn)为67kg/mol。作为另一实例,总分子量(Mn)为约60.5kg/mol的PS-b-PLA共聚物材料(L=49nm)可由约71重量%PS和29重量%PLA组成以在PS基质中形成约27nm直径的圆柱形PLA结构域。
可通过(例如)调节嵌段共聚物的分子量来改变所述嵌段共聚物的L值。还可将嵌段共聚物材料调配为包括嵌段共聚物和与所述嵌段共聚物中聚合物嵌段同类型的聚合物的一或多种均聚物(HP)的二元或三元掺合物,从而产生可增大聚合物结构域的大小并增加L值的掺合物。所述掺合物中均聚物的浓度可介于0至约60重量%之间。
三元二嵌段共聚物掺合物的实例为PS-b-P2VP/PS/P2VP掺合物,例如,60重量%的32.5K/12K PS-b-P2VP、20重量%的10K PS、和20重量%的10K P2VP。三元二嵌段共聚物掺合物的另一实例为PS-b-PMMA/PS/PMMA掺合物,例如,60重量%的46K/21K PS-b-PMMA、20重量%的20K聚苯乙烯和20重量%的20K聚(甲基丙烯酸甲酯)。又一实例为60∶20∶20(重量%)PS-b-PEO/PS/PEO的掺合物、或约85-90重量%PS-b-PEO和至多10-15重量%PEO的掺合物;据信,所添加PEO均聚物至少在一定程度上可起到将PEO结构域的表面能降至PS的表面能的作用。
在本发明实施例中,将沟槽底面26结构化为呈中性湿润(对共聚物的两种嵌段具有均等亲和力)以诱导形成垂直沟槽底面定向的圆柱形聚合物结构域,且将沟槽侧壁22和端部24结构化为受嵌段共聚物的一种嵌段优先湿润以在聚合物嵌段自我组装时引导圆柱体与侧壁对齐。因应沟槽表面的湿润性质,在退火后,圆柱状嵌段共聚物的优选或次要嵌段将发生自我组装以沿沟槽长度方向于聚合物基质中心形成单行圆柱形结构域,且分离至沟槽的侧壁和边缘处以形成薄界面刷状层或湿润层(例如,如图6-6B中所示)。熵力驱动两种嵌段湿润中性湿润表面,而焓力驱动优选嵌段(例如,次要嵌段)湿润优先湿润表面。
例如,为提供优先湿润表而,在使用PS-b-PVP(或PS-b-PMMA等)嵌段共聚物时,材料层16尤其可由对PVP(或PMMA等)嵌段表现优先湿润的以下材料组成:硅(和自生氧化物)、氧化物(例如,氧化硅SiOx)、氮化硅、氧碳化硅、氧化铟锡(ITO)、氧氮化硅、和抗蚀剂材料(例如基于丙烯酸甲酯的抗蚀剂和聚二甲基戊二酰亚胺抗蚀剂)。例如,在使用PS-b-PVP圆柱状嵌段共聚物材料时,嵌段共聚物材料将发生自我组装而在PS基质内形成PVP的薄界面层和圆柱体。
在其它实施例中,例如,可通过旋涂将优先湿润材料(例如经含有-OH的部分(例如,甲基丙烯酸羟乙基酯)修饰的聚甲基丙烯酸甲酯(PMMA))施加至沟槽表面上,随后加热(例如,至约170℃)以便末端OH基团末端接枝至沟槽的氧化物侧壁22和端部24上。未接枝的材料可通过用适当溶剂(例如,甲苯)冲洗来去除。例如,参见曼斯基(Mansky)等人,科学(Science),1997,275,1458-1460和殷(In)等人,朗缪尔(Langmuir),2006,22,7855-7860。
中性湿润沟槽底面26容许共聚物材料的两种嵌段湿润沟槽底面。中性湿润材料14可通过下述步骤提供:将中性湿润聚合物(例如,中性湿润无规共聚物)施加至衬底10上,形成材料层16且随后蚀刻沟槽以暴露下伏中性湿润材料,如图2-2B中所示。
在图3-4中所绘示的另一实施例中,中性湿润无规共聚物材料可在形成沟槽18′后通过浇注或旋涂以(例如)毯覆涂层形式施加至所述沟槽中,如图4中所描绘。随后可对无规共聚物材料实施热处理以使所述材料通过毛细管作用流入沟槽底部,此会产生由经交联中性湿润无规共聚物组成的层(垫)14′。在另一实施例中,可将沟槽内的无规共聚物材料曝光(例如,通过掩膜或光掩膜)以使所述无规共聚物在沟槽内交联从而形成中性湿润材料14′。随后可去除沟槽外(例如,在间隔区20′上)的未经交联的无规共聚物材料。
具体来说,可通过以下方式来制备中性湿润表面:施加由与嵌段共聚物中的单体相同的单体组成的无规共聚物,且对其进行调整以使每一单体的摩尔分率适合形成中性湿润表面。例如,在使用PS-b-PVP嵌段共聚物时,中性湿润材料14可自对PS和PVP表现非优先或中性湿润的光可交联的无规PS-r-PVP的薄膜形成,可将所述中性湿润材料浇注至衬底10上(例如,通过旋涂来浇注)。所述无规共聚物材料可通过化学接枝(于氧化物衬底上)或通过热或光解交联(任一表面)而固定在适当位置,从而形成对PS和PVP呈中性湿润且在浇注嵌段共聚物材料时因交联而不溶解的垫。在另一实例中,在使用PS-b-PMMA时,可使用光可交联的PS-r-PMMA无规共聚物(例如,含有约0.6摩尔分率苯乙烯者)。
在衬底10为硅(和自生氧化物)的实施例中,可通过氢端接硅来提供PS-b-PMMA的另一中性湿润表面。可(例如)通过氢等离子来蚀刻沟槽18的底面26以去除氧化物材料并形成氢端接硅,所述氢端接硅对嵌段共聚物材料的两种嵌段具有均等亲和力且呈中性湿润。可通过常规制程来制备H-端接硅,例如,通过使硅衬底暴露于氟化氢(HF)和缓冲HF或氟化铵(NH4F)的水溶液中对所述硅衬底(存在自生氧化物,约
Figure BPA00001229874200071
Figure BPA00001229874200072
)实施氟离子蚀刻,通过HF蒸气处理,或通过氢等离子处理(例如,原子氢)。
可通过将无规共聚物(例如,PS-r-PVP、PS-r-PMMA等)选择性地接枝至衬底上来进一步处理H-端接硅衬底,从而产生对应嵌段共聚物(例如,PS-b-PVP、PS-b-PMMA等)的中性湿润表面。例如,通过苯乙烯与甲基丙烯酸甲酯的原位自由基聚合使用可将聚合物连接至表面上的二烯连接体(例如,二乙烯基苯)来提供PS-r-PMMA无规共聚物的中性湿润层,从而产生约10-15nm厚膜。
再次参照图3-4,在另一实施例中,可在形成材料层16′和沟槽18′后施加中性湿润无规共聚物材料14′,其选择性地与沟槽底面26′(由衬底10′材料组成)而非沟槽侧壁22′或端部24′(由材料层16′组成)发生反应。例如,含有环氧化物基团的无规共聚物(或均聚物与嵌段共聚物表面活性剂的适宜掺合物)可相对于氧化硅或硅选择性地与氮化硅和氧氮化硅表面上的末端胺官能团(例如,-NH-和-NH2)发生反应。在沟槽底面26′为硅或多晶硅且侧壁22′为诸如氧化物(例如,SiOx)等材料的另一实例中,可对所述底面实施处理以形成H-端接硅,且可仅在所述底面表面原位形成无规共聚物材料14′(例如,PS-r-PVP、PS-r-PMMA等)。
在另一实施例中,可通过接枝基于三氯硅烷的自我组装单层(SAM)(例如,接枝至氧化物(例如,SiO2)的3-(对-甲氧基苯基)丙基三氯硅烷)的SAM来提供中性湿润表面(例如,PS-b-PMMA和PS-b-PEO),例如,如由D.H.帕克在纳米技术(Nanotechnology)18(2007),第355304页中所述。
在另一实施例中,可通过在约160℃下加热约48小时使聚苯乙烯(PS)、具有羟基的聚甲基丙烯酸酯(PMMA)(例如,甲基丙烯酸2-羟基乙基酯)的中性湿润无规共聚物(例如,P(S-r-MMA-r-HEMA))(例如,约58重量%PS)作为厚约5-10nm的中性湿润层14选择性地接枝至衬底10(例如,氧化物)上。参见,例如,殷等人,朗缪尔,2006,22,7855-7860。
在又一实施例中,可将羟基末端均聚物与相应低分子量嵌段共聚物的掺合物接枝(共价键结)至衬底上以尤其形成PS-b-PMMA和PS-b-P2VP的中性湿润界面层(例如,约4-5nm)。嵌段共聚物在接枝前可起到乳化均聚物掺合物的作用。例如,可将约20-50重量%(或约30-40重量%)OH-端接均聚物(例如,Mn=6K)与约80-50重量%(或约70-60重量%)低分子量嵌段共聚物(例如,5K-5K)的掺合物的约1重量%溶液(例如,存于甲苯中)旋涂于衬底10(例如,SiO2)上,加热(烘烤)(例如,在160℃下),并通过(例如)用溶剂(例如,甲苯)冲洗去除未接枝(未键结)的聚合物材料。例如,可自约30重量%PS-OH(Mn=6K)和PMMA-OH(Mn=6K)(重量比率为4∶6)与约70重量%PS-b-PMMA(5K-5K)的掺合物或自PS-OH(6K)、P2VP-OH(6K)与PS-b-2PVP(8K-8K)的三元掺合物等制备中性湿润材料。
对PS-b-PMMA呈中性湿润的表面还可通过旋涂诸如苯乙烯和甲基丙烯酸甲酯的苯并环丁烯-或叠氮基甲基苯乙烯-官能化无规共聚物(例如,聚(苯乙烯-r-苯并环丁烯-r-甲基丙烯酸甲酯)(PS-r-PMMA-r-BCB))等光-或热可交联无规共聚物的毯覆层来制备。例如,此一无规共聚物可包括约42重量%的PMMA、约(58-x)重量%的PS和x重量%(例如,约2-3重量%)的聚苯并环丁烯或聚(对-叠氮基甲基苯乙烯)。可对叠氮基甲基苯乙烯-官能化无规共聚物实施UV光交联(例如,1-5MW/cm^2曝光约15秒至约30分钟)或热交联(例如,在约170℃下保持约4小时)以形成交联聚合物垫作为中性湿润层14。可对苯并环丁烯-官能化无规共聚物实施热交联(例如,在约200℃下保持约4小时或在约250℃下保持约10分钟)。
如图5-5B中所示,可将固有间距为或约为Lo的自我组装圆柱状嵌段共聚物材料28(或经掺和间距为或约为LB的嵌段共聚物与均聚物的三元掺合物)浇注或沉积至沟槽18中直至厚度(t1)为或约为嵌段共聚物材料的L值(例如,约L+20%)以便在退火后(例如,图6A),厚度(t2)为或约为L值且嵌段共聚物材料可自我组装以在每一沟槽18中的聚合物基质内以单行形式形成直径为约0.5*L(例如,5-50nm,或约20nm)的圆柱体单层。例如,可通过椭圆测量技术来测量嵌段共聚物材料28的厚度。
例如,可通过自共聚物存于诸如二氯乙烷(CH2Cl2)或甲苯等有机溶剂中的稀溶液(例如,约0.25-2重量%溶液)旋转浇注(旋涂)来沉积嵌段共聚物材料。毛细管力将过量的嵌段共聚物材料28(例如,多于单层者)拉至沟槽18中。如图所示,可将嵌段共聚物材料的薄层或膜28a沉积至沟槽外的材料层16上(例如,间隔区20上)。退火后,薄膜28a将流入沟槽中,从而使得自俯视角度观察在材料层16上留下无结构刷状层。
然后在含有部分饱和浓度有机溶剂的蒸气相下将嵌段共聚物(BCP)材料28加热至高于其玻璃转变温度的温度以根据沟槽表面的优先和中性湿润使聚合物嵌段进行相分离和自我组装,从而形成自我组装聚合物材料30,如图6-6B中所示。在特定温度下达成中性湿润蒸气界面的溶剂蒸气的适宜分压至少部分地取决于所用嵌段共聚物且可根据经验来确定。
在高于嵌段共聚物材料的玻璃转变温度(Tg)但低于其分解或降解温度(Td)的热退火温度下加热所述嵌段共聚物。例如,可在约150-275℃温度下于溶剂蒸气气氛中对PS-b-PVP嵌段共聚物材料实施退火约1-24小时以达成自我组装形态。可在约150-275℃温度下于溶剂蒸气气氛中对PS-b-PMMA嵌段共聚物材料实施退火约1-24小时以达成自我组装形态。
在真空热退火的大多数应用中,空气界面对种聚合物结构域呈优先湿润且BCP材料并不定向成垂直结构。在本发明实施例中,在加热期间,将BCP材料28暴露于两种嵌段的“良好”溶剂(亦即,可充分地溶剂化两种构成嵌段的中性有机溶剂)的溶剂蒸气中。
溶剂退火通常由两个阶段组成。在第一阶段中,将BCP材料暴露于可用于塑化膜并增加链移动性的溶剂蒸气中,从而造成结构域混杂并丧失自浇注所述聚合物材料获得的固有有序性。所用有机溶剂至少在一定程度上是基于其在嵌段共聚物材料中的溶解度以便使足够溶剂分子进入所述嵌段共聚物材料中,从而促进聚合物结构域的有序-无序转变并使得可达成所需的分子重排。溶剂实例尤其包含芳香族溶剂,例如,苯、甲苯、二甲苯、二甲氧基乙烷、乙酸乙酯、环己酮等;和氯化溶剂,例如,氯仿、二氯甲烷、氯仿/辛烷混合物等。在第二阶段中,自溶剂蒸气移出衬底并使溶剂和溶剂蒸气自聚合物材料中扩散并蒸发。嵌段共聚物材料随着溶剂自所述材料蒸发而开始“变干”。溶剂蒸发具有高定向性且自BCP材料“顶部”(表面)至沟槽底面处的BCP材料“底部”形成可诱导结构定向和自我组装的溶剂梯度,所述定向和自我组装始于空气-表面界面(因界面处溶剂的分压而呈中性湿润)且向下进行至沟槽底面,同时由沟槽侧壁引导形成垂直定向的圆柱形结构域(34)且其自空气界而(46)完全延伸至衬底表面(沟槽底面)。
在本发明实施例中,在高于溶剂沸点的温度下加热衬底10和BCP材料28以阻止溶剂对BCP材料的溶胀。
在嵌段共聚物材料上方使用部分饱和的溶剂蒸气相可提供中性湿润界面,此与溶剂退火的第二阶段相似。在邻近BCP材料的蒸气界面处使空气中的溶剂浓度维持于饱和状态或不饱和状态以维持中性湿润界面以便两种(或所有)聚合物嵌段可均等地湿润蒸气界面。因空气和沟槽底面二者均为中性湿润,故在整个膜层内结构域均可垂直定向,且优先湿润的侧壁可诱导侧序。
尤其可使用(例如)原子力显微镜(AFM)、穿透式电子显微镜(TEM)、扫描式电子显微镜(SEM)来检查经退火共聚物材料30的所得形态(例如,圆柱体的垂直定向)。
在本发明实施例中,通过在溶剂气氛中全面加热沟槽内的嵌段共聚物来实施退火。
在其它实施例中,通过局部施加热能(例如热量)实施区域退火以对衬底10上沟槽内嵌段共聚物材料28的部分或区段实施退火。区域退火可使嵌段共聚物材料快速自我组装(例如,约数分钟)。
例如,如图6-8中依次所描绘,衬底10(处于蒸气气氛中)和热或热源32(或加热及冷却组合源)可彼此相对移动(例如,箭头←)以便将热量施加于衬底10上方(或下方)。开始时,仅将一部分BCP材料加热至高于玻璃转变温度,且然后横跨衬底“拉动”经加热区域(或反之亦然)。例如,可使用诸如电机平移台等机构(未图示)以平移设定速度(例如,约0.05-10μm/秒)横跨衬底10移动热源32。横跨衬底“拉动”所述经加热区域(或反之亦然)相对于全而热退火可更快速地处理并产生更有序结构。
在一些实施例中,可在衬底上方(或下方)提供热-至-冷温度梯度以便以可控速率将衬底的某一部分加热然后冷却。在其它实施例中,可将衬底暴露于冷-至-热温度梯度中以将BCP材料退火,然后实施冷却。
在其它实施例中,例如,可将BCP材料加热至高于有序-无序转变温度且然后冷却至低于所述温度(但高于玻璃转变温度)以去除(熔化去除)缺陷且促使材料再结晶,前提为所述嵌段共聚物材料的有序-无序转变温度(To-d)低于分解温度(Td)。有序-无序转变温度是由嵌段共聚物的温度相依性、Chi值、每个链中的单体总数量、和单体组成来界定。
嵌段共聚物材料中仅所述加热至高于聚合物构成嵌段的玻璃转变温度(Tg)的部分会自我组装,且未经充分加热的材料区保持无序且未自我组装。例如,如图6-6B中所示,开始时,可对沟槽18a内的嵌段共聚物材料实施加热和退火以形成自我组装材料30,同时沟槽18b、18c内的未退火嵌段共聚物材料28保持无序。嵌段共聚物材料28中仅所述加热至高于玻璃转变温度(Tg)的部分可发生自我组装。如图7-7A中所示,然后可选择性加热衬底的相邻部分,从而使得沟槽18b内的嵌段共聚物材料发生自我组装。然后可对衬底的剩余区域实施加热,例如,如图8-8A中所描绘。
退火后,圆柱状嵌段共聚物材料28将因应嵌段共聚物组合物(例如,固有间距为或约为L的PS-b-PVP)的特征和边界条件自我组装成聚合物材料30(例如,膜),所述边界条件包含沟槽18宽度(wt)所提供的限制和沟槽表面(包含对两种聚合物嵌段(例如,无规接枝共聚物)显示中性或非优先湿润的沟槽底面26、由嵌段共聚物的次要(优选)嵌段(例如,PVP嵌段)优先湿润的侧壁22)的湿润性质、和与沟槽中嵌段共聚物材料表面26接触的中性或非优先溶剂(或在一些实施例中,呈中性或非优先湿润的膜或材料)的存在。退火可在主要聚合物嵌段(例如,PS)的基质36内产生一行(或数行)次要聚合物(优选)嵌段(例如,PVP)的垂直定向圆柱体34,其中所述圆柱体与沟槽的侧壁22对齐且平行。圆柱体34的直径通常为或约为0.5*L(例如,大约圆柱体间的中心至中心距离的一半)。此外,次要(优选)嵌段(例如,PVP)将发生分离且湿润沟槽18的优先湿润侧壁22和端部24以形成厚度通常为相邻圆柱体34间中心至中心距离的大约1/4的薄界面或湿润刷状层34a。例如,PVP嵌段层可湿润氧化物界面,其中所连接的PS结构域自氧化物材料向外伸出。
在一些实施例中,自我组装嵌段共聚物材料30是由单层圆柱形结构域(圆柱体)34阵列来界定,每一圆柱体的直径为或约为0.5*L(例如,大约圆柱体间中心至中心距离的一半),行中圆柱体的数量(n)与沟槽长度(lt)相对应,且每一圆柱体间的中心至中心距离(间距距离,p)为或约为L。
任选地,在嵌段共聚物材料退火且有序化后,可处理共聚物材料以交联聚合物区段(例如,PS区段)从而固定自我组装聚合物嵌段且增强其强度。可将聚合物结构化为内在交联(例如,通过暴露于紫外线(UV)辐射,包含深紫外线(DUV)辐射),或可将共聚物材料的一种聚合物嵌段调配为含有交联剂。
通常,沟槽外(例如,间隔区20上)的膜28a不会厚至可进行自我组装。任选地,例如,如图8-8A中所示,可通过蚀刻技术或平坦化方法来去除未结构化薄膜28a以提供大致均匀的平面。例如,可通过光掩膜(未图示)使沟槽区选择性地曝光以使沟槽18内仅经退火且自我组装的聚合物材料30发生交联,且随后可用适宜溶剂(例如,甲苯)实施洗涤以去除嵌段共聚物材料28a的未经交联部分(例如,间隔区20上者),从而在所述沟槽内留下对齐的自我组装聚合物材料并暴露所述沟槽上方/外部的材料层16的表面。在另一实施例中,对经退火聚合物材料30实施全面交联,可施加光阻剂材料以图案化并暴露在沟槽区外的聚合物材料28a区,且可(例如)通过氧(O2)等离子处理来去除聚合物材料28a的暴露部分。
参照图9,在本发明方法的另一实施例中,实施热退火,同时将非优先湿润材料37′施加至沟槽中嵌段共聚物(BCP)材料28′的表面上。在一些实施例中,非优先湿润材料37′是由可以物理方式置于BCP材料28′上的固体材料组成,例如,可提供中性湿润外表面的柔软、挠性或橡胶样固体材料,例如交联的聚(二甲基硅氧烷)(PDMS)弹性体(例如,道康宁(Dow-Corning)的Sylgard-184)或其它弹性聚合物材料(例如,硅橡胶、聚氨基甲酸酯等)。可衍生固体材料(例如,通过接枝无规共聚物)以使其表现中性湿润表面。
对于与嵌段共聚物材料28′的表面接触的非优先湿润材料37′,实施热退火过程(箭头↓,图9A-9B)以使聚合物嵌段因应沟槽表面的优先和中性湿润和上覆材料37′的非优先(中性)湿润而发生相分离,且形成自我组装聚合物材料30′,如图10A-10B中所示。
退火后,可去除与经退火聚合物材料30′接触的非优先湿润材料37′(箭头↑),如图10A中所描绘。可(例如)通过自经退火聚合物材料表面举离或剥离材料来去除PDMS或其它弹性材料层37′。此外,可施加(例如,通过浸泡)相容于且不溶解嵌段共聚物材料30′的溶剂(例如,水、醇、和诸如此类)以渗透且溶胀弹性材料(例如,PDMS)从而促进物理去除。还可施加稀氟化物溶液(例如,NH4F、HF、NaF等)来蚀刻和溶解PDMS材料以自经退火聚合物材料中将其去除。
在自我组装后,随后可根据需要进一步处理在衬底上形成的垂直定向圆柱体图案以(例如)形成蚀刻掩膜,以供通过选择性去除自我组装嵌段共聚物的一种嵌段在下伏衬底10中图案化形成纳米级特征。由于此方法中所涉及的结构域大小和周期(L)是由嵌段共聚物的链长度(MW)确定,因此分辨率可超过其它技术(例如,常规光学光刻)。使用所述技术的处理成本显著低于极紫外线(EUV)光学光刻,但其具有相当的分辨率。
例如,如图11-11B中所示,在一实施例中,可通过选择性去除自我组装聚合物材料30的圆柱形聚合物结构域34以在聚合物基质36(例如,PS)内产生开孔40来形成蚀刻掩膜38,从而暴露沟槽底面26处的下伏衬底10。例如,可通过选择性湿蚀刻(例如,通过UV暴露/乙酸显影来去除PMMA和PLA,通过含有氢氧化钠的水-甲醇混合物来去除PLA,通过氢碘酸水溶液或水来去除PEO,等等)或通过选择性反应性离子蚀刻(RIE)方法来去除圆柱形结构域34。在嵌段共聚物包含可断裂连接基团的实施例中,可将膜暴露于含有裂解剂且对次要结构域具有选择性的溶剂(例如,对于PVP为醇,对于PEO或PLA为水,且对于PMMA为乙酸)中以去除(例如,洗涤掉)所述次要结构域。如图12-12B中所描绘,然后可使用剩余多孔聚合物(例如,PS)基质36作为光刻模板或掩膜来蚀刻(箭头↓↓)一系列直抵下伏衬底10(或下层)中导线12或其它有源区(例如,半导电区域等)的纳米级(例如,约10-100nm)圆柱形开孔或接触孔42。例如,可使用选择性反应性离子蚀刻(RIE)方法来形成开孔42。
随后,可根据需要实施进一步处理。例如,如图13-13B中所描绘,可去除残余聚合物基质36(例如,通过诸如等离子O2蚀刻等氧化方法来去除PS),且可使用诸如金属或金属合金(例如,尤其为Cu、Al、W、Si、和Ti3N4)等材料44来填充衬底开孔42以形成与导线12接触的圆柱形阵列。还可使用金属-绝缘体-金属堆叠填充衬底中的圆柱形开孔42以形成具有诸如SiO2、Al2O3、HfO2、ZrO2、SrTiO3、和诸如此类等绝缘材料的电容器。
本发明实施例使用热退火方法与溶剂退火的组合,与单独使用溶剂退火相比,此可提供更快处理且将可处理的嵌段共聚物(BCP)类型扩展至基本所有BCP。在使用区域退火与有机溶剂气氛的组合的实施例中,可快速处理多种嵌段共聚物以形成垂直定向的纳米结构(例如,圆柱体)。
此外,本发明方法提供于聚合物基质中产生由垂直定向圆柱体组成的自我组装二嵌段共聚物膜的手段。与电子束光刻、EUV光学光刻或常规光学光刻相比,所述方法可以更低成本制备有序且对齐的纳米级元件。本发明可产生并获得常规光学光刻不易制备的特征大小。所述方法和系统可容易地应用于并纳入现有半导体制造方法流程中,且提供用于制造小结构的低成本、高通量技术。
虽然本文已说明且阐述了具体实施例,但所属领域技术人员将了解,在所示具体实施例中旨在达成相同目的的任何布置均可加以替换。本申请案意欲涵盖可根据所阐述本发明原则进行的任何改动或改变。因此,本发明意欲仅受限于权利要求书和其等效内容。本申请案中所引用的专利、参考文献和出版物的揭示内容均以引用方式并入本文中。

Claims (32)

1.一种在衬底上形成纳米结构聚合物材料的方法,其包括:
在所述衬底上的一材料层中的沟槽内沉积圆柱状嵌段共聚物材料,所述沟槽具有中性湿润底面、对所述嵌段共聚物的第一嵌段呈优先湿润的相对侧壁和端部;和
在对任一嵌段呈非优先的溶剂蒸气中和高于所述嵌段共聚物材料的玻璃转变温度(Tg)的温度下,对所述嵌段共聚物材料实施热退火;
其中,所述嵌段共聚物材料在所述嵌段共聚物的第二嵌段基质内自我组装成所述嵌段共聚物的第一嵌段的圆柱形结构域,所述自我组装嵌段共聚物材料具有一厚度,且所述圆柱形聚合物结构域垂直定向于所述沟槽底面并沿所述沟槽长度穿过所述自我组装嵌段共聚物材料的所述厚度呈单一阵列延伸。
2.如权利要求1所述的方法,其中所述溶剂蒸气包括部分饱和浓度的有机溶剂。
3.如权利要求1所述的方法,其中所述嵌段共聚物材料的所述退火包括将所述嵌段共聚物材料加热至所述溶剂的沸点,其中不发生所述溶剂对所述嵌段共聚物材料的溶胀。
4.如权利要求1所述的方法,其中对所述嵌段共聚物材料实施全面加热。
5.如权利要求1所述的方法,其中对所述嵌段共聚物材料实施区域退火。
6.如权利要求1所述的方法,其中所述退火包括加热所述嵌段共聚物材料的一部分,从而仅在所述嵌段共聚物材料的所述经加热部分内形成所述自我组装的圆柱形结构域。
7.如权利要求6所述的方法,其进一一步包括施加热至所述嵌段共聚物材料的第二部分上,从而在所述嵌段共聚物材料的所述第二部分内形成自我组装的圆柱形结构域。
8.如权利要求1所述的方法,其中所述退火包括选择性加热所述嵌段共聚物材料的第一部分。
9.如权利要求1所述的方法,其中所述退火包括在所述衬底上方移动热源。
10.如权利要求1所述的方法,其中所述退火包括:
加热所述嵌段共聚物材料的第一部分;和
加热所述嵌段共聚物材料的第二部分;
其中在高于所述玻璃转变温度下加热所述嵌段共聚物材料以使聚合物嵌段发生相分离。
11.如权利要求1所述的方法,其中所述退火包括加热随后冷却所述嵌段共聚物材料的第一部分。
12.如权利要求1所述的方法,其中所述退火包括将所述嵌段共聚物材料暴露于冷-至-热温度梯度中。
13.如权利要求1所述的方法,其中所述嵌段共聚物材料的所述退火包括:
将所述嵌段共聚物材料加热至高于有序-无序转变温度且高于所述玻璃转变温度;和
使所述嵌段共聚物材料冷却至低于所述有序-无序转变温度但高于所述玻璃转变温度。
14.一种在衬底上形成纳米结构聚合物材料的方法,其包括:
将圆柱状嵌段共聚物材料暴露于对任一嵌段呈非优先的溶剂蒸气中,同时在高于所述嵌段共聚物材料的玻璃转变温度(Tg)的温度下实施加热,所述嵌段共聚物材料位于所述衬底上的材料层中的沟槽内,所述沟槽具有宽度、长度、中性湿润底面、和对所述嵌段共聚物的第一嵌段呈优先湿润的相对侧壁和端部;
其中所述嵌段共聚物材料发生微相分离,以在所述沟槽内的第二聚合物嵌段基质中形成由第一聚合物嵌段组成的圆柱形结构域,所述圆柱形聚合物结构域垂直定向于所述沟槽底面且在沿所述沟槽长度方向延伸的单一阵列中与所述侧壁对齐。
15.如权利要求14所述的方法,其中所述中性湿润底面包括无规共聚物材料。
16.如权利要求14所述的方法,其中所述中性湿润底面包括接枝均聚物的掺合物。
17.如权利要求14所述的方法,其中所述中性湿润底面包括氢端接硅。
18.如权利要求14所述的方法,其中所述沟槽的侧壁和端部包括选自由以下物质组成的群组的材料:氧化物、氮化硅、氧碳化硅、氧氮化硅、氧化铟锡(ITO)、甲基丙烯酸酯抗蚀剂、和聚二甲基戊二酰亚胺抗蚀剂。
19.如权利要求14所述的方法,其中在所述沟槽中的嵌段共聚物材料具有约为所述嵌段共聚物的L值或更大的厚度,“L”是所述嵌段共聚物中各圆柱体的中心至中心的圆柱体间距或间隔。
20.如权利要求14所述的方法,其进一步包括使所述第二聚合物嵌段的基质选择性交联。
21.如权利要求14所述的方法,其进一步包括选择性去除所述第一聚合物嵌段以形成穿过所述第二聚合物嵌段的基质延伸的圆柱形开孔。
22.如权利要求21所述的方法,其进一步包括通过所述开孔蚀刻所述衬底的未遮盖部分。
23.一种在衬底上形成纳米结构聚合物材料的方法,其包括:
将对任一嵌段呈非优先的材料施加至所述衬底上的一材料层中沟槽内的圆柱状嵌段共聚物材料的上方且与其接触,所述沟槽具有中性湿润底面、对所述嵌段共聚物的第一嵌段呈优先湿润的相对侧壁和端部;和
在高于所述嵌段共聚物材料的玻璃转变温度(Tg)的温度下对与所述非优先材料接触的嵌段共聚物材料实施退火;
其中所述嵌段共聚物材料于所述嵌段共聚物的第二嵌段基质内自我组装成所述嵌段共聚物的第一嵌段的圆柱形结构域,所述自我组装嵌段共聚物材料具有一厚度,且所述圆柱形结构域垂直定向于所述沟槽底面并沿所述沟槽长度穿过所述自我组装嵌段共聚物材料的所述厚度呈单一阵列延伸。
24.如权利要求23所述的方法,其中施加所述非优先材料包括使所述嵌段共聚物材料与具有非优先表面的固体弹性材料相接触。
25.一种蚀刻衬底的方法,其包括:
在对任一嵌段呈非优先的溶剂蒸气中对嵌段共聚物材料实施退火,同时在高于所述嵌段共聚物材料的玻璃转变温度(Tg)的温度下加热,所述嵌段共聚物材料位于所述衬底上的材料层中的沟槽内,所述沟槽具有宽度、长度、中性湿润底面、和对所述嵌段共聚物的次要嵌段呈优先湿润的相对侧壁和端部;其中所述嵌段共聚物材料在主要聚合物嵌段基质内形成所述次要聚合物嵌段的单一阵列垂直定向的圆柱体,所述经退火嵌段共聚物材料具有一厚度,且所述圆柱体穿过所述经退火嵌段共聚物的所述厚度延伸至所述沟槽底面;
选择性去除所述次要聚合物嵌段以在所述主要聚合物嵌段基质内形成开孔并暴露所述衬底;和
通过所述开孔蚀刻所述衬底。
26.如权利要求25所述的方法,其进一步包括在去除所述次要聚合物嵌段之前,使所述主要聚合物嵌段选择性地交联。
27.如权利要求25所述的方法,其进一步包括用填充材料填充所述衬底中的开孔。
28.如权利要求27所述的方法,其中所述填充材料包括金属、金属合金、和金属/绝缘体/金属堆叠。
29.一种蚀刻衬底的方法,其包括:
通过在高于嵌段共聚物材料的玻璃转变温度(Tg)的温度下加热以对所述嵌段共聚物实施退火,在所述嵌段共聚物材料上方具有对任一嵌段呈非优先且与其接触的材料,所述嵌段共聚物材料位于所述衬底上的材料层中的沟槽内,所述沟槽具有宽度、长度、中性湿润底面、和对所述嵌段共聚物的次要嵌段呈优先湿润的相对侧壁和端部;其中所述嵌段共聚物材料在主要聚合物嵌段基质内形成所述次要聚合物嵌段的单一阵列垂直定向的圆柱体,所述经退火嵌段共聚物材料具有一厚度,且所述圆柱体穿过所述经退火嵌段共聚物的所述厚度延伸至所述沟槽底面;
选择性去除所述次要聚合物嵌段以在所述主要聚合物嵌段基质内形成开孔并暴露所述衬底;和
通过所述开孔蚀刻所述衬底。
30.如权利要求29所述的方法,其中所述非优先材料包括选自由聚(二甲基硅氧烷)和聚(氨基甲酸酯)组成的群组的固体弹性材料。
31.如权利要求29所述的方法,其进一步包括去除所述非优先材料以暴露所述沟槽内的所述经退火嵌段共聚物材料。
32.如权利要求31所述的方法,其进一步包括将溶剂施加至所述非优先材料中以促进去除。
CN2009801103820A 2008-03-21 2009-03-03 具有受限于以均等优先湿润两嵌段的上界面的嵌段共聚物膜的热退火 Active CN101978469B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/052,956 US8426313B2 (en) 2008-03-21 2008-03-21 Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US12/052,956 2008-03-21
PCT/US2009/035861 WO2009117243A1 (en) 2008-03-21 2009-03-03 Thermal anneal of a block copolymer films with top interface constrained to wet both blocks with equal preference

Publications (2)

Publication Number Publication Date
CN101978469A CN101978469A (zh) 2011-02-16
CN101978469B true CN101978469B (zh) 2012-11-21

Family

ID=40612928

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801103820A Active CN101978469B (zh) 2008-03-21 2009-03-03 具有受限于以均等优先湿润两嵌段的上界面的嵌段共聚物膜的热退火

Country Status (7)

Country Link
US (5) US8426313B2 (zh)
EP (1) EP2281299B1 (zh)
JP (1) JP5136869B2 (zh)
KR (1) KR101121303B1 (zh)
CN (1) CN101978469B (zh)
TW (1) TWI391992B (zh)
WO (1) WO2009117243A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI626271B (zh) * 2013-12-13 2018-06-11 艾克瑪公司 藉由嵌段共聚物之自組裝致能奈米結構產生之方法

Families Citing this family (113)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
KR101355167B1 (ko) * 2007-12-14 2014-01-28 삼성전자주식회사 적어도 세 개의 고분자 블록을 구비하는 블록 공중합체를이용한 미세 패턴 형성 방법
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8101261B2 (en) * 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US8426313B2 (en) * 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8114300B2 (en) * 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
IT1392754B1 (it) * 2008-12-18 2012-03-16 St Microelectronics Srl Nanoarray ad incrocio con strato organico attivo anisotropico
KR101101767B1 (ko) * 2009-05-07 2012-01-05 한국과학기술원 코일―빗형 블록 공중합체 및 이를 이용한 나노 구조체의 제조방법
US8398868B2 (en) * 2009-05-19 2013-03-19 International Business Machines Corporation Directed self-assembly of block copolymers using segmented prepatterns
US8574950B2 (en) * 2009-10-30 2013-11-05 International Business Machines Corporation Electrically contactable grids manufacture
WO2011094857A1 (en) * 2010-02-05 2011-08-11 The Governors Of The University Of Alberta Method for organizing a block copolymer
WO2011104045A1 (en) * 2010-02-26 2011-09-01 Asml Netherlands B.V. Method and apparatus for treatment of self-assemblable polymer layers for use in lithography
US8696918B2 (en) 2010-05-05 2014-04-15 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
JP2013534542A (ja) * 2010-06-04 2013-09-05 エーエスエムエル ネザーランズ ビー.ブイ. 自己組織化可能な重合体及びリソグラフィにおける使用方法
US10753023B2 (en) 2010-08-13 2020-08-25 Kimberly-Clark Worldwide, Inc. Toughened polylactic acid fibers
US8936740B2 (en) 2010-08-13 2015-01-20 Kimberly-Clark Worldwide, Inc. Modified polylactic acid fibers
US8304493B2 (en) 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
JP5729537B2 (ja) * 2010-09-14 2015-06-03 東京応化工業株式会社 下地剤
JP5721164B2 (ja) * 2010-09-14 2015-05-20 東京応化工業株式会社 ブロックコポリマーを含む層のパターン形成方法
TWI556958B (zh) 2010-09-14 2016-11-11 東京應化工業股份有限公司 基質劑及含嵌段共聚物之層的圖型形成方法
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
JP5979660B2 (ja) * 2012-02-09 2016-08-24 東京応化工業株式会社 コンタクトホールパターンの形成方法
SG11201404414SA (en) * 2012-02-10 2014-08-28 Univ Texas Anhydride copolymer top coats for orientation control of thin film block copolymers
US8961918B2 (en) 2012-02-10 2015-02-24 Rohm And Haas Electronic Materials Llc Thermal annealing process
JP5891075B2 (ja) 2012-03-08 2016-03-22 東京応化工業株式会社 ブロックコポリマー含有組成物及びパターンの縮小方法
JP5993654B2 (ja) * 2012-03-09 2016-09-14 東京応化工業株式会社 ブロックコポリマーを含む層のパターン形成方法、及び下地剤
JP6306810B2 (ja) 2012-03-14 2018-04-04 東京応化工業株式会社 下地剤、ブロックコポリマーを含む層のパターン形成方法
JP6118573B2 (ja) 2012-03-14 2017-04-19 東京応化工業株式会社 下地剤、ブロックコポリマーを含む層のパターン形成方法
JP5934565B2 (ja) * 2012-04-20 2016-06-15 東京応化工業株式会社 パターンの縮小方法、及び組成物
JP5948129B2 (ja) * 2012-04-26 2016-07-06 東京応化工業株式会社 2個以上の孤立ホールが並んでなるパターンの形成方法
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
KR101412228B1 (ko) * 2012-06-11 2014-07-02 한국과학기술원 혼합 블록공중합체 박막 제조방법, 혼합 블록공중합체 주형 제조방법 및 이에 의하여 제조된 혼합 블록공중합체 박막 및 주형
CN108196434B (zh) * 2012-07-10 2021-04-23 株式会社尼康 曝光装置、曝光方法、器件制造方法及标记
CN107219721B (zh) * 2012-07-10 2020-08-21 株式会社尼康 标记形成方法和器件制造方法
US8821739B2 (en) 2012-07-12 2014-09-02 Rohm And Haas Electronic Materials Llc High temperature thermal annealing process
US8821738B2 (en) 2012-07-12 2014-09-02 Rohm And Haas Electronic Materials Llc Thermal annealing process
JP6239813B2 (ja) 2012-07-18 2017-11-29 株式会社Screenセミコンダクターソリューションズ 基板処理装置および基板処理方法
CN103633029B (zh) * 2012-08-28 2016-11-23 中国科学院微电子研究所 半导体结构及其制造方法
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
KR101993255B1 (ko) * 2013-01-07 2019-06-26 삼성전자주식회사 콘택 홀 형성 방법
FR3001306A1 (fr) 2013-01-18 2014-07-25 Commissariat Energie Atomique Procede de fabrication d'un reseau de conducteurs sur un substrat au moyen de copolymeres a blocs
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
US9050621B2 (en) * 2013-01-24 2015-06-09 Corning Incorporated Surface nanofabrication methods using self-assembled polymer nanomasks
JP6093590B2 (ja) * 2013-02-20 2017-03-08 東京応化工業株式会社 相分離構造を含む構造体の製造方法、ガイドパターン形成方法
US8859433B2 (en) * 2013-03-11 2014-10-14 International Business Machines Corporation DSA grapho-epitaxy process with etch stop material
US8975009B2 (en) 2013-03-14 2015-03-10 Tokyo Electron Limited Track processing to remove organic films in directed self-assembly chemo-epitaxy applications
US9115255B2 (en) * 2013-03-14 2015-08-25 Wisconsin Alumni Research Foundation Crosslinked random copolymer films for block copolymer domain orientation
US8980538B2 (en) 2013-03-14 2015-03-17 Tokyo Electron Limited Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents
US20140273534A1 (en) 2013-03-14 2014-09-18 Tokyo Electron Limited Integration of absorption based heating bake methods into a photolithography track system
US9147574B2 (en) * 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US20140273290A1 (en) * 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
US9209014B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US20140291878A1 (en) * 2013-03-29 2014-10-02 Tokyo Electron Limited Methods for controlling across-wafer directed self-assembly
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
ITRM20130278A1 (it) 2013-05-10 2014-11-11 Consiglio Nazionale Ricerche Procedimento di fabbricazione di film autoassemblati di copolimeri a blocchi
US10457088B2 (en) * 2013-05-13 2019-10-29 Ridgefield Acquisition Template for self assembly and method of making a self assembled pattern
TWI658055B (zh) * 2013-06-19 2019-05-01 德州大學董事會 用於薄膜嵌段共聚物之定向控制之酸酐共聚物面塗層
US20150179434A1 (en) * 2013-07-25 2015-06-25 SK Hynix Inc. Nano-scale structures
FR3008986B1 (fr) * 2013-07-25 2016-12-30 Arkema France Procede de controle de la periode caracterisant la morphologie obtenue a partir d'un melange de copolymere a blocs et de (co) polymeres de l'un des blocs
KR102394994B1 (ko) 2013-09-04 2022-05-04 도쿄엘렉트론가부시키가이샤 유도 자기 조립용 화학 템플릿을 생성하기 위한 경화 포토레지스트의 자외선을 이용한 박리
US10339260B2 (en) * 2013-09-06 2019-07-02 Asml Netherlands B.V. Methodology to generate guiding templates for directed self-assembly
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
US9625815B2 (en) 2013-09-27 2017-04-18 Intel Corporation Exposure activated chemically amplified directed self-assembly (DSA) for back end of line (BEOL) pattern cutting and plugging
US9793137B2 (en) 2013-10-20 2017-10-17 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
US9349604B2 (en) 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
US9859118B2 (en) * 2013-11-25 2018-01-02 Tokyo Electron Limited Pattern forming method and heating apparatus
KR102100767B1 (ko) * 2013-11-26 2020-04-21 엘지디스플레이 주식회사 유기발광 표시장치 및 그 제조방법
KR102166523B1 (ko) * 2013-12-02 2020-10-16 에스케이하이닉스 주식회사 나노 스케일 형상 구조 및 형성 방법
FR3017395B1 (fr) * 2014-02-11 2017-11-03 Arkema France Procede de controle de l'energie de surface d'un substrat
JP2015159262A (ja) * 2014-02-25 2015-09-03 東京エレクトロン株式会社 基板処理装置、基板処理方法、プログラム及びコンピュータ記憶媒体
US9469733B2 (en) 2014-05-30 2016-10-18 Pall Corporation Self-assembled structure and membrane comprising block copolymer and process for producing the same by spin coating (IVa)
US9604181B2 (en) * 2014-05-30 2017-03-28 Pall Corporation Membrane comprising self-assembled block copolymer and process for producing the same by spray coating (IIc)
US9598543B2 (en) * 2014-05-30 2017-03-21 Pall Corporation Self-assembled structure and membrane comprising block copolymer and process for producing the same by spin coating (VIa)
US9593219B2 (en) * 2014-05-30 2017-03-14 Pall Corporation Membrane comprising self-assembled block copolymer and process for producing the same by spin coating (IIa)
US9765171B2 (en) * 2014-05-30 2017-09-19 Pall Corporation Self-assembling polymers—V
US9193835B1 (en) 2014-05-30 2015-11-24 Pall Corporation Self-assembling polymers—IV
US9616395B2 (en) * 2014-05-30 2017-04-11 Pall Corportaion Membrane comprising self-assembled block copolymer and process for producing the same by spray coating (Ic)
US9593217B2 (en) * 2014-05-30 2017-03-14 Pall Corporation Self-assembled structure and membrane comprising block copolymer and process for producing the same by spin coating (Va)
US9592476B2 (en) 2014-05-30 2017-03-14 Pall Corporation Membrane comprising self-assembled block copolymer and process for producing the same by hybrid casting (IIb)
US9593218B2 (en) * 2014-05-30 2017-03-14 Pall Corporation Self-assembled structure and membrane comprising block copolymer and process for producing the same by spin coating (IIIa)
US9328206B2 (en) 2014-05-30 2016-05-03 Pall Corporation Self-assembling polymers—III
US9592477B2 (en) 2014-05-30 2017-03-14 Pall Corporation Membrane comprising self-assembled block copolymer and process for producing the same by hybrid casting (Ib)
US9441078B2 (en) 2014-05-30 2016-09-13 Pall Corporation Self-assembling polymers—I
US9448483B2 (en) * 2014-07-31 2016-09-20 Dow Global Technologies Llc Pattern shrink methods
US9396958B2 (en) * 2014-10-14 2016-07-19 Tokyo Electron Limited Self-aligned patterning using directed self-assembly of block copolymers
AU2015353887B2 (en) 2014-11-26 2019-07-04 Kimberly-Clark Worldwide, Inc. Annealed porous polyolefin material
US11021630B2 (en) * 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US10294359B2 (en) * 2014-12-30 2019-05-21 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
CN105047568B (zh) * 2015-09-07 2018-01-09 京东方科技集团股份有限公司 薄膜晶体管及其制作方法、显示面板
US9876075B2 (en) 2015-10-16 2018-01-23 International Business Machines Corporation Method of forming dielectric with air gaps for use in semiconductor devices
US10950501B2 (en) * 2015-12-21 2021-03-16 Intel Corporation Triblock copolymers for self-aligning vias or contacts
US9691654B1 (en) * 2015-12-22 2017-06-27 Globalfoundries Inc. Methods and devices for back end of line via formation
US20170221701A1 (en) * 2016-02-01 2017-08-03 Applied Materials, Inc. Rtp process for directed self-aligned patterns
WO2017138440A1 (ja) * 2016-02-08 2017-08-17 Jsr株式会社 コンタクトホールパターンの形成方法及び組成物
US10056265B2 (en) 2016-03-18 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Directed self-assembly process with size-restricted guiding patterns
KR102463922B1 (ko) * 2016-03-21 2022-11-08 에스케이하이닉스 주식회사 미세 패턴 형성 방법
US9947597B2 (en) 2016-03-31 2018-04-17 Tokyo Electron Limited Defectivity metrology during DSA patterning
JP6802667B2 (ja) 2016-08-18 2020-12-16 株式会社Screenホールディングス 熱処理装置、基板処理装置、熱処理方法および基板処理方法
CN110023384B (zh) 2016-11-30 2022-06-07 株式会社Lg化学 层合体
US11251072B2 (en) 2016-12-23 2022-02-15 Intel Corporation Differential hardmasks for modulation of electrobucket sensitivity
JP6811638B2 (ja) 2017-02-14 2021-01-13 株式会社Screenホールディングス 基板処理方法及びその装置
US10170301B2 (en) * 2017-03-29 2019-01-01 International Business Machines Corporation Adhesion of polymers on silicon substrates
JP7110090B2 (ja) * 2018-12-28 2022-08-01 東京エレクトロン株式会社 基板処理方法および基板処理システム

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6746825B2 (en) * 2001-10-05 2004-06-08 Wisconsin Alumni Research Foundation Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates
CN101013662A (zh) * 2006-02-02 2007-08-08 国际商业机器公司 用于形成嵌段共聚物图形的方法及相应的半导体结构

Family Cites Families (326)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4623674A (en) 1985-06-21 1986-11-18 Union Carbide Corporation Polymer/polyols of substituted styrenes and polyurethanes made therefrom
US4877647A (en) 1986-04-17 1989-10-31 Kansas State University Research Foundation Method of coating substrates with solvated clusters of metal particles
US4797357A (en) 1986-05-30 1989-01-10 Eastman Kodak Company Light-stable reducible compounds and analytical compositions, elements and methods utilizing same
US4818713A (en) 1987-10-20 1989-04-04 American Telephone And Telegraph Company, At&T Bell Laboratories Techniques useful in fabricating semiconductor devices having submicron features
WO1990007575A1 (en) 1988-12-30 1990-07-12 Anderson David M Stabilized microporous materials and hydrogel materials
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5354489A (en) 1990-08-30 1994-10-11 Asahi Kasei Kogyo Kabushiki Kaisha Method for changing the viscosity of a fluid comprising a liquid crystal compound
US5622668A (en) 1992-02-07 1997-04-22 The United States Of America As Represented By The Secretary Of The Air Force Method for preparing oriented polymer structures and said structures
EP0588482B1 (en) 1992-08-07 1997-11-05 Fujikura Kasei Co., Ltd. Electro-sensitive composition
US5382373A (en) 1992-10-30 1995-01-17 Lord Corporation Magnetorheological materials based on alloy particles
EP0671025B1 (en) 1992-11-25 1997-08-13 Hoechst Celanese Corporation Metal ion reduction in bottom anti-reflective coatings for photoresists
US5482656A (en) 1993-03-04 1996-01-09 Kabushiki Kaisha Toshiba Non-linear optical devices employing a polysilane composition and a polysilane composition therefor
TW272976B (zh) 1993-08-06 1996-03-21 Ciba Geigy Ag
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5538655A (en) 1994-06-29 1996-07-23 Arthur D. Little, Inc. Molecular complexes for use as electrolyte components
US5607824A (en) 1994-07-27 1997-03-04 International Business Machines Corporation Antireflective coating for microlithography
JPH0867893A (ja) 1994-08-19 1996-03-12 Lubrizol Corp:The 極性固体および有機半導体の電気流動性流体
US5620850A (en) 1994-09-26 1997-04-15 President And Fellows Of Harvard College Molecular recognition at surfaces derivatized with self-assembled monolayers
US5633117A (en) 1995-04-27 1997-05-27 Imation Corp. Providing imagewise variation in glossiness to a receptor
US5700902A (en) 1995-07-27 1997-12-23 Circe Biomedical, Inc. Block copolymers
DE69516528T2 (de) 1995-08-04 2000-11-23 International Business Machines Corp., Armonk Lithografie oder dünnschicht modifizierung
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US5879853A (en) 1996-01-18 1999-03-09 Kabushiki Kaisha Toshiba Top antireflective coating material and its process for DUV and VUV lithography systems
US6096636A (en) 1996-02-06 2000-08-01 Micron Technology, Inc. Methods of forming conductive lines
EP1124158A1 (en) 1996-02-26 2001-08-16 Matsushita Electric Industrial Co., Ltd. Pattern forming material and pattern forming method
US6190949B1 (en) 1996-05-22 2001-02-20 Sony Corporation Silicon thin film, group of silicon single crystal grains and formation process thereof, and semiconductor device, flash memory cell and fabrication process thereof
US6143647A (en) 1997-07-24 2000-11-07 Intel Corporation Silicon-rich block copolymers to achieve unbalanced vias
JPH1081889A (ja) 1996-09-06 1998-03-31 Bridgestone Corp 電気粘性流体用粉体
US5904824A (en) 1997-03-07 1999-05-18 Beckman Instruments, Inc. Microfluidic electrophoresis device
US5958704A (en) 1997-03-12 1999-09-28 Ddx, Inc. Sensing system for specific substance and molecule detection
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US6890624B1 (en) 2000-04-25 2005-05-10 Nanogram Corporation Self-assembled structures
US6368871B1 (en) 1997-08-13 2002-04-09 Cepheid Non-planar microstructures for manipulation of fluid samples
JP3321392B2 (ja) 1997-08-29 2002-09-03 科学技術振興事業団 二重構造連続多孔体とその製造方法
US6884842B2 (en) 1997-10-14 2005-04-26 Alnis Biosciences, Inc. Molecular compounds having complementary surfaces to targets
US6592764B1 (en) 1997-12-09 2003-07-15 The Regents Of The University Of California Block copolymer processing for mesostructured inorganic oxide materials
US6111323A (en) 1997-12-30 2000-08-29 International Business Machines Corporation Reworkable thermoplastic encapsulant
CA2316834C (en) 1998-01-07 2006-01-03 Shearwater Polymers, Inc. Degradable heterobifunctional poly(ethylene glycol) acrylates and gels and conjugates derived therefrom
US6153495A (en) 1998-03-09 2000-11-28 Intersil Corporation Advanced methods for making semiconductor devices by low temperature direct bonding
EP1064310A1 (en) 1998-03-18 2001-01-03 University Of Rochester Macromolecular self-assembly of microstructures, nanostructures, objects and mesoporous solids
US7282240B1 (en) 1998-04-21 2007-10-16 President And Fellows Of Harvard College Elastomeric mask and use in fabrication of devices
JP3464004B2 (ja) 1998-06-05 2003-11-05 旭化成株式会社 水素添加ブロック共重合体及びそれを含有したポリプロピレン系樹脂組成物
US7074498B2 (en) 2002-03-22 2006-07-11 Borealis Technical Limited Influence of surface geometry on metal properties
AU4967499A (en) 1998-07-02 2000-01-24 Massachusetts Institute Of Technology Periodic porous and relief nanostructured articles
US6897073B2 (en) 1998-07-14 2005-05-24 Zyomyx, Inc. Non-specific binding resistant protein arrays and methods for making the same
US6423410B1 (en) 1998-09-04 2002-07-23 Mds Proteomics, Inc. Ultrasonically generated paramagnetic polymer particles
US6713238B1 (en) 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
ATE323132T1 (de) 1998-11-24 2006-04-15 Dow Global Technologies Inc Eine zusammensetzung enthaltend einen vernetzbaren matrixpercursor und eine porenstruktur bildendes material und eine daraus hergestellte poröse matrix
CA2354260A1 (en) 1998-12-08 2000-06-15 Gene Logic, Inc. Process for attaching organic molecules to silicon
US6413587B1 (en) 1999-03-02 2002-07-02 International Business Machines Corporation Method for forming polymer brush pattern on a substrate surface
US6270946B1 (en) 1999-03-18 2001-08-07 Luna Innovations, Inc. Non-lithographic process for producing nanoscale features on a substrate
JP4012173B2 (ja) 1999-06-07 2007-11-21 株式会社東芝 多孔質構造体の製造方法、多孔質構造体形成材料、パターン形成方法、パターン形成材料、電気化学セル、および中空糸フィルター
JP3940546B2 (ja) 1999-06-07 2007-07-04 株式会社東芝 パターン形成方法およびパターン形成材料
JP4127682B2 (ja) 1999-06-07 2008-07-30 株式会社東芝 パターン形成方法
CA2372707C (en) 1999-07-02 2014-12-09 President And Fellows Of Harvard College Nanoscopic wire-based devices, arrays, and method of their manufacture
US6251791B1 (en) 1999-07-20 2001-06-26 United Microelectronics Corp. Eliminating etching microloading effect by in situ deposition and etching
US6312971B1 (en) 1999-08-31 2001-11-06 E Ink Corporation Solvent annealing process for forming a thin semiconductor film with advantageous properties
JP2001110801A (ja) 1999-10-05 2001-04-20 Takeshi Yao パターン形成方法、並びに電子素子、光学素子及び回路基板
US6998152B2 (en) 1999-12-20 2006-02-14 Micron Technology, Inc. Chemical vapor deposition methods utilizing ionic liquids
US6517933B1 (en) 2000-01-18 2003-02-11 Nano-Tex, Llc Hybrid polymer materials
US6423465B1 (en) 2000-01-28 2002-07-23 International Business Machines Corporation Process for preparing a patterned continuous polymeric brush on a substrate surface
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6284657B1 (en) 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
US7163712B2 (en) 2000-03-03 2007-01-16 Duke University Microstamping activated polymer surfaces
US6423474B1 (en) 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
EP1268087A4 (en) 2000-03-22 2007-05-23 Univ Massachusetts NANOMETRIC CYLINDER MATRICES
US6887332B1 (en) 2000-04-21 2005-05-03 International Business Machines Corporation Patterning solution deposited thin films with self-assembled monolayers
US7491286B2 (en) 2000-04-21 2009-02-17 International Business Machines Corporation Patterning solution deposited thin films with self-assembled monolayers
US7291284B2 (en) 2000-05-26 2007-11-06 Northwestern University Fabrication of sub-50 nm solid-state nanostructures based on nanolithography
US6503841B1 (en) 2000-07-07 2003-01-07 Agere Systems Inc. Oxide etch
US6414164B1 (en) 2000-07-12 2002-07-02 International Business Machines Corporation Synthesis of soluble derivatives of sexithiophene and their use as the semiconducting channels in thin-film filed-effect transistors
WO2002018080A1 (fr) 2000-08-03 2002-03-07 Upepo & Maji Inc. Composition de solution colloidale metallique et conducteur ou encre destine a la formation d'un motif semi-conducteur la renfermant, et procede de formation d'un motif conducteur ou semi-conducteur
JP3591827B2 (ja) 2000-08-11 2004-11-24 株式会社東芝 微細構造を有する成形体の製造方法
JP2002083949A (ja) 2000-09-07 2002-03-22 Nec Corp Cmosイメージセンサ及びその製造方法
US20020084429A1 (en) 2000-10-17 2002-07-04 Craighead Harold G. Electron-beam patterning of functionalized self-assembled monolayers
WO2002041043A2 (en) 2000-11-14 2002-05-23 The Regents Of The University Of California Inorganic/block copolymer-dye composites and dye doped mesoporous materials for optical and sensing applications
US6358813B1 (en) 2000-11-15 2002-03-19 International Business Machines Corporation Method for increasing the capacitance of a semiconductor capacitors
NL1016779C2 (nl) 2000-12-02 2002-06-04 Cornelis Johannes Maria V Rijn Matrijs, werkwijze voor het vervaardigen van precisieproducten met behulp van een matrijs, alsmede precisieproducten, in het bijzonder microzeven en membraanfilters, vervaardigd met een dergelijke matrijs.
US6432811B1 (en) 2000-12-20 2002-08-13 Intel Corporation Method of forming structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures
FR2818650B1 (fr) 2000-12-21 2003-02-07 Atofina Procede d'hydrogenation de copolymeres a blocs insatures et copolymeres a blocs hydrogenes
US6518194B2 (en) 2000-12-28 2003-02-11 Thomas Andrew Winningham Intermediate transfer layers for nanoscale pattern transfer and nanostructure formation
AU2002246978A1 (en) 2001-01-10 2002-07-24 Symyx Technologies, Inc. Polymer brushes for immobilizing molecules to a surface
US6566248B1 (en) 2001-01-11 2003-05-20 Advanced Micro Devices, Inc. Graphoepitaxial conductor cores in integrated circuit interconnects
US6913697B2 (en) 2001-02-14 2005-07-05 Science & Technology Corporation @ Unm Nanostructured separation and analysis devices for biological membranes
KR100878281B1 (ko) 2001-03-14 2009-01-12 유니버시티 오브 매사츄세츠 나노 제조
US6537920B1 (en) 2001-03-16 2003-03-25 Advanced Micro Devices, Inc. Formation of vertical transistors using block copolymer lithography
US6817293B2 (en) 2001-03-28 2004-11-16 Dainippon Printing Co., Ltd. Patterning method with micro-contact printing and its printed product
WO2002079269A1 (en) 2001-03-30 2002-10-10 Uab Research Foundation Polymer formation in room temperature ionic liquids
US7056455B2 (en) 2001-04-06 2006-06-06 Carnegie Mellon University Process for the preparation of nanostructured materials
WO2002085639A1 (en) 2001-04-25 2002-10-31 The Trustees Of Columbia University In The City Of New York Edge transfer lithography
US20020158432A1 (en) 2001-04-30 2002-10-31 Wain Amir Waheed Infocart
US6809210B2 (en) 2001-06-12 2004-10-26 Lucent Technologies Inc. Method of solvating a metal in an aromatic organic liquid
KR100448170B1 (ko) 2001-06-23 2004-09-10 주식회사 태평양 폴리에틸렌이민을 친수성 블록으로 갖고 폴리에스테르계고분자를 소수성 블록으로 갖는 양친성 생분해성 블록공중합체 및 이를 이용한 수용액 상에서의 고분자자기조합 회합체
WO2003007398A1 (en) 2001-07-09 2003-01-23 Plastic Logic Limited Progressive aligned deposition
US6444318B1 (en) 2001-07-17 2002-09-03 Surmodics, Inc. Self assembling monolayer compositions
DE10142691B4 (de) 2001-08-31 2006-04-20 Infineon Technologies Ag Verfahren zum Nachweis biochemischer Reaktionen sowie eine Vorrichtung hierfür
US6751491B2 (en) 2001-09-01 2004-06-15 M Biotech Inc Analyte measuring biosensor chip using image scanning system
DE10145747A1 (de) 2001-09-17 2003-04-03 Solvent Innovation Gmbh Ionische Flüssigkeiten
US20030108664A1 (en) 2001-10-05 2003-06-12 Kodas Toivo T. Methods and compositions for the formation of recessed electrical features on a substrate
US20030080472A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US20040058059A1 (en) 2001-11-07 2004-03-25 Linford Mathew Richard Funtionalized patterned surfaces
AU2002352903A1 (en) 2001-11-21 2003-06-10 University Of Massachusetts Mesoporous materials and methods
JP3967114B2 (ja) 2001-11-22 2007-08-29 株式会社東芝 加工方法
US7087267B2 (en) 2001-11-29 2006-08-08 International Business Machines Corporation Materials and methods for immobilization of catalysts on surfaces and for selective electroless metallization
JP3782357B2 (ja) 2002-01-18 2006-06-07 株式会社東芝 半導体発光素子の製造方法
US7115305B2 (en) 2002-02-01 2006-10-03 California Institute Of Technology Method of producing regular arrays of nano-scale objects using nano-structured block-copolymeric materials
US6958572B2 (en) 2002-02-06 2005-10-25 Ut-Battelle Llc Controlled non-normal alignment of catalytically grown nanostructures in a large-scale synthesis process
CA2475790A1 (en) 2002-02-11 2003-08-21 Rensselaer Polytechnic Institute Directed assembly of highly-organized carbon nanotube architectures
JP2004002702A (ja) 2002-02-28 2004-01-08 Merck Patent Gmbh プレポリマー材料、ポリマー材料、インプリンティングプロセスおよびその使用
US6890703B2 (en) 2002-03-06 2005-05-10 International Business Machines Corporation Preparation of crosslinked particles from polymers having activatible crosslinking groups
US6946332B2 (en) 2002-03-15 2005-09-20 Lucent Technologies Inc. Forming nanoscale patterned thin film metal layers
US7807348B2 (en) 2002-03-20 2010-10-05 Wisconsin Alumni Research Foundation Optical imaging of nanostructured substrates
US20030178707A1 (en) 2002-03-21 2003-09-25 Abbott Donald C. Preplated stamped small outline no-lead leadframes having etched profiles
US6765030B2 (en) 2002-03-22 2004-07-20 The University Of North Carolina At Chapel Hill Methods of forming polymeric structures using carbon dioxide and polymeric structures formed therapy
JP4859333B2 (ja) 2002-03-25 2012-01-25 セイコーエプソン株式会社 電子デバイス用基板の製造方法
US20040142578A1 (en) 2002-03-28 2004-07-22 Ulrich Wiesner Thin film nanostructures
JP2004005923A (ja) 2002-03-29 2004-01-08 Fujitsu Ltd 磁気ヘッドの製造方法および磁気ヘッド、パターン形成方法
US6872645B2 (en) 2002-04-02 2005-03-29 Nanosys, Inc. Methods of positioning and/or orienting nanostructures
US6656308B2 (en) 2002-04-22 2003-12-02 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US7135241B2 (en) 2002-05-24 2006-11-14 Board Of Regents, The University Of Texas System Light-emitting block copolymers composition, process and use
US7307343B2 (en) 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US6753250B1 (en) 2002-06-12 2004-06-22 Novellus Systems, Inc. Method of fabricating low dielectric constant dielectric films
US20030235930A1 (en) 2002-06-25 2003-12-25 Lucent Technologies Inc. Multi-impression nanofeature production
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7311943B2 (en) 2002-07-17 2007-12-25 Massachusetts Institute Of Technology Templated monolayer polymerization and replication
US20050008828A1 (en) 2002-07-25 2005-01-13 Trustees Of Stevens Institute Of Technology Patterned polymer microgel and method of forming same
US6767693B1 (en) 2002-07-30 2004-07-27 Advanced Micro Devices, Inc. Materials and methods for sub-lithographic patterning of contact, via, and trench structures in integrated circuit devices
DE60211685T2 (de) 2002-08-02 2007-05-10 Sony Deutschland Gmbh Verfahren zur Bindung hydophiler Substanzen an hydrophile Makromoleküle und Immobilisierung derselben auf hydrophoben Oberflächen
US6957608B1 (en) 2002-08-02 2005-10-25 Kovio, Inc. Contact print methods
DE60333715D1 (de) 2002-10-30 2010-09-23 Hitachi Ltd Verfahren zur Herstellung funktioneller Substrate, die kolumnare Mikrosäulen aufweisen
TW584670B (en) 2002-10-31 2004-04-21 Academia Sinica Fabrication of nanocomposite thin films for high density magnetic recording media
US6949456B2 (en) 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
US20040084298A1 (en) 2002-10-31 2004-05-06 Y.D. Yao Fabrication of nanocomposite thin films for high density magnetic recording media
US6911400B2 (en) 2002-11-05 2005-06-28 International Business Machines Corporation Nonlithographic method to produce self-aligned mask, articles produced by same and compositions for same
BR0316048B1 (pt) 2002-11-07 2014-01-28 Copolímero com estrutura controlada e utilização de um copolímero
US6699797B1 (en) 2002-12-17 2004-03-02 Intel Corporation Method of fabrication of low dielectric constant porous metal silicate films
US6930034B2 (en) 2002-12-27 2005-08-16 International Business Machines Corporation Robust ultra-low k interconnect structures using bridge-then-metallization fabrication sequence
US20040124092A1 (en) 2002-12-30 2004-07-01 Black Charles T. Inorganic nanoporous membranes and methods to form same
US7078276B1 (en) 2003-01-08 2006-07-18 Kovio, Inc. Nanoparticles and method for making the same
US6940485B2 (en) 2003-01-15 2005-09-06 Xerox Corporation Flexible micron-thin display device
TWI323479B (en) 2003-02-12 2010-04-11 Nantero Inc Devices having horizontally-disposed nanofabric articles and methods of making the same
WO2004072334A2 (en) 2003-02-12 2004-08-26 Nantero, Inc. Nanofabric articles and methods of making the same
US7066801B2 (en) 2003-02-21 2006-06-27 Dow Global Technologies, Inc. Method of manufacturing a fixed abrasive material
US7001795B2 (en) 2003-02-27 2006-02-21 Micron Technology, Inc. Total internal reflection (TIR) CMOS imager
TW582059B (en) 2003-03-11 2004-04-01 Ind Tech Res Inst Organic component, method for forming organic semiconductor layer with aligned molecules, and method for forming organic component
US7326514B2 (en) 2003-03-12 2008-02-05 Cornell Research Foundation, Inc. Organoelement resists for EUV lithography and methods of making the same
US7135523B2 (en) 2003-03-14 2006-11-14 Industrial Technology Research Institute Nanoscale helical microstructures and channels from chiral poly(L-lactide) block containing block copolymers
US6812132B2 (en) 2003-03-21 2004-11-02 Intel Corporation Filling small dimension vias using supercritical carbon dioxide
KR100618184B1 (ko) 2003-03-31 2006-08-31 비오이 하이디스 테크놀로지 주식회사 결정화 방법
US7112617B2 (en) 2003-04-22 2006-09-26 International Business Machines Corporation Patterned substrate with hydrophilic/hydrophobic contrast, and method of use
JP2004335962A (ja) 2003-05-12 2004-11-25 Seiko Epson Corp 薄膜パターン形成方法、デバイスとその製造方法及び電気光学装置並びに電子機器
US7632544B2 (en) 2003-05-20 2009-12-15 Industrial Technology Research Institute Nanopatterned templates from oriented degradable diblock copolymer thin films
US20060124467A1 (en) 2003-05-20 2006-06-15 Industrial Technology Research Institute Metal nanodot arrays and fabrication methods thereof
EP1479738A1 (en) 2003-05-20 2004-11-24 DSM IP Assets B.V. Hydrophobic coatings comprising reactive nano-particles
US6989426B2 (en) 2003-06-12 2006-01-24 The Hong Kong Polytechnic University Methods for producing di-block polymers
US7009227B2 (en) 2003-06-16 2006-03-07 Micron Technology, Inc. Photodiode structure and image pixel structure
US7045851B2 (en) 2003-06-20 2006-05-16 International Business Machines Corporation Nonvolatile memory device using semiconductor nanocrystals and method of forming same
GB2403847B (en) 2003-07-01 2005-11-16 Micron Technology Inc Optical channels for multi-level metal optical imagers and method for manufacturing same
US20050238889A1 (en) 2003-07-10 2005-10-27 Nancy Iwamoto Layered components, materials, methods of production and uses thereof
US7132370B2 (en) 2003-08-01 2006-11-07 Interuniversitair Microelektronica Centrum (Imec) Method for selective removal of high-k material
GB0318817D0 (en) 2003-08-11 2003-09-10 Univ Cambridge Tech Method of making a polymer device
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
US8133680B2 (en) 2003-09-23 2012-03-13 Wisconsin Alumni Research Foundation Using liquid crystals to detect affinity microcontact printed biomolecules
US7374867B2 (en) 2003-10-06 2008-05-20 Intel Corporation Enhancing photoresist performance using electric fields
WO2005084175A2 (en) 2003-10-16 2005-09-15 The Regents Of The University Of California Nanostructures, nanogrooves, and nanowires
US7862849B2 (en) 2003-10-17 2011-01-04 Massachusetts Institute Of Technology Nanocontact printing
US7122482B2 (en) 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
GB0325748D0 (en) 2003-11-05 2003-12-10 Koninkl Philips Electronics Nv A method of forming a patterned layer on a substrate
US7056757B2 (en) 2003-11-25 2006-06-06 Georgia Tech Research Corporation Methods of forming oxide masks with submicron openings and microstructures formed thereby
US20050133697A1 (en) 2003-12-23 2005-06-23 Potyrailo Radislav A. Sensor devices containing co-polymer substrates for analysis of chemical and biological species in water and air
US7423164B2 (en) 2003-12-31 2008-09-09 Ut-Battelle, Llc Synthesis of ionic liquids
US6989324B2 (en) 2004-01-15 2006-01-24 The Regents Of The University Of California Fabrication method for arranging ultra-fine particles
US7056849B2 (en) 2004-01-16 2006-06-06 General Electric Company Nanoscale ordered composites of covalent ceramics for high-temperature structural applications via block-copolymer-assisted assembly and method of making
US7405147B2 (en) 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
DE102004006545B3 (de) 2004-02-10 2005-08-11 Infineon Technologies Ag Verfahren zum Aufweiten eines Grabens in einer Halbleiterstruktur
US20060014083A1 (en) 2004-03-01 2006-01-19 University Of Washington Methods and systems for fabricating electronic and/or microfluidic structures on elastomeric substrates
US7030495B2 (en) 2004-03-19 2006-04-18 International Business Machines Corporation Method for fabricating a self-aligned nanocolumnar airbridge and structure produced thereby
CN100429142C (zh) 2004-03-24 2008-10-29 哈尔滨工业大学 面向纳米微加工嵌段共聚物模板自组装形态调控方法
US7015113B2 (en) 2004-04-01 2006-03-21 Micron Technology, Inc. Methods of forming trench isolation regions
US20060013956A1 (en) * 2004-04-20 2006-01-19 Angelescu Dan E Method and apparatus for providing shear-induced alignment of nanostructure in thin films
CN102004393B (zh) 2004-04-27 2013-05-01 伊利诺伊大学评议会 用于软光刻法的复合构图设备
US7244665B2 (en) 2004-04-29 2007-07-17 Micron Technology, Inc. Wafer edge ring structures and methods of formation
US7625694B2 (en) 2004-05-06 2009-12-01 Micron Technology, Inc. Selective provision of a diblock copolymer material
TWI256110B (en) 2004-05-18 2006-06-01 Ind Tech Res Inst Nanopatterned templates from oriented degradable diblock copolymer thin films
WO2006076016A2 (en) 2004-05-21 2006-07-20 Krzysztof Matyjaszewski Conducting polymers
KR101260981B1 (ko) 2004-06-04 2013-05-10 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 인쇄가능한 반도체소자들의 제조 및 조립방법과 장치
EP1763704A2 (en) 2004-06-30 2007-03-21 Koninklijke Philips Electronics N.V. Soft lithographic stamp with a chemically patterned surface
CN101198902A (zh) 2004-06-30 2008-06-11 皇家飞利浦电子股份有限公司 具有化学构图表面的软平版印刷印模
US7387939B2 (en) 2004-07-19 2008-06-17 Micron Technology, Inc. Methods of forming semiconductor structures and capacitor devices
JP4389055B2 (ja) 2004-07-27 2009-12-24 独立行政法人産業技術総合研究所 ブロック共重合体−クレイナノコンポジットの高配向膜およびその製造方法
US8088293B2 (en) 2004-07-29 2012-01-03 Micron Technology, Inc. Methods of forming reticles configured for imprint lithography
US20060030495A1 (en) 2004-08-06 2006-02-09 Gregg George L Jr Bullet lubrication formula
JP2006055982A (ja) 2004-08-23 2006-03-02 Ind Technol Res Inst 組織化分解ジブロックコポリマー薄膜からのナノパターン化テンプレート
KR20060020830A (ko) 2004-09-01 2006-03-07 삼성코닝 주식회사 계면활성제를 템플릿으로 이용한 저유전성 메조포러스박막의 제조방법
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US20060057051A1 (en) 2004-09-10 2006-03-16 Sheng Dai Highly ordered porous carbon materials having well defined nanostructures and method of synthesis
US20060060863A1 (en) 2004-09-22 2006-03-23 Jennifer Lu System and method for controlling nanostructure growth
JP3926360B2 (ja) 2004-10-13 2007-06-06 株式会社東芝 パターン形成方法およびそれを用いた構造体の加工方法
US7196314B2 (en) 2004-11-09 2007-03-27 Omnivision Technologies, Inc. Image sensor and pixel having an anti-reflective coating over the photodiode
EP1657070B1 (en) 2004-11-10 2008-04-23 Sony Deutschland GmbH A stamp for soft lithography, in particular micro contact printing and a method of preparing the same
US7323387B2 (en) 2004-11-12 2008-01-29 Seagate Technology Llc Method to make nano structure below 25 nanometer with high uniformity on large scale
US8287957B2 (en) 2004-11-22 2012-10-16 Wisconsin Alumni Research Foundation Methods and compositions for forming aperiodic patterned copolymer films
US20080032238A1 (en) * 2004-11-23 2008-02-07 Lu Jennifer Q System and method for controlling the size and/or distribution of catalyst nanoparticles for nanostructure growth
US20060128165A1 (en) 2004-12-13 2006-06-15 3M Innovative Properties Company Method for patterning surface modification
US7666465B2 (en) 2004-12-29 2010-02-23 Intel Corporation Introducing nanotubes in trenches and structures formed thereby
US8178165B2 (en) * 2005-01-21 2012-05-15 The Regents Of The University Of California Method for fabricating a long-range ordered periodic array of nano-features, and articles comprising same
DE102005005325B4 (de) 2005-02-04 2011-12-15 Adesto Technology Corp., Inc. Verfahren zur Herstellung einer resistiv schaltenden nicht-flüchtigen Speicherzelle
US7341788B2 (en) 2005-03-11 2008-03-11 International Business Machines Corporation Materials having predefined morphologies and methods of formation thereof
US7514764B2 (en) 2005-03-23 2009-04-07 Wisconsin Alumni Research Foundation Materials and methods for creating imaging layers
US7855046B2 (en) 2005-04-07 2010-12-21 The University Of North Carolina At Charlotte Method and apparatus for fabricating shaped structures and shaped structures including one- , two- or three-dimensional patterns incorporated therein
KR100634327B1 (ko) 2005-04-13 2006-10-13 한국기계연구원 롤-투-롤 윤전인쇄방식을 이용한 전자소자의 제조방법 및그 제조장치
US20060257633A1 (en) 2005-04-27 2006-11-16 Hitachi Maxell, Ltd. Method for modifying surface of polymer substrate, method for forming plated film on polymer substrate, method for producing polymer member, and coating member
US20060249784A1 (en) 2005-05-06 2006-11-09 International Business Machines Corporation Field effect transistor device including an array of channel elements and methods for forming
US7767129B2 (en) 2005-05-11 2010-08-03 Micron Technology, Inc. Imprint templates for imprint lithography, and methods of patterning a plurality of substrates
US7371684B2 (en) 2005-05-16 2008-05-13 International Business Machines Corporation Process for preparing electronics structures using a sacrificial multilayer hardmask scheme
US8399057B2 (en) 2005-06-08 2013-03-19 The Regents Of The University Of California Ordered vertically oriented porous inorganic films produced through solution processing
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
KR100668846B1 (ko) 2005-06-10 2007-01-16 주식회사 하이닉스반도체 상변환 기억 소자의 제조방법
CN102016814B (zh) 2005-06-17 2013-10-23 北卡罗来纳大学查珀尔希尔分校 纳米粒子制备方法、系统及材料
US7771917B2 (en) 2005-06-17 2010-08-10 Micron Technology, Inc. Methods of making templates for use in imprint lithography
US7118784B1 (en) 2005-06-27 2006-10-10 The Regents Of The University Of California Method and apparatus for controlling nucleation in self-assembled films
US7507618B2 (en) 2005-06-27 2009-03-24 3M Innovative Properties Company Method for making electronic devices using metal oxide nanoparticles
US7776715B2 (en) 2005-07-26 2010-08-17 Micron Technology, Inc. Reverse construction memory cell
US7306083B2 (en) 2005-07-27 2007-12-11 Gm Global Technology Operations, Inc. Magnetorheological fluid device
CA2618404A1 (en) 2005-08-04 2007-02-15 Angiotech International Ag Block copolymer compositions and uses thereof
US20070045642A1 (en) 2005-08-25 2007-03-01 Micron Technology, Inc. Solid-state imager and formation method using anti-reflective film for optical crosstalk reduction
US7456928B2 (en) 2005-08-29 2008-11-25 Micron Technology, Inc. Systems and methods for controlling ambient pressure during processing of microfeature workpieces, including during immersion lithography
EP1760527B1 (en) 2005-09-05 2012-06-06 DWI an der RWTH Aachen e.V. Photochemical method for manufacturing nanometrically surface-decorated substrates
JP4598639B2 (ja) 2005-09-27 2010-12-15 Okiセミコンダクタ株式会社 半導体装置の製造方法
US8618221B2 (en) 2005-10-14 2013-12-31 Wisconsin Alumni Research Foundation Directed assembly of triblock copolymers
JP5193454B2 (ja) * 2005-10-31 2013-05-08 株式会社東芝 短波長用偏光素子及び偏光素子製造方法
US20070183025A1 (en) 2005-10-31 2007-08-09 Koji Asakawa Short-wavelength polarizing elements and the manufacture and use thereof
WO2007055041A1 (ja) 2005-11-10 2007-05-18 National University Corporation Kyoto Institute Of Technology 配向したシリンダー構造を有するブロック共重合体膜およびその製造方法
US20070122749A1 (en) 2005-11-30 2007-05-31 Fu Peng F Method of nanopatterning, a resist film for use therein, and an article including the resist film
DE602006000939T2 (de) 2006-01-18 2009-07-09 Consiglio Nazionale Delle Ricerche Nanometervorrichtung zur Messung der Leitfähigkeit und Quanteneffekte einzelner Moleküle sowie Verfahren zur Herstellung und Verwendung
US20090155579A1 (en) 2006-01-20 2009-06-18 Plextronics, Inc. Electrostatic coatings and articles comprising polythiophenes
JP2007194175A (ja) 2006-01-23 2007-08-02 Seiko Epson Corp 導体パターン用インク、導体パターン、配線基板及び電気光学装置並びに電子機器
US7568603B2 (en) 2006-01-31 2009-08-04 Ethicon Endo-Surgery, Inc. Motor-driven surgical cutting and fastening instrument with articulatable end effector
US20080073743A1 (en) 2006-02-17 2008-03-27 Lockheed Martin Corporation Templated growth of semiconductor nanostructures, related devices and methods
US20070194403A1 (en) 2006-02-23 2007-08-23 International Business Machines Corporation Methods for fabricating semiconductor device structures with reduced susceptibility to latch-up and semiconductor device structures formed by the methods
US20070208159A1 (en) 2006-03-02 2007-09-06 General Electric Company Poly(arylene ether) block copolymer compositions, methods, and articles
US7579278B2 (en) 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US20070222995A1 (en) 2006-03-27 2007-09-27 Jennifer Lu Artifact having a textured metal surface with nanometer-scale features and method for fabricating same
KR100753542B1 (ko) 2006-04-19 2007-08-30 삼성전자주식회사 수지 조성물, 이를 이용한 패턴 형성 방법 및 커패시터형성 방법
US8080822B2 (en) 2006-05-22 2011-12-20 Nanyang Technological University Solution-processed inorganic films for organic thin film transistors
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US20070289943A1 (en) 2006-06-14 2007-12-20 Jennifer Lu Block copolymer mask for defining nanometer-scale structures
US7605081B2 (en) 2006-06-19 2009-10-20 International Business Machines Corporation Sub-lithographic feature patterning using self-aligned self-assembly polymers
JP4673266B2 (ja) 2006-08-03 2011-04-20 日本電信電話株式会社 パターン形成方法及びモールド
US20080038467A1 (en) 2006-08-11 2008-02-14 Eastman Kodak Company Nanostructured pattern method of manufacture
JP4421582B2 (ja) 2006-08-15 2010-02-24 株式会社東芝 パターン形成方法
US20080047930A1 (en) 2006-08-23 2008-02-28 Graciela Beatriz Blanchet Method to form a pattern of functional material on a substrate
KR100739000B1 (ko) 2006-09-11 2007-07-12 삼성전자주식회사 상변화 기억 소자의 형성 방법
KR100771886B1 (ko) 2006-09-27 2007-11-01 삼성전자주식회사 블럭 공중합체를 사용한 미세 콘택홀 형성 방법 및 반도체소자 제조 방법
US7658773B2 (en) 2006-09-29 2010-02-09 Qimonda Ag Method for fabricating a solid electrolyte memory device and solid electrolyte memory device
US7795607B2 (en) 2006-09-29 2010-09-14 Intel Corporation Current focusing memory architecture for use in electrical probe-based memory storage
TWI311337B (en) 2006-10-02 2009-06-21 Au Optronics Corporatio Multi-domain vertical alignment pixel structure and fabrication method thereof
US7592247B2 (en) 2006-10-04 2009-09-22 International Business Machines Corporation Sub-lithographic local interconnects, and methods for forming same
US7553760B2 (en) * 2006-10-19 2009-06-30 International Business Machines Corporation Sub-lithographic nano interconnect structures, and method for forming same
US8343578B2 (en) 2006-10-30 2013-01-01 International Business Machines Corporation Self-assembled lamellar microdomains and method of alignment
CN101529293B (zh) 2006-10-31 2012-02-22 株式会社日本触媒 柔性光波导及其制备方法以及用于柔性光波导的环氧树脂组合物
US7560222B2 (en) 2006-10-31 2009-07-14 International Business Machines Corporation Si-containing polymers for nano-pattern device fabrication
US7514339B2 (en) 2007-01-09 2009-04-07 International Business Machines Corporation Method for fabricating shallow trench isolation structures using diblock copolymer patterning
KR20080069000A (ko) 2007-01-22 2008-07-25 삼성전자주식회사 액정 표시 장치
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US7767099B2 (en) 2007-01-26 2010-08-03 International Business Machines Corporaiton Sub-lithographic interconnect patterning using self-assembling polymers
WO2008096335A2 (en) 2007-02-07 2008-08-14 Yeda Research And Development Co. Ltd. Producing an array of nanoscale structures on a substrate surface via a self-assembled template
WO2008097300A2 (en) 2007-02-08 2008-08-14 Regents Of The University Of Minnesota Ion gels and electronic devices utilizing ion gels
US7964107B2 (en) 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US20090020924A1 (en) 2007-02-21 2009-01-22 Iowa State University Research Foundation, Inc. Drying-mediated self-assembly of ordered or hierarchically ordered micro- and sub-micro scale structures and their uses as multifunctional materials
US8431149B2 (en) 2007-03-01 2013-04-30 Boston Scientific Scimed, Inc. Coated medical devices for abluminal drug delivery
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US7999160B2 (en) 2007-03-23 2011-08-16 International Business Machines Corporation Orienting, positioning, and forming nanoscale structures
US20080233297A1 (en) 2007-03-23 2008-09-25 Xerox Corporation Methods of forming a photoreceptor device having a self-assembled patterned binder layer
US7888228B2 (en) 2007-04-05 2011-02-15 Adesto Technology Corporation Method of manufacturing an integrated circuit, an integrated circuit, and a memory module
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
DE102007024653A1 (de) 2007-05-26 2008-12-04 Forschungszentrum Karlsruhe Gmbh Stempel für das Mikrokontaktdrucken und Verfahren zu seiner Herstellung
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
KR101291223B1 (ko) 2007-08-09 2013-07-31 한국과학기술원 블록 공중합체를 이용한 미세 패턴 형성 방법
US7732533B2 (en) 2007-08-31 2010-06-08 Micron Technology, Inc. Zwitterionic block copolymers and methods
US8083958B2 (en) 2007-12-05 2011-12-27 International Business Machines Corporation Patterning method using a combination of photolithography and copolymer self-assemblying lithography techniques
US9183870B2 (en) 2007-12-07 2015-11-10 Wisconsin Alumni Research Foundation Density multiplication and improved lithography by directed block copolymer assembly
KR20090076408A (ko) 2008-01-08 2009-07-13 삼성에스디아이 주식회사 이종상 백금 촉매 및 이를 이용한 태양전지
US7989026B2 (en) 2008-01-12 2011-08-02 International Business Machines Corporation Method of use of epoxy-containing cycloaliphatic acrylic polymers as orientation control layers for block copolymer thin films
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US7696085B2 (en) * 2008-02-20 2010-04-13 International Business Machines Corporation Dual damascene metal interconnect structure having a self-aligned via
US7906031B2 (en) 2008-02-22 2011-03-15 International Business Machines Corporation Aligning polymer films
US8168468B2 (en) 2008-02-29 2012-05-01 Freescale Semiconductor, Inc. Method of making a semiconductor device including a bridgeable material
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8114300B2 (en) 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8114468B2 (en) 2008-06-18 2012-02-14 Boise Technology, Inc. Methods of forming a non-volatile resistive oxide memory array
US8211737B2 (en) 2008-09-19 2012-07-03 The University Of Massachusetts Method of producing nanopatterned articles, and articles produced thereby
US8088551B2 (en) 2008-10-09 2012-01-03 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8173034B2 (en) 2008-11-17 2012-05-08 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8314206B2 (en) 2008-12-02 2012-11-20 Micron Technology, Inc. Block copolymer-comprising compositions and methods of purifying PS-b-PXVP
JP5281386B2 (ja) 2008-12-22 2013-09-04 株式会社日立製作所 高分子薄膜及びパターン媒体並びにこれらの製造方法
US8114306B2 (en) 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
US8834956B2 (en) 2009-06-22 2014-09-16 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8206601B2 (en) 2009-12-18 2012-06-26 Hitachi Global Storage Technologies Netherlands B.V. Supporting membranes on nanometer-scale self-assembled films
KR20120091453A (ko) 2010-02-19 2012-08-17 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법
WO2011163393A2 (en) 2010-06-25 2011-12-29 Nanovis, Inc. Method for producing nanosurfaces with nano, micron, and/or submicron structures on a polymer
US20140127626A1 (en) 2010-10-07 2014-05-08 Riken Resist composition for negative development which is used for formation of guide pattern, guide pattern formation method, and method for forming pattern on layer containing block copolymer
US20120135159A1 (en) 2010-11-30 2012-05-31 Seagate Technology Llc System and method for imprint-guided block copolymer nano-patterning
US8734904B2 (en) 2010-11-30 2014-05-27 International Business Machines Corporation Methods of forming topographical features using segregating polymer mixtures
US20120164389A1 (en) 2010-12-28 2012-06-28 Yang Xiaomin Imprint template fabrication and repair based on directed block copolymer assembly
US9299381B2 (en) 2011-02-07 2016-03-29 Wisconsin Alumni Research Foundation Solvent annealing block copolymers on patterned substrates
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
EP2594995A1 (en) 2011-11-16 2013-05-22 University College Cork A method for providing a nanopattern of metal oxide nanostructures on a substrate
US8835581B2 (en) 2012-06-08 2014-09-16 Az Electronic Materials (Luxembourg) S.A.R.L. Neutral layer polymer composition for directed self assembly and processes thereof
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
JP5758422B2 (ja) 2013-02-19 2015-08-05 株式会社東芝 パターン形成方法
US8980538B2 (en) 2013-03-14 2015-03-17 Tokyo Electron Limited Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6746825B2 (en) * 2001-10-05 2004-06-08 Wisconsin Alumni Research Foundation Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates
CN101013662A (zh) * 2006-02-02 2007-08-08 国际商业机器公司 用于形成嵌段共聚物图形的方法及相应的半导体结构

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI626271B (zh) * 2013-12-13 2018-06-11 艾克瑪公司 藉由嵌段共聚物之自組裝致能奈米結構產生之方法

Also Published As

Publication number Publication date
CN101978469A (zh) 2011-02-16
WO2009117243A1 (en) 2009-09-24
KR101121303B1 (ko) 2012-03-22
US8426313B2 (en) 2013-04-23
JP5136869B2 (ja) 2013-02-06
US11282741B2 (en) 2022-03-22
US9315609B2 (en) 2016-04-19
US10153200B2 (en) 2018-12-11
JP2011515537A (ja) 2011-05-19
KR20100128334A (ko) 2010-12-07
US20090236309A1 (en) 2009-09-24
EP2281299A1 (en) 2011-02-09
US20190115252A1 (en) 2019-04-18
TW201003741A (en) 2010-01-16
US8633112B2 (en) 2014-01-21
TWI391992B (zh) 2013-04-01
US20140107296A1 (en) 2014-04-17
US20160218032A1 (en) 2016-07-28
US20120223053A1 (en) 2012-09-06
EP2281299B1 (en) 2014-12-10

Similar Documents

Publication Publication Date Title
CN101978469B (zh) 具有受限于以均等优先湿润两嵌段的上界面的嵌段共聚物膜的热退火
KR101097557B1 (ko) 블록 공중합체 자기 조립에 의하여 형성되는 서브 리소그라피 지름을 갖는 2차원 홀 어레이
US8993088B2 (en) Polymeric materials in self-assembled arrays and semiconductor structures comprising polymeric materials
CN101952195B (zh) 嵌段共聚物圆柱体的一维阵列和其应用
CN101977839B (zh) 以离子性液体改良嵌段共聚物膜自组装的长程有序的方法
US8455082B2 (en) Polymer materials for formation of registered arrays of cylindrical pores
TWI351382B (en) Extensions of self-assembled structures to increas

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant