KR20100128334A - 상부 계면이 두 블록에 동등한 선호도로 습윤성을 나타내도록 구속되는 블록 공중합체 필름의 열 어닐링 - Google Patents
상부 계면이 두 블록에 동등한 선호도로 습윤성을 나타내도록 구속되는 블록 공중합체 필름의 열 어닐링 Download PDFInfo
- Publication number
- KR20100128334A KR20100128334A KR1020107023487A KR20107023487A KR20100128334A KR 20100128334 A KR20100128334 A KR 20100128334A KR 1020107023487 A KR1020107023487 A KR 1020107023487A KR 20107023487 A KR20107023487 A KR 20107023487A KR 20100128334 A KR20100128334 A KR 20100128334A
- Authority
- KR
- South Korea
- Prior art keywords
- block copolymer
- block
- copolymer material
- substrate
- trench
- Prior art date
Links
- 229920001400 block copolymer Polymers 0.000 title claims abstract description 174
- 238000000034 method Methods 0.000 claims abstract description 63
- 239000000463 material Substances 0.000 claims description 215
- 239000000758 substrate Substances 0.000 claims description 99
- 230000007935 neutral effect Effects 0.000 claims description 58
- 229920000642 polymer Polymers 0.000 claims description 58
- 238000000137 annealing Methods 0.000 claims description 52
- 239000002904 solvent Substances 0.000 claims description 43
- 239000000203 mixture Substances 0.000 claims description 30
- 229920005604 random copolymer Polymers 0.000 claims description 24
- 238000010438 heat treatment Methods 0.000 claims description 19
- 229910052710 silicon Inorganic materials 0.000 claims description 19
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 18
- 239000010703 silicon Substances 0.000 claims description 18
- 230000009477 glass transition Effects 0.000 claims description 15
- 229920001519 homopolymer Polymers 0.000 claims description 13
- 238000005530 etching Methods 0.000 claims description 8
- 239000011159 matrix material Substances 0.000 claims description 7
- 239000003960 organic solvent Substances 0.000 claims description 6
- 229920000435 poly(dimethylsiloxane) Polymers 0.000 claims description 6
- 230000001747 exhibiting effect Effects 0.000 claims description 5
- 229910052751 metal Inorganic materials 0.000 claims description 5
- 239000002184 metal Substances 0.000 claims description 5
- 229920006395 saturated elastomer Polymers 0.000 claims description 5
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 4
- 238000004132 cross linking Methods 0.000 claims description 4
- 239000013536 elastomeric material Substances 0.000 claims description 4
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 4
- 238000001816 cooling Methods 0.000 claims description 3
- 238000011049 filling Methods 0.000 claims description 3
- 239000000126 substance Substances 0.000 claims description 3
- CERQOIWHTDAKMF-UHFFFAOYSA-M Methacrylate Chemical compound CC(=C)C([O-])=O CERQOIWHTDAKMF-UHFFFAOYSA-M 0.000 claims description 2
- 238000009835 boiling Methods 0.000 claims description 2
- AMGQUBHHOARCQH-UHFFFAOYSA-N indium;oxotin Chemical compound [In].[Sn]=O AMGQUBHHOARCQH-UHFFFAOYSA-N 0.000 claims description 2
- 229910001092 metal group alloy Inorganic materials 0.000 claims description 2
- 238000005191 phase separation Methods 0.000 claims description 2
- KNCYXPMJDCCGSJ-UHFFFAOYSA-N piperidine-2,6-dione Chemical compound O=C1CCCC(=O)N1 KNCYXPMJDCCGSJ-UHFFFAOYSA-N 0.000 claims description 2
- -1 poly (dimethylsiloxane) Polymers 0.000 claims description 2
- 239000002861 polymer material Substances 0.000 claims description 2
- 229920002635 polyurethane Polymers 0.000 claims description 2
- 230000008961 swelling Effects 0.000 claims description 2
- 239000007787 solid Substances 0.000 claims 2
- 239000012212 insulator Substances 0.000 claims 1
- 230000000149 penetrating effect Effects 0.000 claims 1
- 239000010410 layer Substances 0.000 description 33
- 239000004926 polymethyl methacrylate Substances 0.000 description 32
- 229920003229 poly(methyl methacrylate) Polymers 0.000 description 31
- 239000004793 Polystyrene Substances 0.000 description 28
- 229920002223 polystyrene Polymers 0.000 description 25
- 239000010408 film Substances 0.000 description 22
- YXFVVABEGXRONW-UHFFFAOYSA-N Toluene Chemical compound CC1=CC=CC=C1 YXFVVABEGXRONW-UHFFFAOYSA-N 0.000 description 18
- 229920001577 copolymer Polymers 0.000 description 16
- 238000009736 wetting Methods 0.000 description 15
- 229920003171 Poly (ethylene oxide) Polymers 0.000 description 11
- 229920000359 diblock copolymer Polymers 0.000 description 10
- 238000000206 photolithography Methods 0.000 description 10
- 125000006850 spacer group Chemical group 0.000 description 10
- 230000008569 process Effects 0.000 description 9
- 238000001338 self-assembly Methods 0.000 description 8
- 239000012298 atmosphere Substances 0.000 description 7
- 239000012071 phase Substances 0.000 description 7
- 238000004528 spin coating Methods 0.000 description 7
- QTBSBXVTEAMEQO-UHFFFAOYSA-N Acetic acid Chemical compound CC(O)=O QTBSBXVTEAMEQO-UHFFFAOYSA-N 0.000 description 6
- PPBRXRYQALVLMV-UHFFFAOYSA-N Styrene Natural products C=CC1=CC=CC=C1 PPBRXRYQALVLMV-UHFFFAOYSA-N 0.000 description 6
- 238000001459 lithography Methods 0.000 description 6
- 238000004519 manufacturing process Methods 0.000 description 6
- 238000012545 processing Methods 0.000 description 6
- 239000004065 semiconductor Substances 0.000 description 6
- 235000012431 wafers Nutrition 0.000 description 6
- 239000000178 monomer Substances 0.000 description 5
- 230000000737 periodic effect Effects 0.000 description 5
- 229920000747 poly(lactic acid) Polymers 0.000 description 5
- 239000000243 solution Substances 0.000 description 5
- HEDRZPFGACZZDS-UHFFFAOYSA-N Chloroform Chemical compound ClC(Cl)Cl HEDRZPFGACZZDS-UHFFFAOYSA-N 0.000 description 4
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 4
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 4
- RRHGJUQNOFWUDK-UHFFFAOYSA-N Isoprene Chemical compound CC(=C)C=C RRHGJUQNOFWUDK-UHFFFAOYSA-N 0.000 description 4
- 239000000470 constituent Substances 0.000 description 4
- 239000001257 hydrogen Substances 0.000 description 4
- 229910052739 hydrogen Inorganic materials 0.000 description 4
- 238000001020 plasma etching Methods 0.000 description 4
- 239000010409 thin film Substances 0.000 description 4
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 4
- UHOVQNZJYSORNB-UHFFFAOYSA-N Benzene Chemical compound C1=CC=CC=C1 UHOVQNZJYSORNB-UHFFFAOYSA-N 0.000 description 3
- YMWUJEATGCHHMB-UHFFFAOYSA-N Dichloromethane Chemical compound ClCCl YMWUJEATGCHHMB-UHFFFAOYSA-N 0.000 description 3
- XEKOWRVHYACXOJ-UHFFFAOYSA-N Ethyl acetate Chemical compound CCOC(C)=O XEKOWRVHYACXOJ-UHFFFAOYSA-N 0.000 description 3
- OKKJLVBELUTLKV-UHFFFAOYSA-N Methanol Chemical compound OC OKKJLVBELUTLKV-UHFFFAOYSA-N 0.000 description 3
- 229910004298 SiO 2 Inorganic materials 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- HEMHJVSKTPXQMS-UHFFFAOYSA-M Sodium hydroxide Chemical compound [OH-].[Na+] HEMHJVSKTPXQMS-UHFFFAOYSA-M 0.000 description 3
- 238000011161 development Methods 0.000 description 3
- 239000012634 fragment Substances 0.000 description 3
- 229910000040 hydrogen fluoride Inorganic materials 0.000 description 3
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 3
- 238000000059 patterning Methods 0.000 description 3
- 229920002120 photoresistant polymer Polymers 0.000 description 3
- 238000000926 separation method Methods 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- 239000011343 solid material Substances 0.000 description 3
- MYRTYDVEIRVNKP-UHFFFAOYSA-N 1,2-Divinylbenzene Chemical compound C=CC1=CC=CC=C1C=C MYRTYDVEIRVNKP-UHFFFAOYSA-N 0.000 description 2
- VKGXVGRJSAWWRA-UHFFFAOYSA-N 3-azidoprop-1-enylbenzene Chemical compound [N-]=[N+]=NCC=CC1=CC=CC=C1 VKGXVGRJSAWWRA-UHFFFAOYSA-N 0.000 description 2
- KAKZBPTYRLMSJV-UHFFFAOYSA-N Butadiene Chemical compound C=CC=C KAKZBPTYRLMSJV-UHFFFAOYSA-N 0.000 description 2
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 2
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 description 2
- WOBHKFSMXKNTIM-UHFFFAOYSA-N Hydroxyethyl methacrylate Chemical compound CC(=C)C(=O)OCCO WOBHKFSMXKNTIM-UHFFFAOYSA-N 0.000 description 2
- VVQNEPGJFQJSBK-UHFFFAOYSA-N Methyl methacrylate Chemical compound COC(=O)C(C)=C VVQNEPGJFQJSBK-UHFFFAOYSA-N 0.000 description 2
- 229910017855 NH 4 F Inorganic materials 0.000 description 2
- 229910020486 P2VP Inorganic materials 0.000 description 2
- 238000004630 atomic force microscopy Methods 0.000 description 2
- UMIVXZPTRXBADB-UHFFFAOYSA-N benzocyclobutene Chemical compound C1=CC=C2CCC2=C1 UMIVXZPTRXBADB-UHFFFAOYSA-N 0.000 description 2
- AIYUHDOJVYHVIT-UHFFFAOYSA-M caesium chloride Chemical compound [Cl-].[Cs+] AIYUHDOJVYHVIT-UHFFFAOYSA-M 0.000 description 2
- 238000005266 casting Methods 0.000 description 2
- 229920006037 cross link polymer Polymers 0.000 description 2
- JHIVVAPYMSGYDF-UHFFFAOYSA-N cyclohexanone Chemical compound O=C1CCCCC1 JHIVVAPYMSGYDF-UHFFFAOYSA-N 0.000 description 2
- 238000000354 decomposition reaction Methods 0.000 description 2
- 230000007547 defect Effects 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 125000005647 linker group Chemical group 0.000 description 2
- KWGKDLIKAYFUFQ-UHFFFAOYSA-M lithium chloride Chemical compound [Li+].[Cl-] KWGKDLIKAYFUFQ-UHFFFAOYSA-M 0.000 description 2
- 238000009832 plasma treatment Methods 0.000 description 2
- 229920000307 polymer substrate Polymers 0.000 description 2
- 229920000193 polymethacrylate Polymers 0.000 description 2
- 230000004044 response Effects 0.000 description 2
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 2
- 239000002356 single layer Substances 0.000 description 2
- 229920000428 triblock copolymer Polymers 0.000 description 2
- 239000012808 vapor phase Substances 0.000 description 2
- 229920002818 (Hydroxyethyl)methacrylate Polymers 0.000 description 1
- SCYULBFZEHDVBN-UHFFFAOYSA-N 1,1-Dichloroethane Chemical compound CC(Cl)Cl SCYULBFZEHDVBN-UHFFFAOYSA-N 0.000 description 1
- POFFJVRXOKDESI-UHFFFAOYSA-N 1,3,5,7-tetraoxa-4-silaspiro[3.3]heptane-2,6-dione Chemical compound O1C(=O)O[Si]21OC(=O)O2 POFFJVRXOKDESI-UHFFFAOYSA-N 0.000 description 1
- FPWICHDFVFGNQT-UHFFFAOYSA-N 1-azido-4-prop-1-enylbenzene Chemical compound CC=CC1=CC=C(N=[N+]=[N-])C=C1 FPWICHDFVFGNQT-UHFFFAOYSA-N 0.000 description 1
- KGIGUEBEKRSTEW-UHFFFAOYSA-N 2-vinylpyridine Chemical compound C=CC1=CC=CC=N1 KGIGUEBEKRSTEW-UHFFFAOYSA-N 0.000 description 1
- DDFHBQSCUXNBSA-UHFFFAOYSA-N 5-(5-carboxythiophen-2-yl)thiophene-2-carboxylic acid Chemical compound S1C(C(=O)O)=CC=C1C1=CC=C(C(O)=O)S1 DDFHBQSCUXNBSA-UHFFFAOYSA-N 0.000 description 1
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- XTHFKEDIFFGKHM-UHFFFAOYSA-N Dimethoxyethane Chemical compound COCCOC XTHFKEDIFFGKHM-UHFFFAOYSA-N 0.000 description 1
- 229910004140 HfO Inorganic materials 0.000 description 1
- YZCKVEUIGOORGS-UHFFFAOYSA-N Hydrogen atom Chemical compound [H] YZCKVEUIGOORGS-UHFFFAOYSA-N 0.000 description 1
- CTQNGGLPUBDAKN-UHFFFAOYSA-N O-Xylene Chemical compound CC1=CC=CC=C1C CTQNGGLPUBDAKN-UHFFFAOYSA-N 0.000 description 1
- 208000034530 PLAA-associated neurodevelopmental disease Diseases 0.000 description 1
- 229920000390 Poly(styrene-block-methyl methacrylate) Polymers 0.000 description 1
- 229910002367 SrTiO Inorganic materials 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 230000006978 adaptation Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- 125000003277 amino group Chemical group 0.000 description 1
- 239000007864 aqueous solution Substances 0.000 description 1
- 239000003849 aromatic solvent Substances 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- 125000003118 aryl group Chemical group 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- XQPRBTXUXXVTKB-UHFFFAOYSA-M caesium iodide Inorganic materials [I-].[Cs+] XQPRBTXUXXVTKB-UHFFFAOYSA-M 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000003431 cross linking reagent Substances 0.000 description 1
- 238000000151 deposition Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 239000003599 detergent Substances 0.000 description 1
- 230000006866 deterioration Effects 0.000 description 1
- 150000004662 dithiols Chemical group 0.000 description 1
- 229920001971 elastomer Polymers 0.000 description 1
- 239000000806 elastomer Substances 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 238000000609 electron-beam lithography Methods 0.000 description 1
- 238000000572 ellipsometry Methods 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 238000001900 extreme ultraviolet lithography Methods 0.000 description 1
- 238000009472 formulation Methods 0.000 description 1
- 239000007789 gas Substances 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 229920000578 graft copolymer Polymers 0.000 description 1
- XMBWDFGMSWQBCA-UHFFFAOYSA-N hydrogen iodide Chemical compound I XMBWDFGMSWQBCA-UHFFFAOYSA-N 0.000 description 1
- 229940071870 hydroiodic acid Drugs 0.000 description 1
- 238000007654 immersion Methods 0.000 description 1
- 238000010348 incorporation Methods 0.000 description 1
- 230000001939 inductive effect Effects 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- HSZCZNFXUDYRKD-UHFFFAOYSA-M lithium iodide Inorganic materials [Li+].[I-] HSZCZNFXUDYRKD-UHFFFAOYSA-M 0.000 description 1
- 229920002521 macromolecule Polymers 0.000 description 1
- 230000013011 mating Effects 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 229920006030 multiblock copolymer Polymers 0.000 description 1
- 239000002086 nanomaterial Substances 0.000 description 1
- 239000002105 nanoparticle Substances 0.000 description 1
- TVMXDCGIABBOFY-UHFFFAOYSA-N octane Chemical compound CCCCCCCC TVMXDCGIABBOFY-UHFFFAOYSA-N 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 150000002924 oxiranes Chemical group 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 229940065514 poly(lactide) Drugs 0.000 description 1
- 229920000058 polyacrylate Polymers 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 229920001296 polysiloxane Polymers 0.000 description 1
- 239000004814 polyurethane Substances 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 238000004080 punching Methods 0.000 description 1
- 238000010526 radical polymerization reaction Methods 0.000 description 1
- 230000008707 rearrangement Effects 0.000 description 1
- 150000003839 salts Chemical class 0.000 description 1
- PUZPDOWCWNUUKD-UHFFFAOYSA-M sodium fluoride Inorganic materials [F-].[Na+] PUZPDOWCWNUUKD-UHFFFAOYSA-M 0.000 description 1
- 239000012453 solvate Substances 0.000 description 1
- 238000000992 sputter etching Methods 0.000 description 1
- 125000003011 styrenyl group Chemical group [H]\C(*)=C(/[H])C1=C([H])C([H])=C([H])C([H])=C1[H] 0.000 description 1
- 239000004094 surface-active agent Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 230000007704 transition Effects 0.000 description 1
- 238000013519 translation Methods 0.000 description 1
- KONHVWVBPIDGBH-UHFFFAOYSA-N trichloro-[3-(4-methoxyphenyl)propyl]silane Chemical compound COC1=CC=C(CCC[Si](Cl)(Cl)Cl)C=C1 KONHVWVBPIDGBH-UHFFFAOYSA-N 0.000 description 1
- ZDHXKXAHOVTTAH-UHFFFAOYSA-N trichlorosilane Chemical compound Cl[SiH](Cl)Cl ZDHXKXAHOVTTAH-UHFFFAOYSA-N 0.000 description 1
- 239000005052 trichlorosilane Substances 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
- 238000005406 washing Methods 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
- 239000008096 xylene Substances 0.000 description 1
Images
Classifications
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C1/00—Manufacture or treatment of devices or systems in or on a substrate
- B81C1/00015—Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
- B81C1/00023—Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
- B81C1/00031—Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76828—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y30/00—Nanotechnology for materials or surface science, e.g. nanocomposites
-
- C—CHEMISTRY; METALLURGY
- C08—ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
- C08F—MACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
- C08F299/00—Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers
- C08F299/02—Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers from unsaturated polycondensates
-
- C—CHEMISTRY; METALLURGY
- C08—ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
- C08F—MACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
- C08F299/00—Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers
- C08F299/02—Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers from unsaturated polycondensates
- C08F299/04—Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers from unsaturated polycondensates from polyesters
- C08F299/0485—Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers from unsaturated polycondensates from polyesters from polyesters with side or terminal unsaturations
- C08F299/0492—Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers from unsaturated polycondensates from polyesters from polyesters with side or terminal unsaturations the unsaturation being in acrylic or methacrylic groups
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/0002—Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
- H01L21/0275—Photolithographic processes using lasers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0338—Process specially adapted to improve the resolution of the mask
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76816—Aspects relating to the layout of the pattern or to the size of vias or trenches
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C2201/00—Manufacture or treatment of microstructural devices or systems
- B81C2201/01—Manufacture or treatment of microstructural devices or systems in or on a substrate
- B81C2201/0101—Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
- B81C2201/0128—Processes for removing material
- B81C2201/013—Etching
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C2201/00—Manufacture or treatment of microstructural devices or systems
- B81C2201/01—Manufacture or treatment of microstructural devices or systems in or on a substrate
- B81C2201/0101—Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
- B81C2201/0147—Film patterning
- B81C2201/0149—Forming nanoscale microstructures using auto-arranging or self-assembling material
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C2201/00—Manufacture or treatment of microstructural devices or systems
- B81C2201/01—Manufacture or treatment of microstructural devices or systems in or on a substrate
- B81C2201/0198—Manufacture or treatment of microstructural devices or systems in or on a substrate for making a masking layer
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Manufacturing & Machinery (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Nanotechnology (AREA)
- Inorganic Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Health & Medical Sciences (AREA)
- Medicinal Chemistry (AREA)
- Polymers & Plastics (AREA)
- Organic Chemistry (AREA)
- Analytical Chemistry (AREA)
- Crystallography & Structural Chemistry (AREA)
- Materials Engineering (AREA)
- Composite Materials (AREA)
- General Chemical & Material Sciences (AREA)
- Optics & Photonics (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Treatments Of Macromolecular Shaped Articles (AREA)
- Formation Of Insulating Films (AREA)
- Manufacture Of Macromolecular Shaped Articles (AREA)
- Application Of Or Painting With Fluid Materials (AREA)
Abstract
Description
도 1은, 그 위에 중립적 습윤성 물질을 갖는 기판을 나타내는, 본 발명의 일 실시예에 따른 예비적 처리 스테이지에서의 기판의 일부의 개략적 상면도이다. 도 1A -도 1B는 각각 라인 1A-1A 및 라인 1B-1B를 따라 취한 도 1에 도시된 기판의 단면의 입면도(elevational, cross-sectional view)를 예시한다.
도 2는 중립적 습윤성 물질 상에 생성된 물질 층에 트렌치들의 생성을 나타내는 후속 스테이지에서의 도 1의 기판의 개략적 상면도를 예시한다. 도 2A - 도 2B는 각각 라인 2A-2A 및 라인 2B-2B를 따라 취한 도 2에 도시된 기판의 일부의 단면의 입면도를 예시한다.
도 3은 기판 상에 생성된 물질 층에 트렌치를 갖는 기판을 나타내는, 본 발명의 다른 실시예에 따른 예비적 처리 스테이지에서의 기판의 일부의 측면의 입면도를 예시한다. 도 4는 트렌치 내에 중립적 습윤성 물질의 생성을 나타내는 후속 스테이지에서의 도 3의 기판의 측면의 입면도를 예시한다.
도 5는 트렌치 내의 블록 공중합체 물질을 나타내는 후속 스테이지에서의 도 2의 기판의 개략적 상면도이다. 도 5A - 도 5B는 각각 라인 5A-5A 및 라인 5B-5B를 따라 취한 도 5에 도시된 기판의 일부의 단면의 입면도를 예시한다.
도 6 - 도 8은 대역화된 어닐링 기술에 의해 본 발명의 실시예에 따른 필름의 일부를 어닐링하는 것을 나타내는 후속 스테이지들에서의 도 5의 기판의 개략적 상면도이다. 도 6A - 도 8A는 각각 필름을 대역 어닐링하기 위한 가열 장치의 일 실시예를 나타내는, 라인 6A-6A, 7A-7A 및 8A-8A를 따라 취한 도 6 - 도 8에 도시된 기판의 단면의 입면도를 예시한다. 도 6B는 라인 6B-6B를 따라 취한 도 6에 도시된 기판의 단면의 입면도이다.
도 9는 어닐링 동안 블록 공중합체 물질 위에 비우선적 습윤성 물질의 배치를 도시하는, 본 발명의 방법의 다른 실시예에 따른 후속 스테이지에서의 도 5의 기판의 상면도이다. 도 9A - 도 9B는 각각 라인 9A-9A 및 라인 9B-9B를 따라 취한 도 9에 도시된 기판의 단면의 입면도이다. 도 10A - 도 10B는 각각 어닐링된 자기조립된 블록 공중합체 물질, 및 어닐링 후 비우선적 습윤성 물질의 제거를 나타내는 후속 스테이지에서의 도 9A - 9B에 도시된 기판의 단면도이다.
도 11 - 도 13은 중합체 블록들 중 하나를 제거한 후 자기조립된 블록 공중합체 필름을 기판을 에칭하기위한 마스크로 사용하고, 에칭된 개구를 채우는 실시예를 도시하는 후속 스테이지들에서의 도 8의 기판의 상면도이다. 도 11A - 도 13A는 각각 라인 11A-11A 내지 선 13A-13A를 따라 취한 도 11 - 도 13에 도시된 기판의 일부의 단면의 입면도를 예시한다. 도 11B - 도 13B는 각각 라인 11B-11B 내지 라인 13B-13B를 따라 취한 도 11 - 도 13에 도시된 기판의 단면도이다.
Claims (32)
- 기판 상에 나노구조화된 중합체 물질(nanostructured polymer material)을 생성하는 방법으로서,
상기 기판 상의 물질 층의 트렌치 내에 실린더상 블록 공중합체 물질(cylindrical-phase block copolymer material)을 생성하는 단계 - 상기 트렌치는 중립적 습윤성 바닥, 상기 블록 공중합체의 부 블록(minority block)에 대해 우선적 습윤성을 나타내는 대향 측벽들 및 단부들을 가짐 - ; 및
임의의 블록에 대해서 비우선적인 용매 증기 중에서, 상기 블록 공중합체 물질의 유리 전이 온도(Tg)보다 높은 온도에서, 상기 블록 공중합체 물질을 어닐링하는 단계
를 포함하고,
상기 블록 공중합체 물질은 블록 공중합체의 제2 블록의 기질(matrix) 내의 상기 블록 공중합체의 제1 블록의 실린더형 도메인들로 자기조립하고, 상기 자기조립된 블록 공중합체 물질은 두께를 갖고, 상기 실린더형 중합체 도메인들은 트렌치 바닥에 대해 수직 배향되고 상기 트렌치의 길이에 대해 단일의 배열로 상기 자기조립된 블록 공중합체 물질의 상기 두께를 관통하여 연장되는, 방법. - 제1항에 있어서, 상기 블록 공중합체 물질을 어닐링하는 단계는 부분 포화 농도의 유기 용매 중에서 수행되는 방법.
- 제1항에 있어서, 상기 블록 공중합체 물질을 어닐링하는 단계는 상기 블록 공중합체 물질을 용매의 비점(boiling point)까지 가열하는 것을 포함하고, 상기 용매에 의한 블록 공중합체 물질의 팽윤(swelling)이 실질적으로 일어나지 않는 방법.
- 제1항에 있어서, 상기 블록 공중합체 물질이 전체적으로 가열되는 방법.
- 제1항에 있어서, 상기 블록 공중합체 물질이 대역 어닐링(zone annealed)되는 방법.
- 제1항에 있어서, 상기 어닐링하는 단계는 상기 블록 공중합체 물질의 일부를 가열함으로써 상기 블록 공중합체 물질의 상기 가열된 부분에만 자기조립된 실린더형 도메인들이 생성되도록 하는 것을 포함하는 방법.
- 제6항에 있어서, 상기 블록 공중합체 물질의 제2 부분에 열을 적용함으로써 상기 블록 공중합체 물질의 상기 제2 부분에 상기 자기조립된 실린더형 도메인들이 생성되도록 하는 것을 더 포함하는 방법.
- 제1항에 있어서, 상기 어닐링하는 단계는 상기 블록 공중합체 물질의 제1 부분을 선택적으로 가열하는 것을 포함하는 방법.
- 제1항에 있어서, 상기 어닐링하는 단계는 상기 기판 위에서 열원(thermal source)을 이동시키는 것을 포함하는 방법.
- 제1항에 있어서, 상기 어닐링하는 단계는,
상기 블록 공중합체 물질의 제1 부분을 가열하고,
상기 블록 공중합체 물질의 제2 부분을 가열하는 것
을 포함하고,
상기 블록 공중합체 물질은 상기 유리 전이 온도보다 높은 온도로 가열되어 중합체 블록의 상 분리(phase separation)가 일어나는 방법. - 제1항에 있어서, 상기 어닐링하는 단계는 상기 블록 공중합체 물질의 제1 부분을 가열한 후 냉각하는 것을 포함하는 방법.
- 제1항에 있어서, 상기 어닐링하는 단계는 상기 블록 공중합체 물질을 냉온에서부터 고온까지의 온도 구배(cold-to-hot temperature gradient)에 노출시키는 것을 포함하는 방법.
- 제1항에 있어서, 상기 블록 공중합체 물질을 어닐링하는 단계는,
상기 블록 공중합체 물질을 규칙-불규칙 온도(order-disorder temperature)보다 높고 상기 유리 전이 온도보다 높은 온도로 가열하고,
상기 블록 공중합체 물질을 상기 규칙-불규칙 온도보다 낮지만 상기 유리 전이 온도보다 높은 온도로 냉각하는 것을 포함하는 방법. - 기판 상에 나노구조화된 중합체 물질을 생성하는 방법으로서,
실린더상 블록 공중합체 물질을 상기 블록 공중합체 물질의 유리 전이 온도(Tg)보다 높은 온도에서 가열하는 동안에 임의의 블록에 대해서 비우선적인 용매 증기에 노출하는 단계 - 상기 블록 공중합체 물질은 상기 기판 상의 물질층의 트렌치 내에 위치하고, 상기 트렌치는 폭, 길이, 중립적 습윤성 바닥, 상기 블록 공중합체의 부 블록에 대해 우선적 습윤성을 나타내는 대향 측벽들 및 단부들을 가짐 - 를 포함하고,
상기 블록 공중합체 물질은 미세상(microphase) 분리되어 상기 트렌치 내에 제2 중합체 블록의 기질의 제1 중합체 블록으로 이루어진 실린더형 도메인들을 생성하고, 상기 실린더형 중합체 도메인들은 트렌치 바닥에 대해 수직 배향되고 상기 트렌치의 길이를 연장하는 단일의 배열로 측벽들에 정합되는, 방법. - 제14항에 있어서, 상기 중립적 습윤성 바닥은 랜덤 공중합체 물질을 포함하는 방법.
- 제14항에 있어서, 상기 중립적 습윤성 바닥은 그래프팅된 단일중합체들(grafted homopolymer)의 블렌드를 포함하는 방법.
- 제14항에 있어서, 상기 중립적 습윤성 바닥이 수소로 말단 처리된 실리콘(hydrogen-terminated silicon)을 포함하는 방법.
- 제14항에 있어서, 상기 트렌치의 상기 측벽들 및 상기 단부들은 산화물, 실리콘 질화물, 실리콘 산탄화물, 실리콘 산질화물, 인듐 주석 산화물(ITO), 메타크릴레이트 레지스트, 및 폴리디메틸 글루타르이미드 레지스트로 이루어지는 군으로부터 선택되는 물질을 포함하는 방법.
- 제14항에 있어서, 상기 트렌치 내의 상기 블록 공중합체 물질은 상기 블록 공중합체의 약 L 값 이상의 두께를 갖는 방법.
- 제14항에 있어서, 상기 제2 중합체 블록의 기질을 선택적으로 가교(crosslinking)하는 것을 더 포함하는 방법.
- 제14항에 있어서, 상기 제1 중합체 블록을 선택적으로 제거하여 상기 제2 중합체 블록의 기질을 관통하여 연장되는 실린더형 개구들을 생성하는 것을 더 포함하는 방법.
- 제21항에 있어서, 상기 개구들을 통해서 상기 기판의 마스킹되지 않은 부분들을 에칭하는 것을 더 포함하는 방법.
- 기판 상에 나노구조화된 중합체 물질을 생성하는 방법으로서,
상기 기판 상의 물질 층의 트렌치 내의 실린더상 블록 공중합체 물질 위에 그와 접촉하는 임의의 블록에 대해 비우선적인 물질을 도포하는 단계 - 상기 트렌치는 중립적 습윤성 바닥, 상기 블록 공중합체의 부 블록에 대해 우선적 습윤성을 나타내는 대향 측벽들 및 단부들을 가짐 - ; 및
상기 비우선적 물질과 접촉하는 동안에 상기 블록 공중합체 물질의 유리 전이 온도(Tg)보다 높은 온도에서 상기 블록 공중합체 물질을 어닐링하는 단계
를 포함하고,
상기 블록 공중합체 물질은 상기 블록 공중합체의 제2 블록의 기질 내의 상기 블록 공중합체의 제1 블록의 실린더형 도메인들로 자기조립하고, 상기 자기조립된 블록 공중합체 물질은 두께를 갖고, 상기 실린더형 중합체 도메인들은 상기 트렌치 바닥에 대해 수직 배향되고 상기 트렌치의 길이에 대해 단일의 배열로 상기 자기조립된 블록 공중합체 물질의 상기 두께를 관통하여 연장되는, 방법. - 제23항에 있어서, 상기 비우선적 물질을 도포하는 단계는 상기 블록 공중합체 물질을 비우선적 표면을 갖는 고체 엘라스토머성 물질(solid elastomeric material)과 접촉시키는 것을 포함하는 방법.
- 기판을 에칭하는 방법으로서,
상기 기판 위에 놓인 물질 층의 트렌치 내의 블록 공중합체 물질을, 상기 블록 공중합체 물질의 유리 전이 온도(Tg)보다 높은 온도에서 가열하는 동안 임의의 블록에 대해 비우선적인 용매 증기 중에서 어닐링하는 단계 - 상기 트렌치는 폭, 길이, 중립적 습윤성 바닥, 및 상기 블록 공중합체의 부 블록에 대해 우선적 습윤성을 나타내는 대향 측벽들 및 단부들을 갖고, 상기 블록 공중합체 물질은 주(majority) 중합체 블록의 기질 내의 부 중합체 블록의 수직 배향 실린더들의 단일의 배열을 생성하고, 상기 어닐링된 블록 공중합체 물질은 두께를 갖고, 상기 실린더들은 상기 어닐링된 블록 공중합체의 상기 두께를 관통하여 트렌치 바닥까지 연장됨 - ;
상기 부 중합체 블록을 선택적으로 제거하여 상기 주 중합체 블록의 기질 내에 개구들을 생성하여 기판을 노출시키는 단계; 및
상기 개구들을 통해 상기 기판을 에칭하는 단계
를 포함하는 방법. - 제25항에 있어서, 상기 부 중합체 블록을 제거하기 전에 상기 주 중합체 블록을 선택적으로 가교하는 것을 더 포함하는 방법.
- 제25항에 있어서, 상기 기판의 상기 개구들에 충전 물질을 충전하는 것을 더 포함하는 방법.
- 제27항에 있어서, 상기 충전 물질은 금속, 금속 합금 및 금속/절연체/금속 스택을 포함하는 방법.
- 기판을 에칭하는 방법으로서,
상기 기판 위에 놓인 물질 층의 트렌치 내의 블록 공중합체 물질을, 상기 블록 공중합체 물질 위에서 그와 접촉하는 임의의 블록에 대해서 비우선적인 물질과 함께 상기 블록 공중합체 물질의 유리 전이 온도(Tg)보다 높은 온도에서 가열함으로써 어닐링하는 단계 - 상기 트렌치는 폭, 길이, 중립적 습윤성 바닥, 및 상기 블록 공중합체의 부 블록에 대해 우선적 습윤성을 나타내는 대향 측벽들 및 단부들을 갖고, 상기 블록 공중합체 물질은 주 중합체 블록의 기질 내의 부 중합체 블록의 수직 배향 실린더들의 단일의 배열을 생성하고, 상기 어닐링된 블록 공중합체 물질은 두께를 갖고, 상기 실린더들은 상기 어닐링된 블록 공중합체의 상기 두께를 관통하여 상기 트렌치 바닥까지 연장됨 - ;
상기 부 중합체 블록을 선택적으로 제거하여 상기 주 중합체 블록의 기질 내에 개구들을 생성하여 기판을 노출시키는 단계; 및
상기 개구들을 통해 상기 기판을 에칭하는 단계
를 포함하는 방법. - 제29항에 있어서, 상기 비우선적 물질은 폴리(디메틸실록산) 및 폴리(우레탄)으로 이루어지는 군으로부터 선택되는 고체 엘라스토머성 물질을 포함하는 방법.
- 제29항에 있어서, 상기 비우선적 물질을 제거하여 상기 트렌치 내의 상기 어닐링된 블록 공중합체 물질을 노출시키는 것을 더 포함하는 방법.
- 제31항에 있어서, 용매를 상기 비우선적 물질에 적용하여 제거를 향상시키는 것을 더 포함하는 방법.
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/052,956 | 2008-03-21 | ||
US12/052,956 US8426313B2 (en) | 2008-03-21 | 2008-03-21 | Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference |
PCT/US2009/035861 WO2009117243A1 (en) | 2008-03-21 | 2009-03-03 | Thermal anneal of a block copolymer films with top interface constrained to wet both blocks with equal preference |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20100128334A true KR20100128334A (ko) | 2010-12-07 |
KR101121303B1 KR101121303B1 (ko) | 2012-03-22 |
Family
ID=40612928
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020107023487A KR101121303B1 (ko) | 2008-03-21 | 2009-03-03 | 상부 계면이 두 블록에 동등한 선호도로 습윤성을 나타내도록 구속되는 블록 공중합체 필름의 열 어닐링 |
Country Status (7)
Country | Link |
---|---|
US (5) | US8426313B2 (ko) |
EP (1) | EP2281299B1 (ko) |
JP (1) | JP5136869B2 (ko) |
KR (1) | KR101121303B1 (ko) |
CN (1) | CN101978469B (ko) |
TW (1) | TWI391992B (ko) |
WO (1) | WO2009117243A1 (ko) |
Cited By (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20130092480A (ko) * | 2012-02-09 | 2013-08-20 | 도오꾜오까고오교 가부시끼가이샤 | 컨택트홀 패턴의 형성 방법 |
KR20140011262A (ko) * | 2012-07-18 | 2014-01-28 | 가부시키가이샤 소쿠도 | 기판 처리 장치 및 기판 처리 방법 |
KR101412228B1 (ko) * | 2012-06-11 | 2014-07-02 | 한국과학기술원 | 혼합 블록공중합체 박막 제조방법, 혼합 블록공중합체 주형 제조방법 및 이에 의하여 제조된 혼합 블록공중합체 박막 및 주형 |
KR20140089690A (ko) * | 2013-01-07 | 2014-07-16 | 삼성전자주식회사 | 콘택 홀 형성 방법 |
KR20150036424A (ko) * | 2012-07-10 | 2015-04-07 | 가부시키가이샤 니콘 | 마크 및 그 형성 방법, 그리고 노광 장치 |
KR20150036425A (ko) * | 2012-07-10 | 2015-04-07 | 가부시키가이샤 니콘 | 마크 형성 방법 및 디바이스 제조 방법 |
KR20150121176A (ko) * | 2013-03-15 | 2015-10-28 | 도쿄엘렉트론가부시키가이샤 | 방향성 자기 조립 적용을 위한 용매 어닐링 프로세싱 |
KR20160088961A (ko) * | 2013-11-25 | 2016-07-27 | 도쿄엘렉트론가부시키가이샤 | 패턴 형성 방법 및 가열 장치 |
US9593218B2 (en) | 2014-05-30 | 2017-03-14 | Pall Corporation | Self-assembled structure and membrane comprising block copolymer and process for producing the same by spin coating (IIIa) |
US9593217B2 (en) | 2014-05-30 | 2017-03-14 | Pall Corporation | Self-assembled structure and membrane comprising block copolymer and process for producing the same by spin coating (Va) |
KR20170070149A (ko) * | 2014-10-14 | 2017-06-21 | 도쿄엘렉트론가부시키가이샤 | 블록 공중합체의 직접 자기 조립을 사용하는 자기 정렬 패턴화 |
KR20180020907A (ko) * | 2016-08-18 | 2018-02-28 | 가부시키가이샤 스크린 홀딩스 | 열처리 장치, 기판 처리 장치, 열처리 방법 및 기판 처리 방법 |
Families Citing this family (102)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8394483B2 (en) | 2007-01-24 | 2013-03-12 | Micron Technology, Inc. | Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly |
US8083953B2 (en) * | 2007-03-06 | 2011-12-27 | Micron Technology, Inc. | Registered structure formation via the application of directed thermal energy to diblock copolymer films |
US8557128B2 (en) | 2007-03-22 | 2013-10-15 | Micron Technology, Inc. | Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers |
US8294139B2 (en) | 2007-06-21 | 2012-10-23 | Micron Technology, Inc. | Multilayer antireflection coatings, structures and devices including the same and methods of making the same |
US8097175B2 (en) | 2008-10-28 | 2012-01-17 | Micron Technology, Inc. | Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure |
US7959975B2 (en) | 2007-04-18 | 2011-06-14 | Micron Technology, Inc. | Methods of patterning a substrate |
US8372295B2 (en) | 2007-04-20 | 2013-02-12 | Micron Technology, Inc. | Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method |
US8404124B2 (en) | 2007-06-12 | 2013-03-26 | Micron Technology, Inc. | Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces |
US8080615B2 (en) | 2007-06-19 | 2011-12-20 | Micron Technology, Inc. | Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide |
KR101355167B1 (ko) * | 2007-12-14 | 2014-01-28 | 삼성전자주식회사 | 적어도 세 개의 고분자 블록을 구비하는 블록 공중합체를이용한 미세 패턴 형성 방법 |
US8999492B2 (en) | 2008-02-05 | 2015-04-07 | Micron Technology, Inc. | Method to produce nanometer-sized features with directed assembly of block copolymers |
US8101261B2 (en) | 2008-02-13 | 2012-01-24 | Micron Technology, Inc. | One-dimensional arrays of block copolymer cylinders and applications thereof |
US8426313B2 (en) | 2008-03-21 | 2013-04-23 | Micron Technology, Inc. | Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference |
US8425982B2 (en) | 2008-03-21 | 2013-04-23 | Micron Technology, Inc. | Methods of improving long range order in self-assembly of block copolymer films with ionic liquids |
US8114300B2 (en) | 2008-04-21 | 2012-02-14 | Micron Technology, Inc. | Multi-layer method for formation of registered arrays of cylindrical pores in polymer films |
US8114301B2 (en) | 2008-05-02 | 2012-02-14 | Micron Technology, Inc. | Graphoepitaxial self-assembly of arrays of downward facing half-cylinders |
IT1392754B1 (it) * | 2008-12-18 | 2012-03-16 | St Microelectronics Srl | Nanoarray ad incrocio con strato organico attivo anisotropico |
KR101101767B1 (ko) * | 2009-05-07 | 2012-01-05 | 한국과학기술원 | 코일―빗형 블록 공중합체 및 이를 이용한 나노 구조체의 제조방법 |
US8398868B2 (en) * | 2009-05-19 | 2013-03-19 | International Business Machines Corporation | Directed self-assembly of block copolymers using segmented prepatterns |
US8574950B2 (en) * | 2009-10-30 | 2013-11-05 | International Business Machines Corporation | Electrically contactable grids manufacture |
US20120301674A1 (en) * | 2010-02-05 | 2012-11-29 | Jillian Buriak | Method for organizing a block copolymer |
WO2011104045A1 (en) * | 2010-02-26 | 2011-09-01 | Asml Netherlands B.V. | Method and apparatus for treatment of self-assemblable polymer layers for use in lithography |
US8696918B2 (en) | 2010-05-05 | 2014-04-15 | Micron Technology, Inc. | Methods of utilizing block copolymer to form patterns |
NL2006639A (en) | 2010-06-04 | 2011-12-06 | Asml Netherlands Bv | Self-assemblable polymer and method for use in lithography. |
US8936740B2 (en) | 2010-08-13 | 2015-01-20 | Kimberly-Clark Worldwide, Inc. | Modified polylactic acid fibers |
US10753023B2 (en) | 2010-08-13 | 2020-08-25 | Kimberly-Clark Worldwide, Inc. | Toughened polylactic acid fibers |
US8304493B2 (en) | 2010-08-20 | 2012-11-06 | Micron Technology, Inc. | Methods of forming block copolymers |
TWI556958B (zh) | 2010-09-14 | 2016-11-11 | 東京應化工業股份有限公司 | 基質劑及含嵌段共聚物之層的圖型形成方法 |
JP5721164B2 (ja) * | 2010-09-14 | 2015-05-20 | 東京応化工業株式会社 | ブロックコポリマーを含む層のパターン形成方法 |
JP5729537B2 (ja) * | 2010-09-14 | 2015-06-03 | 東京応化工業株式会社 | 下地剤 |
US8900963B2 (en) | 2011-11-02 | 2014-12-02 | Micron Technology, Inc. | Methods of forming semiconductor device structures, and related structures |
US8961918B2 (en) | 2012-02-10 | 2015-02-24 | Rohm And Haas Electronic Materials Llc | Thermal annealing process |
US9157008B2 (en) * | 2012-02-10 | 2015-10-13 | Board Of Regents, The University Of Texas System | Anhydride copolymer top coats for orientation control of thin film block copolymers |
JP5891075B2 (ja) | 2012-03-08 | 2016-03-22 | 東京応化工業株式会社 | ブロックコポリマー含有組成物及びパターンの縮小方法 |
JP5993654B2 (ja) * | 2012-03-09 | 2016-09-14 | 東京応化工業株式会社 | ブロックコポリマーを含む層のパターン形成方法、及び下地剤 |
JP6306810B2 (ja) | 2012-03-14 | 2018-04-04 | 東京応化工業株式会社 | 下地剤、ブロックコポリマーを含む層のパターン形成方法 |
JP6118573B2 (ja) | 2012-03-14 | 2017-04-19 | 東京応化工業株式会社 | 下地剤、ブロックコポリマーを含む層のパターン形成方法 |
JP5934565B2 (ja) * | 2012-04-20 | 2016-06-15 | 東京応化工業株式会社 | パターンの縮小方法、及び組成物 |
JP5948129B2 (ja) * | 2012-04-26 | 2016-07-06 | 東京応化工業株式会社 | 2個以上の孤立ホールが並んでなるパターンの形成方法 |
US9005877B2 (en) | 2012-05-15 | 2015-04-14 | Tokyo Electron Limited | Method of forming patterns using block copolymers and articles thereof |
US8821738B2 (en) | 2012-07-12 | 2014-09-02 | Rohm And Haas Electronic Materials Llc | Thermal annealing process |
US8821739B2 (en) | 2012-07-12 | 2014-09-02 | Rohm And Haas Electronic Materials Llc | High temperature thermal annealing process |
CN103633029B (zh) * | 2012-08-28 | 2016-11-23 | 中国科学院微电子研究所 | 半导体结构及其制造方法 |
US9087699B2 (en) | 2012-10-05 | 2015-07-21 | Micron Technology, Inc. | Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure |
FR3001306A1 (fr) * | 2013-01-18 | 2014-07-25 | Commissariat Energie Atomique | Procede de fabrication d'un reseau de conducteurs sur un substrat au moyen de copolymeres a blocs |
US9566609B2 (en) | 2013-01-24 | 2017-02-14 | Corning Incorporated | Surface nanoreplication using polymer nanomasks |
US9050621B2 (en) | 2013-01-24 | 2015-06-09 | Corning Incorporated | Surface nanofabrication methods using self-assembled polymer nanomasks |
JP6093590B2 (ja) * | 2013-02-20 | 2017-03-08 | 東京応化工業株式会社 | 相分離構造を含む構造体の製造方法、ガイドパターン形成方法 |
US8859433B2 (en) * | 2013-03-11 | 2014-10-14 | International Business Machines Corporation | DSA grapho-epitaxy process with etch stop material |
US9147574B2 (en) * | 2013-03-14 | 2015-09-29 | Tokyo Electron Limited | Topography minimization of neutral layer overcoats in directed self-assembly applications |
US8975009B2 (en) | 2013-03-14 | 2015-03-10 | Tokyo Electron Limited | Track processing to remove organic films in directed self-assembly chemo-epitaxy applications |
US8980538B2 (en) | 2013-03-14 | 2015-03-17 | Tokyo Electron Limited | Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents |
US9115255B2 (en) * | 2013-03-14 | 2015-08-25 | Wisconsin Alumni Research Foundation | Crosslinked random copolymer films for block copolymer domain orientation |
US20140273534A1 (en) | 2013-03-14 | 2014-09-18 | Tokyo Electron Limited | Integration of absorption based heating bake methods into a photolithography track system |
US9136110B2 (en) | 2013-03-15 | 2015-09-15 | Tokyo Electron Limited | Multi-step bake apparatus and method for directed self-assembly lithography control |
US20140291878A1 (en) * | 2013-03-29 | 2014-10-02 | Tokyo Electron Limited | Methods for controlling across-wafer directed self-assembly |
US9229328B2 (en) | 2013-05-02 | 2016-01-05 | Micron Technology, Inc. | Methods of forming semiconductor device structures, and related semiconductor device structures |
ITRM20130278A1 (it) | 2013-05-10 | 2014-11-11 | Consiglio Nazionale Ricerche | Procedimento di fabbricazione di film autoassemblati di copolimeri a blocchi |
US10457088B2 (en) * | 2013-05-13 | 2019-10-29 | Ridgefield Acquisition | Template for self assembly and method of making a self assembled pattern |
TWI658055B (zh) * | 2013-06-19 | 2019-05-01 | 德州大學董事會 | 用於薄膜嵌段共聚物之定向控制之酸酐共聚物面塗層 |
FR3008986B1 (fr) * | 2013-07-25 | 2016-12-30 | Arkema France | Procede de controle de la periode caracterisant la morphologie obtenue a partir d'un melange de copolymere a blocs et de (co) polymeres de l'un des blocs |
US20150179434A1 (en) * | 2013-07-25 | 2015-06-25 | SK Hynix Inc. | Nano-scale structures |
KR102399752B1 (ko) | 2013-09-04 | 2022-05-20 | 도쿄엘렉트론가부시키가이샤 | 유도 자기 조립용 화학 템플릿을 생성하기 위한 경화 포토레지스트의 자외선을 이용한 박리 |
WO2015032588A1 (en) * | 2013-09-06 | 2015-03-12 | Asml Netherlands B.V. | Methodology to generate guiding templates for directed self-assembly |
US9625815B2 (en) * | 2013-09-27 | 2017-04-18 | Intel Corporation | Exposure activated chemically amplified directed self-assembly (DSA) for back end of line (BEOL) pattern cutting and plugging |
US9177795B2 (en) | 2013-09-27 | 2015-11-03 | Micron Technology, Inc. | Methods of forming nanostructures including metal oxides |
US9349604B2 (en) * | 2013-10-20 | 2016-05-24 | Tokyo Electron Limited | Use of topography to direct assembly of block copolymers in grapho-epitaxial applications |
US9793137B2 (en) | 2013-10-20 | 2017-10-17 | Tokyo Electron Limited | Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines |
KR102100767B1 (ko) * | 2013-11-26 | 2020-04-21 | 엘지디스플레이 주식회사 | 유기발광 표시장치 및 그 제조방법 |
KR102166523B1 (ko) * | 2013-12-02 | 2020-10-16 | 에스케이하이닉스 주식회사 | 나노 스케일 형상 구조 및 형성 방법 |
FR3014887B1 (fr) * | 2013-12-13 | 2017-05-26 | Arkema France | Procede permettant la creation de structures nanometriques par l'auto-assemblage de copolymeres a blocs |
FR3017395B1 (fr) * | 2014-02-11 | 2017-11-03 | Arkema France | Procede de controle de l'energie de surface d'un substrat |
JP2015159262A (ja) * | 2014-02-25 | 2015-09-03 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法、プログラム及びコンピュータ記憶媒体 |
US9441078B2 (en) | 2014-05-30 | 2016-09-13 | Pall Corporation | Self-assembling polymers—I |
US9593219B2 (en) * | 2014-05-30 | 2017-03-14 | Pall Corporation | Membrane comprising self-assembled block copolymer and process for producing the same by spin coating (IIa) |
US9598543B2 (en) * | 2014-05-30 | 2017-03-21 | Pall Corporation | Self-assembled structure and membrane comprising block copolymer and process for producing the same by spin coating (VIa) |
US9592477B2 (en) | 2014-05-30 | 2017-03-14 | Pall Corporation | Membrane comprising self-assembled block copolymer and process for producing the same by hybrid casting (Ib) |
US9328206B2 (en) | 2014-05-30 | 2016-05-03 | Pall Corporation | Self-assembling polymers—III |
US9469733B2 (en) | 2014-05-30 | 2016-10-18 | Pall Corporation | Self-assembled structure and membrane comprising block copolymer and process for producing the same by spin coating (IVa) |
US9616395B2 (en) * | 2014-05-30 | 2017-04-11 | Pall Corportaion | Membrane comprising self-assembled block copolymer and process for producing the same by spray coating (Ic) |
US9765171B2 (en) * | 2014-05-30 | 2017-09-19 | Pall Corporation | Self-assembling polymers—V |
US9604181B2 (en) * | 2014-05-30 | 2017-03-28 | Pall Corporation | Membrane comprising self-assembled block copolymer and process for producing the same by spray coating (IIc) |
US9193835B1 (en) | 2014-05-30 | 2015-11-24 | Pall Corporation | Self-assembling polymers—IV |
US9592476B2 (en) | 2014-05-30 | 2017-03-14 | Pall Corporation | Membrane comprising self-assembled block copolymer and process for producing the same by hybrid casting (IIb) |
US9448483B2 (en) * | 2014-07-31 | 2016-09-20 | Dow Global Technologies Llc | Pattern shrink methods |
MX2017006066A (es) | 2014-11-26 | 2017-07-27 | Kimberly Clark Co | Material poroso recocido de poliolefina. |
US11021630B2 (en) * | 2014-12-30 | 2021-06-01 | Rohm And Haas Electronic Materials Llc | Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same |
US10294359B2 (en) * | 2014-12-30 | 2019-05-21 | Rohm And Haas Electronic Materials Llc | Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same |
CN105047568B (zh) * | 2015-09-07 | 2018-01-09 | 京东方科技集团股份有限公司 | 薄膜晶体管及其制作方法、显示面板 |
US9876075B2 (en) | 2015-10-16 | 2018-01-23 | International Business Machines Corporation | Method of forming dielectric with air gaps for use in semiconductor devices |
US10950501B2 (en) * | 2015-12-21 | 2021-03-16 | Intel Corporation | Triblock copolymers for self-aligning vias or contacts |
US9691654B1 (en) * | 2015-12-22 | 2017-06-27 | Globalfoundries Inc. | Methods and devices for back end of line via formation |
US20170221701A1 (en) * | 2016-02-01 | 2017-08-03 | Applied Materials, Inc. | Rtp process for directed self-aligned patterns |
KR20180112778A (ko) * | 2016-02-08 | 2018-10-12 | 제이에스알 가부시끼가이샤 | 콘택트 홀 패턴의 형성 방법 및 조성물 |
US10056265B2 (en) | 2016-03-18 | 2018-08-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Directed self-assembly process with size-restricted guiding patterns |
KR102463922B1 (ko) * | 2016-03-21 | 2022-11-08 | 에스케이하이닉스 주식회사 | 미세 패턴 형성 방법 |
US9947597B2 (en) | 2016-03-31 | 2018-04-17 | Tokyo Electron Limited | Defectivity metrology during DSA patterning |
US11299596B2 (en) | 2016-11-30 | 2022-04-12 | Lg Chem, Ltd. | Laminate |
US11251072B2 (en) | 2016-12-23 | 2022-02-15 | Intel Corporation | Differential hardmasks for modulation of electrobucket sensitivity |
JP6811638B2 (ja) | 2017-02-14 | 2021-01-13 | 株式会社Screenホールディングス | 基板処理方法及びその装置 |
US10170301B2 (en) * | 2017-03-29 | 2019-01-01 | International Business Machines Corporation | Adhesion of polymers on silicon substrates |
JP7110090B2 (ja) * | 2018-12-28 | 2022-08-01 | 東京エレクトロン株式会社 | 基板処理方法および基板処理システム |
Family Cites Families (328)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4623674A (en) * | 1985-06-21 | 1986-11-18 | Union Carbide Corporation | Polymer/polyols of substituted styrenes and polyurethanes made therefrom |
US4877647A (en) * | 1986-04-17 | 1989-10-31 | Kansas State University Research Foundation | Method of coating substrates with solvated clusters of metal particles |
US4797357A (en) | 1986-05-30 | 1989-01-10 | Eastman Kodak Company | Light-stable reducible compounds and analytical compositions, elements and methods utilizing same |
US4818713A (en) | 1987-10-20 | 1989-04-04 | American Telephone And Telegraph Company, At&T Bell Laboratories | Techniques useful in fabricating semiconductor devices having submicron features |
WO1990007575A1 (en) | 1988-12-30 | 1990-07-12 | Anderson David M | Stabilized microporous materials and hydrogel materials |
US5328810A (en) * | 1990-05-07 | 1994-07-12 | Micron Technology, Inc. | Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process |
US5354489A (en) * | 1990-08-30 | 1994-10-11 | Asahi Kasei Kogyo Kabushiki Kaisha | Method for changing the viscosity of a fluid comprising a liquid crystal compound |
US5622668A (en) * | 1992-02-07 | 1997-04-22 | The United States Of America As Represented By The Secretary Of The Air Force | Method for preparing oriented polymer structures and said structures |
ATE159978T1 (de) * | 1992-08-07 | 1997-11-15 | Fujikura Kasei Kk | Elektrosensitive zusammensetzung |
US5382373A (en) * | 1992-10-30 | 1995-01-17 | Lord Corporation | Magnetorheological materials based on alloy particles |
JP3727335B2 (ja) | 1992-11-25 | 2005-12-14 | Azエレクトロニックマテリアルズ株式会社 | フォトレジスト用底部反射防止塗料における金属イオンの低減 |
US5482656A (en) * | 1993-03-04 | 1996-01-09 | Kabushiki Kaisha Toshiba | Non-linear optical devices employing a polysilane composition and a polysilane composition therefor |
TW272976B (ko) * | 1993-08-06 | 1996-03-21 | Ciba Geigy Ag | |
US5512131A (en) * | 1993-10-04 | 1996-04-30 | President And Fellows Of Harvard College | Formation of microstamped patterns on surfaces and derivative articles |
US6776094B1 (en) * | 1993-10-04 | 2004-08-17 | President & Fellows Of Harvard College | Kit For Microcontact Printing |
US5538655A (en) * | 1994-06-29 | 1996-07-23 | Arthur D. Little, Inc. | Molecular complexes for use as electrolyte components |
US5607824A (en) | 1994-07-27 | 1997-03-04 | International Business Machines Corporation | Antireflective coating for microlithography |
JPH0867893A (ja) * | 1994-08-19 | 1996-03-12 | Lubrizol Corp:The | 極性固体および有機半導体の電気流動性流体 |
US5620850A (en) | 1994-09-26 | 1997-04-15 | President And Fellows Of Harvard College | Molecular recognition at surfaces derivatized with self-assembled monolayers |
US5633117A (en) | 1995-04-27 | 1997-05-27 | Imation Corp. | Providing imagewise variation in glossiness to a receptor |
US5700902A (en) * | 1995-07-27 | 1997-12-23 | Circe Biomedical, Inc. | Block copolymers |
EP0784543B1 (en) | 1995-08-04 | 2000-04-26 | International Business Machines Corporation | Lithographic surface or thin layer modification |
US6309580B1 (en) | 1995-11-15 | 2001-10-30 | Regents Of The University Of Minnesota | Release surfaces, particularly for use in nanoimprint lithography |
US5772905A (en) | 1995-11-15 | 1998-06-30 | Regents Of The University Of Minnesota | Nanoimprint lithography |
US5879853A (en) | 1996-01-18 | 1999-03-09 | Kabushiki Kaisha Toshiba | Top antireflective coating material and its process for DUV and VUV lithography systems |
US6096636A (en) | 1996-02-06 | 2000-08-01 | Micron Technology, Inc. | Methods of forming conductive lines |
EP1124158A1 (en) | 1996-02-26 | 2001-08-16 | Matsushita Electric Industrial Co., Ltd. | Pattern forming material and pattern forming method |
US6190949B1 (en) * | 1996-05-22 | 2001-02-20 | Sony Corporation | Silicon thin film, group of silicon single crystal grains and formation process thereof, and semiconductor device, flash memory cell and fabrication process thereof |
US6143647A (en) * | 1997-07-24 | 2000-11-07 | Intel Corporation | Silicon-rich block copolymers to achieve unbalanced vias |
JPH1081889A (ja) * | 1996-09-06 | 1998-03-31 | Bridgestone Corp | 電気粘性流体用粉体 |
US5904824A (en) * | 1997-03-07 | 1999-05-18 | Beckman Instruments, Inc. | Microfluidic electrophoresis device |
US5958704A (en) | 1997-03-12 | 1999-09-28 | Ddx, Inc. | Sensing system for specific substance and molecule detection |
US5948470A (en) * | 1997-04-28 | 1999-09-07 | Harrison; Christopher | Method of nanoscale patterning and products made thereby |
US6890624B1 (en) | 2000-04-25 | 2005-05-10 | Nanogram Corporation | Self-assembled structures |
US6368871B1 (en) | 1997-08-13 | 2002-04-09 | Cepheid | Non-planar microstructures for manipulation of fluid samples |
JP3321392B2 (ja) | 1997-08-29 | 2002-09-03 | 科学技術振興事業団 | 二重構造連続多孔体とその製造方法 |
US6884842B2 (en) * | 1997-10-14 | 2005-04-26 | Alnis Biosciences, Inc. | Molecular compounds having complementary surfaces to targets |
WO1999037705A1 (en) | 1997-12-09 | 1999-07-29 | The Regents Of The University Of California | Block polymer processing for mesostructured inorganic oxide materials |
US6111323A (en) * | 1997-12-30 | 2000-08-29 | International Business Machines Corporation | Reworkable thermoplastic encapsulant |
DE69913316T2 (de) | 1998-01-07 | 2004-11-18 | Debio Recherche Pharmaceutique S.A. | Abbaubare, heterobifunktionelle polyethylenglykolacrylate, sowie damit herstellbare gele und konjugate |
US6153495A (en) | 1998-03-09 | 2000-11-28 | Intersil Corporation | Advanced methods for making semiconductor devices by low temperature direct bonding |
AU742976B2 (en) | 1998-03-18 | 2002-01-17 | University Of Rochester | Macromolecular self-assembly of microstructures, nanostructures, objects and mesoporous solids |
US7282240B1 (en) | 1998-04-21 | 2007-10-16 | President And Fellows Of Harvard College | Elastomeric mask and use in fabrication of devices |
US6310138B1 (en) * | 1998-06-05 | 2001-10-30 | Asahi Kasei Kabushiki Kaisha | Hydrogenated block copolymer and polypropylene resin composition containing the same |
US7074498B2 (en) * | 2002-03-22 | 2006-07-11 | Borealis Technical Limited | Influence of surface geometry on metal properties |
AU4967499A (en) | 1998-07-02 | 2000-01-24 | Massachusetts Institute Of Technology | Periodic porous and relief nanostructured articles |
US6897073B2 (en) | 1998-07-14 | 2005-05-24 | Zyomyx, Inc. | Non-specific binding resistant protein arrays and methods for making the same |
US6423410B1 (en) * | 1998-09-04 | 2002-07-23 | Mds Proteomics, Inc. | Ultrasonically generated paramagnetic polymer particles |
US6713238B1 (en) * | 1998-10-09 | 2004-03-30 | Stephen Y. Chou | Microscale patterning and articles formed thereby |
DE69930874T2 (de) | 1998-11-24 | 2006-11-02 | Dow Global Technologies, Inc., Midland | Eine zusammensetzung enthaltend einen vernetzbaren matrixpercursor und eine porenstruktur bildendes material und eine daraus hergestellte poröse matrix |
WO2000033976A1 (en) * | 1998-12-08 | 2000-06-15 | Gene Logic Inc. | Process for attaching organic molecules to silicon |
US6413587B1 (en) * | 1999-03-02 | 2002-07-02 | International Business Machines Corporation | Method for forming polymer brush pattern on a substrate surface |
US6270946B1 (en) * | 1999-03-18 | 2001-08-07 | Luna Innovations, Inc. | Non-lithographic process for producing nanoscale features on a substrate |
JP3940546B2 (ja) | 1999-06-07 | 2007-07-04 | 株式会社東芝 | パターン形成方法およびパターン形成材料 |
JP4012173B2 (ja) | 1999-06-07 | 2007-11-21 | 株式会社東芝 | 多孔質構造体の製造方法、多孔質構造体形成材料、パターン形成方法、パターン形成材料、電気化学セル、および中空糸フィルター |
JP4127682B2 (ja) | 1999-06-07 | 2008-07-30 | 株式会社東芝 | パターン形成方法 |
EP1194960B1 (en) | 1999-07-02 | 2010-09-15 | President and Fellows of Harvard College | Nanoscopic wire-based devices, arrays, and methods of their manufacture |
US6251791B1 (en) | 1999-07-20 | 2001-06-26 | United Microelectronics Corp. | Eliminating etching microloading effect by in situ deposition and etching |
WO2001017040A1 (en) * | 1999-08-31 | 2001-03-08 | E Ink Corporation | A solvent annealing process for forming a thin semiconductor film with advantageous properties |
JP2001110801A (ja) | 1999-10-05 | 2001-04-20 | Takeshi Yao | パターン形成方法、並びに電子素子、光学素子及び回路基板 |
US6998152B2 (en) | 1999-12-20 | 2006-02-14 | Micron Technology, Inc. | Chemical vapor deposition methods utilizing ionic liquids |
US6517933B1 (en) | 2000-01-18 | 2003-02-11 | Nano-Tex, Llc | Hybrid polymer materials |
US6423465B1 (en) * | 2000-01-28 | 2002-07-23 | International Business Machines Corporation | Process for preparing a patterned continuous polymeric brush on a substrate surface |
US6573030B1 (en) * | 2000-02-17 | 2003-06-03 | Applied Materials, Inc. | Method for depositing an amorphous carbon layer |
US6284657B1 (en) | 2000-02-25 | 2001-09-04 | Chartered Semiconductor Manufacturing Ltd. | Non-metallic barrier formation for copper damascene type interconnects |
US7163712B2 (en) | 2000-03-03 | 2007-01-16 | Duke University | Microstamping activated polymer surfaces |
US6423474B1 (en) | 2000-03-21 | 2002-07-23 | Micron Technology, Inc. | Use of DARC and BARC in flash memory processing |
JP2004502554A (ja) * | 2000-03-22 | 2004-01-29 | ユニバーシティー オブ マサチューセッツ | ナノシリンダー・アレイ |
US6887332B1 (en) | 2000-04-21 | 2005-05-03 | International Business Machines Corporation | Patterning solution deposited thin films with self-assembled monolayers |
US7491286B2 (en) | 2000-04-21 | 2009-02-17 | International Business Machines Corporation | Patterning solution deposited thin films with self-assembled monolayers |
US7291284B2 (en) | 2000-05-26 | 2007-11-06 | Northwestern University | Fabrication of sub-50 nm solid-state nanostructures based on nanolithography |
US6503841B1 (en) * | 2000-07-07 | 2003-01-07 | Agere Systems Inc. | Oxide etch |
US6414164B1 (en) | 2000-07-12 | 2002-07-02 | International Business Machines Corporation | Synthesis of soluble derivatives of sexithiophene and their use as the semiconducting channels in thin-film filed-effect transistors |
WO2002018080A1 (fr) | 2000-08-03 | 2002-03-07 | Upepo & Maji Inc. | Composition de solution colloidale metallique et conducteur ou encre destine a la formation d'un motif semi-conducteur la renfermant, et procede de formation d'un motif conducteur ou semi-conducteur |
JP3591827B2 (ja) | 2000-08-11 | 2004-11-24 | 株式会社東芝 | 微細構造を有する成形体の製造方法 |
JP2002083949A (ja) | 2000-09-07 | 2002-03-22 | Nec Corp | Cmosイメージセンサ及びその製造方法 |
US20020084429A1 (en) | 2000-10-17 | 2002-07-04 | Craighead Harold G. | Electron-beam patterning of functionalized self-assembled monolayers |
WO2002041043A2 (en) | 2000-11-14 | 2002-05-23 | The Regents Of The University Of California | Inorganic/block copolymer-dye composites and dye doped mesoporous materials for optical and sensing applications |
US6358813B1 (en) * | 2000-11-15 | 2002-03-19 | International Business Machines Corporation | Method for increasing the capacitance of a semiconductor capacitors |
NL1016779C2 (nl) * | 2000-12-02 | 2002-06-04 | Cornelis Johannes Maria V Rijn | Matrijs, werkwijze voor het vervaardigen van precisieproducten met behulp van een matrijs, alsmede precisieproducten, in het bijzonder microzeven en membraanfilters, vervaardigd met een dergelijke matrijs. |
US6432811B1 (en) | 2000-12-20 | 2002-08-13 | Intel Corporation | Method of forming structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures |
FR2818650B1 (fr) | 2000-12-21 | 2003-02-07 | Atofina | Procede d'hydrogenation de copolymeres a blocs insatures et copolymeres a blocs hydrogenes |
US6518194B2 (en) | 2000-12-28 | 2003-02-11 | Thomas Andrew Winningham | Intermediate transfer layers for nanoscale pattern transfer and nanostructure formation |
AU2002246978A1 (en) | 2001-01-10 | 2002-07-24 | Symyx Technologies, Inc. | Polymer brushes for immobilizing molecules to a surface |
US6566248B1 (en) * | 2001-01-11 | 2003-05-20 | Advanced Micro Devices, Inc. | Graphoepitaxial conductor cores in integrated circuit interconnects |
US6913697B2 (en) * | 2001-02-14 | 2005-07-05 | Science & Technology Corporation @ Unm | Nanostructured separation and analysis devices for biological membranes |
CA2451882A1 (en) * | 2001-03-14 | 2002-09-19 | University Of Massachusetts | Nanofabrication |
US6537920B1 (en) | 2001-03-16 | 2003-03-25 | Advanced Micro Devices, Inc. | Formation of vertical transistors using block copolymer lithography |
US6817293B2 (en) | 2001-03-28 | 2004-11-16 | Dainippon Printing Co., Ltd. | Patterning method with micro-contact printing and its printed product |
US6924341B2 (en) * | 2001-03-30 | 2005-08-02 | The Uab Research Foundation | Polymer formation in room temperature ionic liquids |
WO2002081372A2 (en) | 2001-04-06 | 2002-10-17 | Carnegie Mellon University | A process for the preparation of nanostructured materials |
WO2002085639A1 (en) | 2001-04-25 | 2002-10-31 | The Trustees Of Columbia University In The City Of New York | Edge transfer lithography |
US20020158432A1 (en) | 2001-04-30 | 2002-10-31 | Wain Amir Waheed | Infocart |
US6809210B2 (en) * | 2001-06-12 | 2004-10-26 | Lucent Technologies Inc. | Method of solvating a metal in an aromatic organic liquid |
KR100448170B1 (ko) * | 2001-06-23 | 2004-09-10 | 주식회사 태평양 | 폴리에틸렌이민을 친수성 블록으로 갖고 폴리에스테르계고분자를 소수성 블록으로 갖는 양친성 생분해성 블록공중합체 및 이를 이용한 수용액 상에서의 고분자자기조합 회합체 |
US20040253836A1 (en) | 2001-07-09 | 2004-12-16 | Henning Sirringhaus | Low melting point alignment |
US6444318B1 (en) * | 2001-07-17 | 2002-09-03 | Surmodics, Inc. | Self assembling monolayer compositions |
DE10142691B4 (de) | 2001-08-31 | 2006-04-20 | Infineon Technologies Ag | Verfahren zum Nachweis biochemischer Reaktionen sowie eine Vorrichtung hierfür |
US6751491B2 (en) * | 2001-09-01 | 2004-06-15 | M Biotech Inc | Analyte measuring biosensor chip using image scanning system |
DE10145747A1 (de) | 2001-09-17 | 2003-04-03 | Solvent Innovation Gmbh | Ionische Flüssigkeiten |
US20030108664A1 (en) | 2001-10-05 | 2003-06-12 | Kodas Toivo T. | Methods and compositions for the formation of recessed electrical features on a substrate |
US6746825B2 (en) * | 2001-10-05 | 2004-06-08 | Wisconsin Alumni Research Foundation | Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates |
US20030080472A1 (en) | 2001-10-29 | 2003-05-01 | Chou Stephen Y. | Lithographic method with bonded release layer for molding small patterns |
US20040058059A1 (en) | 2001-11-07 | 2004-03-25 | Linford Mathew Richard | Funtionalized patterned surfaces |
WO2003045840A2 (en) | 2001-11-21 | 2003-06-05 | University Of Massachusetts | Mesoporous materials and methods |
JP3967114B2 (ja) | 2001-11-22 | 2007-08-29 | 株式会社東芝 | 加工方法 |
US7087267B2 (en) | 2001-11-29 | 2006-08-08 | International Business Machines Corporation | Materials and methods for immobilization of catalysts on surfaces and for selective electroless metallization |
JP3782357B2 (ja) | 2002-01-18 | 2006-06-07 | 株式会社東芝 | 半導体発光素子の製造方法 |
US7115305B2 (en) | 2002-02-01 | 2006-10-03 | California Institute Of Technology | Method of producing regular arrays of nano-scale objects using nano-structured block-copolymeric materials |
US6958572B2 (en) * | 2002-02-06 | 2005-10-25 | Ut-Battelle Llc | Controlled non-normal alignment of catalytically grown nanostructures in a large-scale synthesis process |
EP1483427A1 (en) | 2002-02-11 | 2004-12-08 | Rensselaer Polytechnic Institute | Directed assembly of highly-organized carbon nanotube architectures |
JP2004002702A (ja) | 2002-02-28 | 2004-01-08 | Merck Patent Gmbh | プレポリマー材料、ポリマー材料、インプリンティングプロセスおよびその使用 |
US6890703B2 (en) | 2002-03-06 | 2005-05-10 | International Business Machines Corporation | Preparation of crosslinked particles from polymers having activatible crosslinking groups |
US6946332B2 (en) * | 2002-03-15 | 2005-09-20 | Lucent Technologies Inc. | Forming nanoscale patterned thin film metal layers |
US7807348B2 (en) * | 2002-03-20 | 2010-10-05 | Wisconsin Alumni Research Foundation | Optical imaging of nanostructured substrates |
US20030178707A1 (en) * | 2002-03-21 | 2003-09-25 | Abbott Donald C. | Preplated stamped small outline no-lead leadframes having etched profiles |
US6765030B2 (en) * | 2002-03-22 | 2004-07-20 | The University Of North Carolina At Chapel Hill | Methods of forming polymeric structures using carbon dioxide and polymeric structures formed therapy |
JP4859333B2 (ja) | 2002-03-25 | 2012-01-25 | セイコーエプソン株式会社 | 電子デバイス用基板の製造方法 |
US20040142578A1 (en) | 2002-03-28 | 2004-07-22 | Ulrich Wiesner | Thin film nanostructures |
JP2004005923A (ja) | 2002-03-29 | 2004-01-08 | Fujitsu Ltd | 磁気ヘッドの製造方法および磁気ヘッド、パターン形成方法 |
US6872645B2 (en) | 2002-04-02 | 2005-03-29 | Nanosys, Inc. | Methods of positioning and/or orienting nanostructures |
US6656308B2 (en) | 2002-04-22 | 2003-12-02 | International Business Machines Corporation | Process of fabricating a precision microcontact printing stamp |
US7135241B2 (en) | 2002-05-24 | 2006-11-14 | Board Of Regents, The University Of Texas System | Light-emitting block copolymers composition, process and use |
US7307343B2 (en) | 2002-05-30 | 2007-12-11 | Air Products And Chemicals, Inc. | Low dielectric materials and methods for making same |
US6753250B1 (en) | 2002-06-12 | 2004-06-22 | Novellus Systems, Inc. | Method of fabricating low dielectric constant dielectric films |
US20030235930A1 (en) * | 2002-06-25 | 2003-12-25 | Lucent Technologies Inc. | Multi-impression nanofeature production |
US6932934B2 (en) | 2002-07-11 | 2005-08-23 | Molecular Imprints, Inc. | Formation of discontinuous films during an imprint lithography process |
US7077992B2 (en) | 2002-07-11 | 2006-07-18 | Molecular Imprints, Inc. | Step and repeat imprint lithography processes |
US6908861B2 (en) * | 2002-07-11 | 2005-06-21 | Molecular Imprints, Inc. | Method for imprint lithography using an electric field |
US7311943B2 (en) | 2002-07-17 | 2007-12-25 | Massachusetts Institute Of Technology | Templated monolayer polymerization and replication |
US20050008828A1 (en) * | 2002-07-25 | 2005-01-13 | Trustees Of Stevens Institute Of Technology | Patterned polymer microgel and method of forming same |
US6767693B1 (en) | 2002-07-30 | 2004-07-27 | Advanced Micro Devices, Inc. | Materials and methods for sub-lithographic patterning of contact, via, and trench structures in integrated circuit devices |
US6957608B1 (en) * | 2002-08-02 | 2005-10-25 | Kovio, Inc. | Contact print methods |
EP1387169B1 (en) | 2002-08-02 | 2006-05-24 | Sony Deutschland GmbH | Method of attaching hydrophilic species to hydrophilic macromolecules and immobilizing the hydrophilic macromolecules on a hydrophobic surface |
DE60333715D1 (de) | 2002-10-30 | 2010-09-23 | Hitachi Ltd | Verfahren zur Herstellung funktioneller Substrate, die kolumnare Mikrosäulen aufweisen |
US6949456B2 (en) * | 2002-10-31 | 2005-09-27 | Asm Japan K.K. | Method for manufacturing semiconductor device having porous structure with air-gaps |
US20040084298A1 (en) * | 2002-10-31 | 2004-05-06 | Y.D. Yao | Fabrication of nanocomposite thin films for high density magnetic recording media |
TW584670B (en) | 2002-10-31 | 2004-04-21 | Academia Sinica | Fabrication of nanocomposite thin films for high density magnetic recording media |
US6911400B2 (en) | 2002-11-05 | 2005-06-28 | International Business Machines Corporation | Nonlithographic method to produce self-aligned mask, articles produced by same and compositions for same |
BR0316048B1 (pt) | 2002-11-07 | 2014-01-28 | Copolímero com estrutura controlada e utilização de um copolímero | |
US6699797B1 (en) * | 2002-12-17 | 2004-03-02 | Intel Corporation | Method of fabrication of low dielectric constant porous metal silicate films |
US6930034B2 (en) | 2002-12-27 | 2005-08-16 | International Business Machines Corporation | Robust ultra-low k interconnect structures using bridge-then-metallization fabrication sequence |
US20040124092A1 (en) | 2002-12-30 | 2004-07-01 | Black Charles T. | Inorganic nanoporous membranes and methods to form same |
US7078276B1 (en) | 2003-01-08 | 2006-07-18 | Kovio, Inc. | Nanoparticles and method for making the same |
US6940485B2 (en) | 2003-01-15 | 2005-09-06 | Xerox Corporation | Flexible micron-thin display device |
WO2004072335A2 (en) | 2003-02-12 | 2004-08-26 | Nantero, Inc. | Devices having vertically-disposed nanofabric articles and methods of making the same |
TWI323479B (en) | 2003-02-12 | 2010-04-11 | Nantero Inc | Devices having horizontally-disposed nanofabric articles and methods of making the same |
US7066801B2 (en) | 2003-02-21 | 2006-06-27 | Dow Global Technologies, Inc. | Method of manufacturing a fixed abrasive material |
US7001795B2 (en) | 2003-02-27 | 2006-02-21 | Micron Technology, Inc. | Total internal reflection (TIR) CMOS imager |
TW582059B (en) | 2003-03-11 | 2004-04-01 | Ind Tech Res Inst | Organic component, method for forming organic semiconductor layer with aligned molecules, and method for forming organic component |
US7326514B2 (en) | 2003-03-12 | 2008-02-05 | Cornell Research Foundation, Inc. | Organoelement resists for EUV lithography and methods of making the same |
US7135523B2 (en) | 2003-03-14 | 2006-11-14 | Industrial Technology Research Institute | Nanoscale helical microstructures and channels from chiral poly(L-lactide) block containing block copolymers |
US6812132B2 (en) | 2003-03-21 | 2004-11-02 | Intel Corporation | Filling small dimension vias using supercritical carbon dioxide |
KR100618184B1 (ko) | 2003-03-31 | 2006-08-31 | 비오이 하이디스 테크놀로지 주식회사 | 결정화 방법 |
US7112617B2 (en) | 2003-04-22 | 2006-09-26 | International Business Machines Corporation | Patterned substrate with hydrophilic/hydrophobic contrast, and method of use |
JP2004335962A (ja) | 2003-05-12 | 2004-11-25 | Seiko Epson Corp | 薄膜パターン形成方法、デバイスとその製造方法及び電気光学装置並びに電子機器 |
US20060124467A1 (en) | 2003-05-20 | 2006-06-15 | Industrial Technology Research Institute | Metal nanodot arrays and fabrication methods thereof |
EP1479738A1 (en) | 2003-05-20 | 2004-11-24 | DSM IP Assets B.V. | Hydrophobic coatings comprising reactive nano-particles |
US7632544B2 (en) | 2003-05-20 | 2009-12-15 | Industrial Technology Research Institute | Nanopatterned templates from oriented degradable diblock copolymer thin films |
US6989426B2 (en) | 2003-06-12 | 2006-01-24 | The Hong Kong Polytechnic University | Methods for producing di-block polymers |
US7009227B2 (en) | 2003-06-16 | 2006-03-07 | Micron Technology, Inc. | Photodiode structure and image pixel structure |
US7045851B2 (en) | 2003-06-20 | 2006-05-16 | International Business Machines Corporation | Nonvolatile memory device using semiconductor nanocrystals and method of forming same |
GB2403847B (en) | 2003-07-01 | 2005-11-16 | Micron Technology Inc | Optical channels for multi-level metal optical imagers and method for manufacturing same |
US20050238889A1 (en) | 2003-07-10 | 2005-10-27 | Nancy Iwamoto | Layered components, materials, methods of production and uses thereof |
EP1511074B1 (en) | 2003-08-01 | 2015-01-28 | Imec | A method for selective removal of high-K material |
GB0318817D0 (en) | 2003-08-11 | 2003-09-10 | Univ Cambridge Tech | Method of making a polymer device |
US7361991B2 (en) | 2003-09-19 | 2008-04-22 | International Business Machines Corporation | Closed air gap interconnect structure |
US8133680B2 (en) | 2003-09-23 | 2012-03-13 | Wisconsin Alumni Research Foundation | Using liquid crystals to detect affinity microcontact printed biomolecules |
US7374867B2 (en) | 2003-10-06 | 2008-05-20 | Intel Corporation | Enhancing photoresist performance using electric fields |
US7407887B2 (en) | 2003-10-16 | 2008-08-05 | The Regents Of The University Of California | Nanostructures, nanogrooves, and nanowires |
US7862849B2 (en) | 2003-10-17 | 2011-01-04 | Massachusetts Institute Of Technology | Nanocontact printing |
US7122482B2 (en) * | 2003-10-27 | 2006-10-17 | Molecular Imprints, Inc. | Methods for fabricating patterned features utilizing imprint lithography |
GB0325748D0 (en) | 2003-11-05 | 2003-12-10 | Koninkl Philips Electronics Nv | A method of forming a patterned layer on a substrate |
US7056757B2 (en) * | 2003-11-25 | 2006-06-06 | Georgia Tech Research Corporation | Methods of forming oxide masks with submicron openings and microstructures formed thereby |
WO2007024323A2 (en) | 2005-06-17 | 2007-03-01 | The University Of North Carolina At Chapel Hill | Nanoparticle fabrication methods, systems, and materials |
US20050133697A1 (en) | 2003-12-23 | 2005-06-23 | Potyrailo Radislav A. | Sensor devices containing co-polymer substrates for analysis of chemical and biological species in water and air |
US7423164B2 (en) | 2003-12-31 | 2008-09-09 | Ut-Battelle, Llc | Synthesis of ionic liquids |
US6989324B2 (en) | 2004-01-15 | 2006-01-24 | The Regents Of The University Of California | Fabrication method for arranging ultra-fine particles |
US7056849B2 (en) | 2004-01-16 | 2006-06-06 | General Electric Company | Nanoscale ordered composites of covalent ceramics for high-temperature structural applications via block-copolymer-assisted assembly and method of making |
US7405147B2 (en) | 2004-01-30 | 2008-07-29 | International Business Machines Corporation | Device and methodology for reducing effective dielectric constant in semiconductor devices |
DE102004006545B3 (de) | 2004-02-10 | 2005-08-11 | Infineon Technologies Ag | Verfahren zum Aufweiten eines Grabens in einer Halbleiterstruktur |
US20060014083A1 (en) | 2004-03-01 | 2006-01-19 | University Of Washington | Methods and systems for fabricating electronic and/or microfluidic structures on elastomeric substrates |
US7030495B2 (en) * | 2004-03-19 | 2006-04-18 | International Business Machines Corporation | Method for fabricating a self-aligned nanocolumnar airbridge and structure produced thereby |
CN100429142C (zh) | 2004-03-24 | 2008-10-29 | 哈尔滨工业大学 | 面向纳米微加工嵌段共聚物模板自组装形态调控方法 |
US7015113B2 (en) | 2004-04-01 | 2006-03-21 | Micron Technology, Inc. | Methods of forming trench isolation regions |
US20060013956A1 (en) * | 2004-04-20 | 2006-01-19 | Angelescu Dan E | Method and apparatus for providing shear-induced alignment of nanostructure in thin films |
CN101427182B (zh) | 2004-04-27 | 2011-10-19 | 伊利诺伊大学评议会 | 用于软光刻法的复合构图设备 |
US7244665B2 (en) | 2004-04-29 | 2007-07-17 | Micron Technology, Inc. | Wafer edge ring structures and methods of formation |
US7625694B2 (en) | 2004-05-06 | 2009-12-01 | Micron Technology, Inc. | Selective provision of a diblock copolymer material |
TWI256110B (en) | 2004-05-18 | 2006-06-01 | Ind Tech Res Inst | Nanopatterned templates from oriented degradable diblock copolymer thin films |
WO2006076016A2 (en) | 2004-05-21 | 2006-07-20 | Krzysztof Matyjaszewski | Conducting polymers |
KR101368748B1 (ko) | 2004-06-04 | 2014-03-05 | 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 | 인쇄가능한 반도체소자들의 제조 및 조립방법과 장치 |
WO2006003594A2 (en) | 2004-06-30 | 2006-01-12 | Koninklijke Philips Electronics N.V. | Soft lithographic stamp with a chemically patterned surface |
KR20070029762A (ko) | 2004-06-30 | 2007-03-14 | 코닌클리케 필립스 일렉트로닉스 엔.브이. | 화학적으로 패터닝된 표면을 구비한 소프트 리소그라피스탬프 |
US7387939B2 (en) | 2004-07-19 | 2008-06-17 | Micron Technology, Inc. | Methods of forming semiconductor structures and capacitor devices |
JP4389055B2 (ja) | 2004-07-27 | 2009-12-24 | 独立行政法人産業技術総合研究所 | ブロック共重合体−クレイナノコンポジットの高配向膜およびその製造方法 |
US8088293B2 (en) | 2004-07-29 | 2012-01-03 | Micron Technology, Inc. | Methods of forming reticles configured for imprint lithography |
US20060030495A1 (en) | 2004-08-06 | 2006-02-09 | Gregg George L Jr | Bullet lubrication formula |
JP2006055982A (ja) | 2004-08-23 | 2006-03-02 | Ind Technol Res Inst | 組織化分解ジブロックコポリマー薄膜からのナノパターン化テンプレート |
KR20060020830A (ko) | 2004-09-01 | 2006-03-07 | 삼성코닝 주식회사 | 계면활성제를 템플릿으로 이용한 저유전성 메조포러스박막의 제조방법 |
US7115525B2 (en) | 2004-09-02 | 2006-10-03 | Micron Technology, Inc. | Method for integrated circuit fabrication using pitch multiplication |
US20060057051A1 (en) | 2004-09-10 | 2006-03-16 | Sheng Dai | Highly ordered porous carbon materials having well defined nanostructures and method of synthesis |
US20060060863A1 (en) | 2004-09-22 | 2006-03-23 | Jennifer Lu | System and method for controlling nanostructure growth |
JP3926360B2 (ja) | 2004-10-13 | 2007-06-06 | 株式会社東芝 | パターン形成方法およびそれを用いた構造体の加工方法 |
US7196314B2 (en) | 2004-11-09 | 2007-03-27 | Omnivision Technologies, Inc. | Image sensor and pixel having an anti-reflective coating over the photodiode |
EP1657070B1 (en) | 2004-11-10 | 2008-04-23 | Sony Deutschland GmbH | A stamp for soft lithography, in particular micro contact printing and a method of preparing the same |
US7323387B2 (en) | 2004-11-12 | 2008-01-29 | Seagate Technology Llc | Method to make nano structure below 25 nanometer with high uniformity on large scale |
WO2006112887A2 (en) | 2004-11-22 | 2006-10-26 | Wisconsin Alumni Research Foundation | Methods and compositions for forming aperiodic patterned copolymer films |
US20080032238A1 (en) * | 2004-11-23 | 2008-02-07 | Lu Jennifer Q | System and method for controlling the size and/or distribution of catalyst nanoparticles for nanostructure growth |
US20060128165A1 (en) | 2004-12-13 | 2006-06-15 | 3M Innovative Properties Company | Method for patterning surface modification |
US7666465B2 (en) | 2004-12-29 | 2010-02-23 | Intel Corporation | Introducing nanotubes in trenches and structures formed thereby |
WO2006078952A1 (en) | 2005-01-21 | 2006-07-27 | University Of California | Methods for fabricating a long-range ordered periodic array of nano-features, and articles comprising same |
DE102005005325B4 (de) | 2005-02-04 | 2011-12-15 | Adesto Technology Corp., Inc. | Verfahren zur Herstellung einer resistiv schaltenden nicht-flüchtigen Speicherzelle |
US7341788B2 (en) | 2005-03-11 | 2008-03-11 | International Business Machines Corporation | Materials having predefined morphologies and methods of formation thereof |
US7514764B2 (en) | 2005-03-23 | 2009-04-07 | Wisconsin Alumni Research Foundation | Materials and methods for creating imaging layers |
US7855046B2 (en) | 2005-04-07 | 2010-12-21 | The University Of North Carolina At Charlotte | Method and apparatus for fabricating shaped structures and shaped structures including one- , two- or three-dimensional patterns incorporated therein |
KR100634327B1 (ko) | 2005-04-13 | 2006-10-13 | 한국기계연구원 | 롤-투-롤 윤전인쇄방식을 이용한 전자소자의 제조방법 및그 제조장치 |
US20060257633A1 (en) | 2005-04-27 | 2006-11-16 | Hitachi Maxell, Ltd. | Method for modifying surface of polymer substrate, method for forming plated film on polymer substrate, method for producing polymer member, and coating member |
US20060249784A1 (en) | 2005-05-06 | 2006-11-09 | International Business Machines Corporation | Field effect transistor device including an array of channel elements and methods for forming |
US7767129B2 (en) | 2005-05-11 | 2010-08-03 | Micron Technology, Inc. | Imprint templates for imprint lithography, and methods of patterning a plurality of substrates |
US7371684B2 (en) | 2005-05-16 | 2008-05-13 | International Business Machines Corporation | Process for preparing electronics structures using a sacrificial multilayer hardmask scheme |
US8399057B2 (en) | 2005-06-08 | 2013-03-19 | The Regents Of The University Of California | Ordered vertically oriented porous inorganic films produced through solution processing |
US7396781B2 (en) | 2005-06-09 | 2008-07-08 | Micron Technology, Inc. | Method and apparatus for adjusting feature size and position |
KR100668846B1 (ko) | 2005-06-10 | 2007-01-16 | 주식회사 하이닉스반도체 | 상변환 기억 소자의 제조방법 |
US7771917B2 (en) | 2005-06-17 | 2010-08-10 | Micron Technology, Inc. | Methods of making templates for use in imprint lithography |
US7118784B1 (en) | 2005-06-27 | 2006-10-10 | The Regents Of The University Of California | Method and apparatus for controlling nucleation in self-assembled films |
US7507618B2 (en) | 2005-06-27 | 2009-03-24 | 3M Innovative Properties Company | Method for making electronic devices using metal oxide nanoparticles |
US7776715B2 (en) | 2005-07-26 | 2010-08-17 | Micron Technology, Inc. | Reverse construction memory cell |
US7306083B2 (en) | 2005-07-27 | 2007-12-11 | Gm Global Technology Operations, Inc. | Magnetorheological fluid device |
AU2006278328A1 (en) | 2005-08-04 | 2007-02-15 | Angiotech International Ag | Block copolymer compositions and uses thereof |
US20070045642A1 (en) | 2005-08-25 | 2007-03-01 | Micron Technology, Inc. | Solid-state imager and formation method using anti-reflective film for optical crosstalk reduction |
US7456928B2 (en) | 2005-08-29 | 2008-11-25 | Micron Technology, Inc. | Systems and methods for controlling ambient pressure during processing of microfeature workpieces, including during immersion lithography |
EP1760527B1 (en) | 2005-09-05 | 2012-06-06 | DWI an der RWTH Aachen e.V. | Photochemical method for manufacturing nanometrically surface-decorated substrates |
JP4598639B2 (ja) | 2005-09-27 | 2010-12-15 | Okiセミコンダクタ株式会社 | 半導体装置の製造方法 |
US8618221B2 (en) | 2005-10-14 | 2013-12-31 | Wisconsin Alumni Research Foundation | Directed assembly of triblock copolymers |
US20070183025A1 (en) | 2005-10-31 | 2007-08-09 | Koji Asakawa | Short-wavelength polarizing elements and the manufacture and use thereof |
WO2007053579A2 (en) * | 2005-10-31 | 2007-05-10 | Kabushiki Kaisha Toshiba | Short-wavelength polarizing elements and the manufacture and use thereof |
WO2007055041A1 (ja) | 2005-11-10 | 2007-05-18 | National University Corporation Kyoto Institute Of Technology | 配向したシリンダー構造を有するブロック共重合体膜およびその製造方法 |
US20070122749A1 (en) | 2005-11-30 | 2007-05-31 | Fu Peng F | Method of nanopatterning, a resist film for use therein, and an article including the resist film |
ATE392696T1 (de) | 2006-01-18 | 2008-05-15 | Consiglio Nazionale Ricerche | Nanometervorrichtung zur messung der leitfähigkeit und quanteneffekte einzelner moleküle sowie verfahren zur herstellung und verwendung |
EP1994079A4 (en) | 2006-01-20 | 2009-12-30 | Plextronics Inc | ELECTROSTATIC COATINGS AND ARTICLES CONTAINING POLYTHIOPHENES |
JP2007194175A (ja) | 2006-01-23 | 2007-08-02 | Seiko Epson Corp | 導体パターン用インク、導体パターン、配線基板及び電気光学装置並びに電子機器 |
US7568603B2 (en) | 2006-01-31 | 2009-08-04 | Ethicon Endo-Surgery, Inc. | Motor-driven surgical cutting and fastening instrument with articulatable end effector |
US7347953B2 (en) * | 2006-02-02 | 2008-03-25 | International Business Machines Corporation | Methods for forming improved self-assembled patterns of block copolymers |
US20080073743A1 (en) | 2006-02-17 | 2008-03-27 | Lockheed Martin Corporation | Templated growth of semiconductor nanostructures, related devices and methods |
US20070194403A1 (en) | 2006-02-23 | 2007-08-23 | International Business Machines Corporation | Methods for fabricating semiconductor device structures with reduced susceptibility to latch-up and semiconductor device structures formed by the methods |
US20070208159A1 (en) | 2006-03-02 | 2007-09-06 | General Electric Company | Poly(arylene ether) block copolymer compositions, methods, and articles |
US7579278B2 (en) * | 2006-03-23 | 2009-08-25 | Micron Technology, Inc. | Topography directed patterning |
US20070222995A1 (en) | 2006-03-27 | 2007-09-27 | Jennifer Lu | Artifact having a textured metal surface with nanometer-scale features and method for fabricating same |
KR100753542B1 (ko) | 2006-04-19 | 2007-08-30 | 삼성전자주식회사 | 수지 조성물, 이를 이용한 패턴 형성 방법 및 커패시터형성 방법 |
JP5541918B2 (ja) | 2006-05-22 | 2014-07-09 | ナンヤン テクノロジカル ユニヴァーシティー | 有機薄膜トランジスタ用の溶液プロセスにより作製される無機膜 |
US7723009B2 (en) | 2006-06-02 | 2010-05-25 | Micron Technology, Inc. | Topography based patterning |
US20070289943A1 (en) | 2006-06-14 | 2007-12-20 | Jennifer Lu | Block copolymer mask for defining nanometer-scale structures |
US7605081B2 (en) | 2006-06-19 | 2009-10-20 | International Business Machines Corporation | Sub-lithographic feature patterning using self-aligned self-assembly polymers |
JP4673266B2 (ja) | 2006-08-03 | 2011-04-20 | 日本電信電話株式会社 | パターン形成方法及びモールド |
US20080038467A1 (en) | 2006-08-11 | 2008-02-14 | Eastman Kodak Company | Nanostructured pattern method of manufacture |
JP4421582B2 (ja) | 2006-08-15 | 2010-02-24 | 株式会社東芝 | パターン形成方法 |
US20080047930A1 (en) | 2006-08-23 | 2008-02-28 | Graciela Beatriz Blanchet | Method to form a pattern of functional material on a substrate |
KR100739000B1 (ko) | 2006-09-11 | 2007-07-12 | 삼성전자주식회사 | 상변화 기억 소자의 형성 방법 |
KR100771886B1 (ko) | 2006-09-27 | 2007-11-01 | 삼성전자주식회사 | 블럭 공중합체를 사용한 미세 콘택홀 형성 방법 및 반도체소자 제조 방법 |
US7658773B2 (en) | 2006-09-29 | 2010-02-09 | Qimonda Ag | Method for fabricating a solid electrolyte memory device and solid electrolyte memory device |
US7795607B2 (en) | 2006-09-29 | 2010-09-14 | Intel Corporation | Current focusing memory architecture for use in electrical probe-based memory storage |
TWI311337B (en) | 2006-10-02 | 2009-06-21 | Au Optronics Corporatio | Multi-domain vertical alignment pixel structure and fabrication method thereof |
US7592247B2 (en) | 2006-10-04 | 2009-09-22 | International Business Machines Corporation | Sub-lithographic local interconnects, and methods for forming same |
US7553760B2 (en) * | 2006-10-19 | 2009-06-30 | International Business Machines Corporation | Sub-lithographic nano interconnect structures, and method for forming same |
US8343578B2 (en) | 2006-10-30 | 2013-01-01 | International Business Machines Corporation | Self-assembled lamellar microdomains and method of alignment |
CN101529293B (zh) | 2006-10-31 | 2012-02-22 | 株式会社日本触媒 | 柔性光波导及其制备方法以及用于柔性光波导的环氧树脂组合物 |
US7560222B2 (en) | 2006-10-31 | 2009-07-14 | International Business Machines Corporation | Si-containing polymers for nano-pattern device fabrication |
US7514339B2 (en) | 2007-01-09 | 2009-04-07 | International Business Machines Corporation | Method for fabricating shallow trench isolation structures using diblock copolymer patterning |
KR20080069000A (ko) | 2007-01-22 | 2008-07-25 | 삼성전자주식회사 | 액정 표시 장치 |
US8394483B2 (en) | 2007-01-24 | 2013-03-12 | Micron Technology, Inc. | Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly |
US7767099B2 (en) | 2007-01-26 | 2010-08-03 | International Business Machines Corporaiton | Sub-lithographic interconnect patterning using self-assembling polymers |
WO2008096335A2 (en) | 2007-02-07 | 2008-08-14 | Yeda Research And Development Co. Ltd. | Producing an array of nanoscale structures on a substrate surface via a self-assembled template |
US7964107B2 (en) * | 2007-02-08 | 2011-06-21 | Micron Technology, Inc. | Methods using block copolymer self-assembly for sub-lithographic patterning |
WO2008097300A2 (en) | 2007-02-08 | 2008-08-14 | Regents Of The University Of Minnesota | Ion gels and electronic devices utilizing ion gels |
US20090020924A1 (en) | 2007-02-21 | 2009-01-22 | Iowa State University Research Foundation, Inc. | Drying-mediated self-assembly of ordered or hierarchically ordered micro- and sub-micro scale structures and their uses as multifunctional materials |
US8431149B2 (en) | 2007-03-01 | 2013-04-30 | Boston Scientific Scimed, Inc. | Coated medical devices for abluminal drug delivery |
US8083953B2 (en) | 2007-03-06 | 2011-12-27 | Micron Technology, Inc. | Registered structure formation via the application of directed thermal energy to diblock copolymer films |
US8557128B2 (en) | 2007-03-22 | 2013-10-15 | Micron Technology, Inc. | Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers |
US20080233297A1 (en) | 2007-03-23 | 2008-09-25 | Xerox Corporation | Methods of forming a photoreceptor device having a self-assembled patterned binder layer |
US7999160B2 (en) | 2007-03-23 | 2011-08-16 | International Business Machines Corporation | Orienting, positioning, and forming nanoscale structures |
US7888228B2 (en) | 2007-04-05 | 2011-02-15 | Adesto Technology Corporation | Method of manufacturing an integrated circuit, an integrated circuit, and a memory module |
US8294139B2 (en) * | 2007-06-21 | 2012-10-23 | Micron Technology, Inc. | Multilayer antireflection coatings, structures and devices including the same and methods of making the same |
US7959975B2 (en) * | 2007-04-18 | 2011-06-14 | Micron Technology, Inc. | Methods of patterning a substrate |
US8097175B2 (en) * | 2008-10-28 | 2012-01-17 | Micron Technology, Inc. | Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure |
US8372295B2 (en) | 2007-04-20 | 2013-02-12 | Micron Technology, Inc. | Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method |
DE102007024653A1 (de) | 2007-05-26 | 2008-12-04 | Forschungszentrum Karlsruhe Gmbh | Stempel für das Mikrokontaktdrucken und Verfahren zu seiner Herstellung |
US8404124B2 (en) | 2007-06-12 | 2013-03-26 | Micron Technology, Inc. | Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces |
US8080615B2 (en) | 2007-06-19 | 2011-12-20 | Micron Technology, Inc. | Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide |
KR101291223B1 (ko) | 2007-08-09 | 2013-07-31 | 한국과학기술원 | 블록 공중합체를 이용한 미세 패턴 형성 방법 |
US7732533B2 (en) * | 2007-08-31 | 2010-06-08 | Micron Technology, Inc. | Zwitterionic block copolymers and methods |
US8083958B2 (en) | 2007-12-05 | 2011-12-27 | International Business Machines Corporation | Patterning method using a combination of photolithography and copolymer self-assemblying lithography techniques |
WO2009079241A2 (en) | 2007-12-07 | 2009-06-25 | Wisconsin Alumni Research Foundation | Density multiplication and improved lithography by directed block copolymer assembly |
KR20090076408A (ko) | 2008-01-08 | 2009-07-13 | 삼성에스디아이 주식회사 | 이종상 백금 촉매 및 이를 이용한 태양전지 |
US7989026B2 (en) | 2008-01-12 | 2011-08-02 | International Business Machines Corporation | Method of use of epoxy-containing cycloaliphatic acrylic polymers as orientation control layers for block copolymer thin films |
US8999492B2 (en) | 2008-02-05 | 2015-04-07 | Micron Technology, Inc. | Method to produce nanometer-sized features with directed assembly of block copolymers |
US8101261B2 (en) | 2008-02-13 | 2012-01-24 | Micron Technology, Inc. | One-dimensional arrays of block copolymer cylinders and applications thereof |
US7696085B2 (en) * | 2008-02-20 | 2010-04-13 | International Business Machines Corporation | Dual damascene metal interconnect structure having a self-aligned via |
US7906031B2 (en) | 2008-02-22 | 2011-03-15 | International Business Machines Corporation | Aligning polymer films |
US8168468B2 (en) | 2008-02-29 | 2012-05-01 | Freescale Semiconductor, Inc. | Method of making a semiconductor device including a bridgeable material |
US8426313B2 (en) | 2008-03-21 | 2013-04-23 | Micron Technology, Inc. | Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference |
US8425982B2 (en) | 2008-03-21 | 2013-04-23 | Micron Technology, Inc. | Methods of improving long range order in self-assembly of block copolymer films with ionic liquids |
US8114300B2 (en) | 2008-04-21 | 2012-02-14 | Micron Technology, Inc. | Multi-layer method for formation of registered arrays of cylindrical pores in polymer films |
US8114301B2 (en) | 2008-05-02 | 2012-02-14 | Micron Technology, Inc. | Graphoepitaxial self-assembly of arrays of downward facing half-cylinders |
US8114468B2 (en) | 2008-06-18 | 2012-02-14 | Boise Technology, Inc. | Methods of forming a non-volatile resistive oxide memory array |
US8211737B2 (en) | 2008-09-19 | 2012-07-03 | The University Of Massachusetts | Method of producing nanopatterned articles, and articles produced thereby |
US8088551B2 (en) * | 2008-10-09 | 2012-01-03 | Micron Technology, Inc. | Methods of utilizing block copolymer to form patterns |
US8173034B2 (en) * | 2008-11-17 | 2012-05-08 | Micron Technology, Inc. | Methods of utilizing block copolymer to form patterns |
US8314206B2 (en) * | 2008-12-02 | 2012-11-20 | Micron Technology, Inc. | Block copolymer-comprising compositions and methods of purifying PS-b-PXVP |
JP5281386B2 (ja) | 2008-12-22 | 2013-09-04 | 株式会社日立製作所 | 高分子薄膜及びパターン媒体並びにこれらの製造方法 |
US8114306B2 (en) | 2009-05-22 | 2012-02-14 | International Business Machines Corporation | Method of forming sub-lithographic features using directed self-assembly of polymers |
US8834956B2 (en) * | 2009-06-22 | 2014-09-16 | Micron Technology, Inc. | Methods of utilizing block copolymer to form patterns |
US8206601B2 (en) | 2009-12-18 | 2012-06-26 | Hitachi Global Storage Technologies Netherlands B.V. | Supporting membranes on nanometer-scale self-assembled films |
KR20120091453A (ko) | 2010-02-19 | 2012-08-17 | 도쿄엘렉트론가부시키가이샤 | 반도체 장치의 제조 방법 |
WO2011163393A2 (en) | 2010-06-25 | 2011-12-29 | Nanovis, Inc. | Method for producing nanosurfaces with nano, micron, and/or submicron structures on a polymer |
JP5885143B2 (ja) | 2010-10-07 | 2016-03-15 | 東京応化工業株式会社 | ガイドパターン形成用ネガ型現像用レジスト組成物、ガイドパターン形成方法、ブロックコポリマーを含む層のパターン形成方法 |
US8734904B2 (en) | 2010-11-30 | 2014-05-27 | International Business Machines Corporation | Methods of forming topographical features using segregating polymer mixtures |
US20120135159A1 (en) | 2010-11-30 | 2012-05-31 | Seagate Technology Llc | System and method for imprint-guided block copolymer nano-patterning |
US20120164389A1 (en) | 2010-12-28 | 2012-06-28 | Yang Xiaomin | Imprint template fabrication and repair based on directed block copolymer assembly |
US9299381B2 (en) | 2011-02-07 | 2016-03-29 | Wisconsin Alumni Research Foundation | Solvent annealing block copolymers on patterned substrates |
US8900963B2 (en) | 2011-11-02 | 2014-12-02 | Micron Technology, Inc. | Methods of forming semiconductor device structures, and related structures |
EP2594995A1 (en) | 2011-11-16 | 2013-05-22 | University College Cork | A method for providing a nanopattern of metal oxide nanostructures on a substrate |
US8835581B2 (en) | 2012-06-08 | 2014-09-16 | Az Electronic Materials (Luxembourg) S.A.R.L. | Neutral layer polymer composition for directed self assembly and processes thereof |
US9087699B2 (en) | 2012-10-05 | 2015-07-21 | Micron Technology, Inc. | Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure |
JP5758422B2 (ja) | 2013-02-19 | 2015-08-05 | 株式会社東芝 | パターン形成方法 |
US8980538B2 (en) | 2013-03-14 | 2015-03-17 | Tokyo Electron Limited | Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents |
US9229328B2 (en) | 2013-05-02 | 2016-01-05 | Micron Technology, Inc. | Methods of forming semiconductor device structures, and related semiconductor device structures |
US9177795B2 (en) | 2013-09-27 | 2015-11-03 | Micron Technology, Inc. | Methods of forming nanostructures including metal oxides |
-
2008
- 2008-03-21 US US12/052,956 patent/US8426313B2/en active Active
-
2009
- 2009-03-03 JP JP2011500852A patent/JP5136869B2/ja active Active
- 2009-03-03 EP EP09721942.2A patent/EP2281299B1/en active Active
- 2009-03-03 CN CN2009801103820A patent/CN101978469B/zh active Active
- 2009-03-03 WO PCT/US2009/035861 patent/WO2009117243A1/en active Application Filing
- 2009-03-03 KR KR1020107023487A patent/KR101121303B1/ko active IP Right Grant
- 2009-03-20 TW TW098109253A patent/TWI391992B/zh active
-
2012
- 2012-05-11 US US13/469,697 patent/US8633112B2/en active Active
-
2013
- 2013-12-12 US US14/104,304 patent/US9315609B2/en active Active
-
2016
- 2016-04-04 US US15/090,144 patent/US10153200B2/en active Active
-
2018
- 2018-12-10 US US16/215,242 patent/US11282741B2/en active Active
Cited By (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20130092480A (ko) * | 2012-02-09 | 2013-08-20 | 도오꾜오까고오교 가부시끼가이샤 | 컨택트홀 패턴의 형성 방법 |
KR101412228B1 (ko) * | 2012-06-11 | 2014-07-02 | 한국과학기술원 | 혼합 블록공중합체 박막 제조방법, 혼합 블록공중합체 주형 제조방법 및 이에 의하여 제조된 혼합 블록공중합체 박막 및 주형 |
KR20150036424A (ko) * | 2012-07-10 | 2015-04-07 | 가부시키가이샤 니콘 | 마크 및 그 형성 방법, 그리고 노광 장치 |
KR20150036425A (ko) * | 2012-07-10 | 2015-04-07 | 가부시키가이샤 니콘 | 마크 형성 방법 및 디바이스 제조 방법 |
US10047441B2 (en) | 2012-07-18 | 2018-08-14 | Screen Semiconductor Solutions Co., Ltd. | Substrate processing apparatus and substrate processing method |
KR20140011262A (ko) * | 2012-07-18 | 2014-01-28 | 가부시키가이샤 소쿠도 | 기판 처리 장치 및 기판 처리 방법 |
KR20140089690A (ko) * | 2013-01-07 | 2014-07-16 | 삼성전자주식회사 | 콘택 홀 형성 방법 |
KR20150121176A (ko) * | 2013-03-15 | 2015-10-28 | 도쿄엘렉트론가부시키가이샤 | 방향성 자기 조립 적용을 위한 용매 어닐링 프로세싱 |
KR20160088961A (ko) * | 2013-11-25 | 2016-07-27 | 도쿄엘렉트론가부시키가이샤 | 패턴 형성 방법 및 가열 장치 |
US9593218B2 (en) | 2014-05-30 | 2017-03-14 | Pall Corporation | Self-assembled structure and membrane comprising block copolymer and process for producing the same by spin coating (IIIa) |
US9593217B2 (en) | 2014-05-30 | 2017-03-14 | Pall Corporation | Self-assembled structure and membrane comprising block copolymer and process for producing the same by spin coating (Va) |
KR20170070149A (ko) * | 2014-10-14 | 2017-06-21 | 도쿄엘렉트론가부시키가이샤 | 블록 공중합체의 직접 자기 조립을 사용하는 자기 정렬 패턴화 |
KR20180020907A (ko) * | 2016-08-18 | 2018-02-28 | 가부시키가이샤 스크린 홀딩스 | 열처리 장치, 기판 처리 장치, 열처리 방법 및 기판 처리 방법 |
US10832925B2 (en) | 2016-08-18 | 2020-11-10 | SCREEN Holdings Co., Ltd. | Thermal processing device, substrate processing apparatus, thermal processing method and substrate processing method |
Also Published As
Publication number | Publication date |
---|---|
CN101978469B (zh) | 2012-11-21 |
JP5136869B2 (ja) | 2013-02-06 |
WO2009117243A1 (en) | 2009-09-24 |
US11282741B2 (en) | 2022-03-22 |
US10153200B2 (en) | 2018-12-11 |
CN101978469A (zh) | 2011-02-16 |
US20190115252A1 (en) | 2019-04-18 |
US8426313B2 (en) | 2013-04-23 |
EP2281299B1 (en) | 2014-12-10 |
EP2281299A1 (en) | 2011-02-09 |
US20160218032A1 (en) | 2016-07-28 |
US9315609B2 (en) | 2016-04-19 |
US8633112B2 (en) | 2014-01-21 |
JP2011515537A (ja) | 2011-05-19 |
KR101121303B1 (ko) | 2012-03-22 |
US20090236309A1 (en) | 2009-09-24 |
TWI391992B (zh) | 2013-04-01 |
US20120223053A1 (en) | 2012-09-06 |
US20140107296A1 (en) | 2014-04-17 |
TW201003741A (en) | 2010-01-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR101121303B1 (ko) | 상부 계면이 두 블록에 동등한 선호도로 습윤성을 나타내도록 구속되는 블록 공중합체 필름의 열 어닐링 | |
US8455082B2 (en) | Polymer materials for formation of registered arrays of cylindrical pores | |
KR101262460B1 (ko) | 1-차원 어레이의 블록 공중합체 원통 그리고 그 적용 | |
US9142420B2 (en) | Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method | |
KR101254389B1 (ko) | 이온성 액체를 이용하여 블록 공중합체 필름의 자기조립에서 장거리 질서를 개선하는 방법 | |
KR101097557B1 (ko) | 블록 공중합체 자기 조립에 의하여 형성되는 서브 리소그라피 지름을 갖는 2차원 홀 어레이 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20150119 Year of fee payment: 4 |
|
FPAY | Annual fee payment |
Payment date: 20160119 Year of fee payment: 5 |
|
FPAY | Annual fee payment |
Payment date: 20170119 Year of fee payment: 6 |
|
FPAY | Annual fee payment |
Payment date: 20180202 Year of fee payment: 7 |
|
FPAY | Annual fee payment |
Payment date: 20190130 Year of fee payment: 8 |
|
FPAY | Annual fee payment |
Payment date: 20200211 Year of fee payment: 9 |